TW201308432A - 降低釋氣的覆蓋層 - Google Patents

降低釋氣的覆蓋層 Download PDF

Info

Publication number
TW201308432A
TW201308432A TW101118985A TW101118985A TW201308432A TW 201308432 A TW201308432 A TW 201308432A TW 101118985 A TW101118985 A TW 101118985A TW 101118985 A TW101118985 A TW 101118985A TW 201308432 A TW201308432 A TW 201308432A
Authority
TW
Taiwan
Prior art keywords
precursor
layer
ruthenium
nitrogen
plasma
Prior art date
Application number
TW101118985A
Other languages
English (en)
Inventor
lin-lin Wang
Abhijit Basu Mallick
Nitin K Ingle
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201308432A publication Critical patent/TW201308432A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON

Abstract

在此描述一種形成氧化矽層的方法。該方法首先藉由自由基成分的化學氣相沉積(CVD)沉積含矽氮與氫(聚矽氮烷)膜。該含矽氮與氫膜是藉由將自由基前驅物(在遠端電漿中激發)結合無激發且無碳的矽前驅物而形成。覆蓋層形成為覆於該含矽氮與氫膜上,而避免轉變成氧化矽之前底下的膜性質隨時間發展。該覆蓋層是藉由將自由基氧前驅物(在遠端電漿中激發)與無激發的含矽與碳前驅物結合而形成。該等膜藉由暴露至含氧環境而轉變成氧化矽。該兩種膜可在相同的基材處理腔室中沉積且可不破真空地沉積。

Description

降低釋氣的覆蓋層 【相關申請案之交互參照】
此申請案主張美國臨時申請案61/492,914之權益,該申請案由Wang等人於2011年6月3日提出,發明名稱為「CAPPING LAYER FOR REDUCED OUTGASSING」,該申請案以全文形式在此併入,以供所有目的之用。
此發明關於半導體處理技術。
自從數十年前導入半導體元件以來,半導體元件的幾何形狀在尺寸上已劇烈減少。現代的半導體製造設備例行生產具有45 nm、32 nm與28 nm之特徵尺寸的元件,且正開發及實施新設備以製作具有更小的幾何形狀的元件。減少的特徵尺寸造成元件上的結構性特徵結構具有減少的空間尺度。元件上的間隙與溝槽之寬度變窄至間隙深度對間隙寬度的深寬比高得足以造成難以用介電材料填充該間隙的地步。在間隙完全填充前,沉積的介電材料易於在頂部阻塞,在間隙中間產生空隙或縫線(seam)。
過去幾年,已開發許多技術去避免使介電材料阻塞間隙頂部或「癒合」已經形成的空隙或縫線。已以高度可 流動的前驅物材料開始進行一項解決手段,可以液相施加該高度可流動的前驅物材料至旋轉基材表面(例如,SOG沉積技術)。這些可流動的前驅物能夠流進非常小的基材間隙並且填充該等間隙,而不形成空隙或脆弱縫線。然而,一旦這些高度可流動的材料沉積,該等高度可流動的材料必須被硬化成固體介電材料。
許多例子中,該硬化步驟包括熱處理,以從沉積的材料移除碳與氫氧基,而留下諸如氧化矽的固體介電質。不幸的是,離開的碳與氫氧物種經常在硬化的介電質中留下孔隙,這些孔隙降低最終材料之品質。此外,硬化的介電質也傾向在體積上縐縮,此現象可於介電質與周圍基材的介面處留下裂隙與空間。一些例子中,硬化的介電質的體積可減少40%或更多。
旋塗介電質(SOD)也已用於流入圖案化基材上的特徵結構。該材料大體上由矽氮烷類型的膜轉變成氧化矽,該矽氮烷類型的膜含有矽、氮與氫。含有矽、氮與氫的膜一般在高溫下於含氧環境中轉變成氧化矽。來自環境的氧替換氮與氫以建立氧化矽膜。對某些電路構造而言,對氧環境的高溫暴露可能摧毀底下的膜。此考量導致需要在製造流程期間維持在「熱預算」內。熱預算的考量已大幅限制SOD至結合底下的氮化矽層的流程,該氮化矽層能夠保護底下的特徵結構免受氧化(例如DRAM應用)。
已開發替代性方法,該等替代性方法藉由自由基成分 的CVD沉積含矽氮烷層。自由基成分的CVD可藉由激發一種前驅物並且將該前驅物與無激發的含矽前驅物在無電漿的基材處理區域中結合而建立可流動膜。膜性質(包括密度)可能在時間中會稍微改變,直到含矽氮烷膜轉變成氧化矽為止。控制膜性質的發展(evolve)改善使用這些膜的元件的製造能力。因此,需要新的沉積製程與材料以形成不會隨時間發展的介電材料。此需要與其他需要在本發明中獲得解決。
在此描述一種形成氧化矽層的方法。該方法首先藉由自由基成分的化學氣相沉積(CVD)沉積含矽氮與氫(聚矽氮烷)膜。該含矽氮與氫膜是藉由將自由基前驅物(在遠端電漿中激發)結合無激發且無碳的矽前驅物而形成。覆蓋層形成為覆於該含矽氮與氫膜上,而避免轉變成氧化矽之前底下的膜性質隨時間發展。該覆蓋層是藉由將自由基氧前驅物(在遠端電漿中激發)與無激發的含矽與碳前驅物結合而形成。該等膜藉由暴露至含氧環境而轉變成氧化矽。該兩種膜可在相同的基材處理腔室中沉積且可不破真空地沉積。
本發明的實施例包括在基材上形成氧化矽層的方法。該等方法包括以下步驟:形成包含矽、氮與氫的第一層,該步驟藉由以下步驟完成:將無激發的前驅物流進遠端 電漿區域以產生自由基前驅物,在無電漿的基材處理區域中將無碳的含矽前驅物結合該自由基前驅物,及沉積無碳的含矽氮與氫層覆於該基材上。該等方法進一步包括以下步驟:形成包含矽與碳的第二層,該步驟藉由以下步驟完成:將無激發的含氧前驅物流進遠端電漿區域以產生自由基氧前驅物,將含矽與碳前驅物與自由基氧前驅物在無電漿的基材處理區域中結合,及沉積含矽氧與碳的覆蓋層覆於該含矽氮與氫層上。
額外的實施例與特徵部分在隨後的實施方式中提出,而部分對於熟習此技術者而言,在詳閱本說明書後可清楚該等實施例與特徵,或者可由操作所揭示的實施例而學得。可藉由本說明書中所述的設備、各式組合物及方法而明瞭及獲得所揭示的實施例之特徵與優點。
在此描述一種形成氧化矽層的方法。該方法首先藉由自由基成分的化學氣相沉積(CVD)沉積含矽氮與氫(聚矽氮烷)膜。該含矽氮與氫膜是藉由將自由基前驅物(在遠端電漿中激發)結合無激發且無碳的矽前驅物而形成。覆蓋層形成為覆於該含矽氮與氫膜上,而避免在轉變成氧化矽之前底下的膜性質隨時間發展。該覆蓋層是藉由將自由基氧前驅物(在遠端電漿中激發)與無激發的含矽與碳前驅物結合而形成。該等膜藉由暴露至含氧 環境而轉變成氧化矽。該兩種膜可在相同的基材處理腔室中沉積且可不破真空地沉積。
已發現以自由基成分的CVD含矽氧與碳膜覆蓋自由基成分的CVD含矽氮與氫膜降低及/或消除一旦將基材暴露空氣後隨時間釋氣及伴隨的膜性質的發展。沒有含矽氧與碳覆蓋層,膜的性質與化學當量可能隨著時間改變。這些膜在時間上發展,這是由於含矽物種、氨氣與類似物的釋氣所致。該等性質也可能發展,這是由於吸收存在於一般製造設施內的周圍大氣(空氣)中的水或其他成分所致。改變膜性質可能會因需要嚴密控制膜形成與另一基材處理系統或腔室內的處理之間的延遲,而使製造製程複雜化。這些複雜與需求都是不被期望的。已發現此述的含矽氧與碳覆蓋層抑制釋氣,但仍容許底下的含矽氮與氫膜轉變成含矽與氧膜且轉變成氧化矽。
已發現根據在此所含的方法以含矽氧與碳膜覆蓋自由基成分的CVD膜在基材暴露至一般清潔室大氣時顯現不會發展之性質。現在,將描述關於形成氧化矽層的方法與系統的額外細節。
示範性氧化矽形成製程
第1圖是流程圖,圖示根據本發明之實施例製作氧化矽膜的方法100中所選步驟。方法100包括以下步驟:提供無碳的含矽前驅物至基材處理區域102。實施例中,該無碳的含矽前驅物不通過電漿激發,所以該前驅物原 封不動地行進至基材處理區域中。隨後僅藉由即將要描述的自由基前驅物提供激發。該無碳的含矽前驅物除了其他類的矽前驅物外特別可以是例如含矽與氮前驅物、含矽與氫前驅物或含矽氮與氫前驅物。缺乏碳減少了沉積膜的縐縮。含矽前驅物除了無碳之外可為無氧。缺乏氧造成由前驅物形成的含矽與氮層中有低濃度的矽烷醇(Si-OH)基。沉積膜中過剩的矽烷醇基團(moiety)可能在從沉積層移除氫氧(-OH)基團的後沉積步驟期間引發孔隙度與縐縮增加。
無碳的矽前驅物之特定範例可包括矽烷胺,除了其他矽烷胺之外,特別可以諸如為H2N(SiH3)、HN(SiH3)2與N(SiH3)3。不同實施例中,矽烷胺的流速可為約200 sccm或更大、300 sccm或更大或者約500 sccm或更大。在此所給的所有流速是參考雙腔室基材處理系統。單一晶圓系統將需要這些流速的一半,而其他晶圓形狀/尺寸將需要經過以處理面積縮放(scaled)的流速。這些矽烷胺可與額外氣體混合,這些額外氣體可作為載氣、反應性氣體或前述二者。額外氣體排除其他氣體之外可特別包括H2、N2、NH3、He、Ne及/或Ar。無碳的含矽前驅物之範例也可包括甲矽烷(SiH4),該甲矽烷可單獨存在或與其他含矽氣體(例如N(SiH3)3)、含氫氣體(例如H2)及/或含氮氣體(例如N2、NH3)混合。無碳的含矽前驅物也可包括乙矽烷、丙矽烷、更高等級的矽烷與氯化矽烷,前述矽烷為單獨存在或彼此結合或與先前提及的無 碳含矽前驅物結合。
也提供自由基前驅物至基材處理區域104。自由基前驅物描述在基材處理區域外的電漿激發中由任何穩定物種(惰性或反應性)產生的電漿流出物。該自由基前驅物可以是含氮自由基前驅物,該含氮自由基前驅物在此是指自由基氮前驅物。該自由基氮前驅物是一種在基材處理區域外由較穩定的氮前驅物所生成的含氮自由基前驅物。在此穩定的前驅物可指無激發的前驅物,以指示該前驅物尚未通過電漿。可在腔室電漿區域或另一遠端電漿系統(RPS)中活化含有NH3、聯胺(N2H4)及/或N2的穩定的氮前驅物化合物以形成該自由基氮前驅物,該自由基氮前驅物隨後被輸送進入基材處理區域,以激發無碳的含矽前驅物,前述的遠端電漿系統(RPS)是位在處理腔室外。將穩定氮前驅物活化成自由基氮前驅物涉及解離,該解離可特別藉由除其他方法之外的以下方法完成:熱解離、紫外光解離及/或電漿解離。電漿解離可涉及:在遠端電漿生成腔室中由氦、氬、氫(H2)、氙、氨(NH3)等點燃電漿,及將穩定的氮前驅物導入電漿區域以生成自由基氮前驅物
不同實施例中,穩定的氮前驅物也可以是包含NH3與N2、NH3與H2、NH3與N2與H2及N2與H2的混合物。也可使用聯胺以取代NH3或與NH3結合,且可用於涉及N2與H2的混合物中。不同實施例中,穩定的氮前驅物之流速可為約300 sccm或更大、約500 sccm或更大或者 是約700 sccm或更大。在腔室電漿區域中產生的自由基氮前驅物可以是.N、.NH、.NH2等之一或多者,且可伴隨在電漿中形成的離子化物種。在本發明的實施例中,氧源也可在遠端電漿中與較穩定的氮前驅物結合。添加氧源預先使膜載有氧,同時減少了流動性。氧源可包括O2、H2O、O3、H2O2、N2O、NO或NO2之一或多者。
在運用腔室電漿區域的實施例中,自由基氮前驅物在基材處理區域的區段中生成,該區段與沉積區域分隔,在該沉積區域,該等前驅物混合並且反應而沉積含矽與氮層於沉積基材上(例如半導體晶圓)。自由基氮前驅物亦可伴隨諸如氫(H2)、氮(N2)、氦、氖、氬等載氣。在此可將基材處理區域描述為在生長含矽氮與氫層期間及後續製程期間為「無電漿」。「無電漿」並非必然指該區域缺乏電漿。腔室電漿區域中電漿的邊界是難以界定的,且可能經由噴頭中的穿孔(aperture)侵入基材處理區域上。在感應耦合電漿的情況中,例如可直接在基材處理區域內啟動少量的離子化。再者,低強度的電漿可在基材處理區域中建立,而不至於消除形成的膜的可流動之本質。在建立自由基氮前驅物期間離子密度遠低於腔室電漿區域的電漿的所有成因不偏離在此所用的「無電漿」之範疇。
在基材處理區域中,無碳的矽前驅物與自由基氮前驅物混合並且反應,而在沉積基材上沉積含矽氮與氫膜106。實施例中,以某些配方組合,所沉積的含矽氮與氫 膜可共形地沉積。其他實施例中,所沉積的含矽氮與氫膜具有可流動特性,此可流動特性與習知氮化矽(Si3N4)膜沉積技術並不類似。形成期間可流動的本質使膜得以在固化前流進狹窄的特徵結構中。在本發明的實施例中,基材溫度可介於約0℃與約225℃之間、約室溫(25℃)與約125℃之間,或介於約40℃與約95℃之間。在實施例中,這些溫度範圍也應用至即將描述的覆蓋層。
含矽氮與氫膜中的氮可源自自由基前驅物或無激發的前驅物之任一者(或源自於前述二者)。一些實施例中,該無碳的含矽前驅物可基本上無氮。然而,其他實施例中,無碳的含矽前驅物與自由基氮前驅物二者皆含有氮。在第三套實施例中,自由基前驅物可基本上無氮而用於含矽氮與氫層的氮可由無碳的含矽前驅物供應。所以,在此自由基前驅物可指「自由基氮及/或氫前驅物」,意味該前驅物含有氮及/或氫。可以此類推,流進電漿區域而形成自由氮及/或氫前驅物的前驅物可指含氮及/或氫前驅物。此命名法則可應用到在此揭示的每一實施例。在實施例中,含氮及/或氫前驅物包含氫(H2),而自由基氮及/或氫前驅物包含.H等。
回到圖示於第1圖的特定範例,含矽氮與氫膜的可流動性可能是由於從將自由基氮前驅物與無碳的含矽前驅物混合造成的各種性質。這些性質可包括在沉積的膜中有顯著的氫成分及/或存在短鍊的聚矽氮烷聚合物。在形成膜期間或之後,這些短鍊生長並且互成網絡,而形成 更加緻密的介電材料。例如沉積膜可具有矽氮烷形式的Si-NH-Si骨架,即無碳的Si-N-H膜。當含矽前驅物與自由基前驅物二者皆無碳時,沉積的含矽氮與氫膜也實質上無碳。當然,「無碳」並非必然意味該膜缺乏甚至痕量的碳。碳混入物(contamination)可存在於前驅物材料中而找到進入沉積的含矽與氮前驅物的途徑。然而,這些碳雜質的量遠低於具有碳基團的矽前驅物(例如正矽酸乙酯(tetraethylorthosilicate)、四甲基二矽氧烷(tetramethyldisiloxane))中可見的量。
該製程中的此點,在本發明的實施例中,製程流出物可由基材處理區域移除。製程流出物可包括任何未反應的無碳的含矽前驅物、未反應的自由基氮前驅物、惰性的載氣及來自膜生長的反應副產物。在所揭示的實施例中,可藉由將惰性物種流進基材處理區域及/或經由排放通口排放而替換製程流出物。沉積覆蓋層前,基材可不暴露至空氣,以進一步將膜性質的發展降到最低。
方法100也包括在沉積區域外的遠端電漿系統(RPS)中遠端生成自由基氧前驅物108。該自由基氧前驅物包括在RPS中建立的電漿流出物且可含有原子氧。在實施例中,與用於在操作104中建立自由基氮前驅物的相同RPS可用於建立操作108的自由基氧前驅物。自由基氧前驅物可藉由將含氧前驅物解離而生成,該含氧前驅物諸如分子氧(O2)、臭氧(O3)、氮氧化合物(例如NO、NO2、N2O等)、氫氧化合物(例如H2O、H2O2等)、碳 氧化合物(例如CO、CO2等)及其他含氧前驅物與多種前驅物之組合。解離含氧前驅物以生成自由基氧前驅物可藉由用於在操作104建立自由基氮前驅物相同的手段完成。
自由基氧前驅物隨後被導入沉積腔室108,在該處它可第一次與含矽與碳前驅物混合,該含矽與碳前驅物也被導至腔室110。自由基氧前驅物與矽前驅物(與其他可存在於反應腔室中的沉積前驅物)在適當溫度與壓力下反應,而形成含矽氧與碳膜112,該溫度在實施例中為例如低於100℃的反應溫度,該壓力為例如約0.1 Torr至約10 Torr;0.5至6 Torr的總腔室壓力等。大體而言,含矽氧與碳膜可以是含矽與氧層。在沉積期間,可藉由支撐晶圓的晶圓底座調整晶圓(即加熱或冷卻晶圓)達約0℃至約150℃的溫度。實施例中,針對無碳的含矽氮與氫層形成製程或含矽氧與碳層形成製程描述的製程參數也應用到其他層。在揭示的實施例中,含矽氧與碳覆蓋層(或含矽與氧覆蓋層)的厚度大於約100Å、150Å或200Å。
含矽與碳前驅物可包括具有直接Si-C鍵結的有機矽烷化合物及/或具有Si-O-C鍵結之化合物。有機矽烷矽前驅物之範例除其他之外特別可包括二甲基矽烷、三甲基矽烷、四甲基矽烷、四甲基二矽氧烷、二乙基矽烷、正矽酸甲酯(tetramethylorthosilicate)、正矽酸乙酯(tetraethylorthosilicate)、八甲基三矽氧烷 (octamethyltrisiloxane)、八甲基環四矽氧烷(octamethylcyclotetrasiloxane)、四甲基二甲基二甲氧基二矽烷(tetramethyldimethyldimethoxydisilane)、四甲基環四矽氧烷(tetramethylcyclotetrasiloxane)、二甲基二甲氧基矽烷(dimethyldimethoxysilane)、二乙基甲基矽烷、甲基三乙氧基矽烷、苯基二甲基矽烷與苯基矽烷。含矽與碳前驅物在導入沉積腔室之前或期間可與載氣混合。載氣可為非活性氣體,該氣體不會過度地干擾基材上氧化物膜的形成。載氣的範例除了其他氣體之外特別包括氦、氖、氬、氮(N2)與氫(H2)。
實施例中,形成第二層(覆蓋層)之後無需固化操作去防止一旦暴露至空氣後膜性質隨時間發展。但仍可執行固化以使膜堆疊轉變成含矽與氧層。膜堆疊可在方法100完成後固化。固化階段涉及將含矽氮與氫層暴露至含臭氧的大氣。在實施例中,臭氧在基材處理區域外生成,並且流進基材處理區域。在本發明的不同實施例中,可(或可不)施加電漿功率至基材處理區域而進一步激發臭氧之大氣。在實施例中,缺乏電漿避免了原子氧生成,該原子氧可能修飾接近表面的網絡並且阻撓次表面氧化。減少氮與增加氧並非僅在表面附近發生,還會在基材區域發生,這是由於相對穩定的臭氧能夠滲透矽氮與氫層的網絡之能力所致。在實施例中,於臭氧固化的另一階段,接著可施加電漿至基材處理區域,以激發臭氧大氣。
接著,描述應用至固化操作的各個參數。沉積基材可留在基材處理區域中以供固化,或該基材可傳送到導入含臭氧之大氣的不同腔室。不同實施例中,任一/兩個階段期間基材的固化溫度可為約300℃或更低,約250℃或更低,約225℃或更低,或者約200℃或更低。在不同實施例中,該基材之溫度可以為約室溫(25℃)或更高,約50℃或更高,約100℃或更高,約125℃或更高,或約150℃或更高。根據額外揭示的實施例,任一上限可與任一下限結合以形成基材溫度的額外範圍。固化操作期間臭氧(僅臭氧的貢獻)進入基材處理區域的流速在所揭示的實施例中可大於500 sccm、大於1 slm或大於2 slm。固化操作期間的臭氧分壓在所揭示的實施例中可為約20 Torr或更大,約30 Torr或更大,約50 Torr或更大,或約100 Torr或更大。
固化操作將含矽氮與氫層修飾成含矽與氧層。該含矽與氧層可轉變成氧化矽層,這是藉由在含氧環境中於相對高溫下退火基材或藉由在甚低的溫度下將基材暴露至水而完成。當導入含氧大氣時,沉積基材可留在相同的基材處理區域中以供固化,或該基材可傳送到導入含氧之大氣的不同腔室。含氧大氣可包括一或多種含氧氣體,該等含氧氣體除了其他含氧氣體外特別諸如為氧氣(O2)、臭氧(O3)、水蒸氣(H2O)、過氧化氫(H2O2)與氮氧化物(NO、NO2等)。含氧大氣也可包括自由基氧與氫氧物種,諸如原子氧(O)、氫氧化物(OH)等, 該等物種可遠端生成並且輸送進入基材腔室。也可存在含氧物種的離子。基材的氧退火溫度在不同實施例中可為約1100℃或更低、約1000℃或更低、約900℃或更低,或者是約800℃或更低。基材的溫度在不同實施例中可為約500℃或更高,約600℃或更高、約700℃或更高,或者是約800℃或更高。再一次,根據額外揭示的實施例,任一上限可與任一下限結合以形成基材溫度的額外範圍。
以替代方式或結合方式,可藉由將含矽與氧層暴露於潮濕環境而使含矽與氧層轉變成氧化矽。在所揭示的實施例中,可於相同的區域中提供該潮濕環境以用於固化,或者可將該基材移動至分開的處理站。本發明的實施例中,該潮濕環境可具有一相對濕度,該相對濕度為大於50%、大於60%、大於70%、大於75%、大於80%或大於85%。在實施例中,基材溫度可以介於室溫(25℃)與約100℃之間,介於約40℃與約95℃之間,介於約50℃與約90℃之間,介於60℃與約90℃之間,或介於約70℃與約90℃之間。在本發明的實施例中,濕氣處理(humidity treatment)的歷時可低於2分鐘、低於5分鐘、低於10分鐘、低於30分鐘或低於一小時。
臭氧固化操作一般發生在比濕氣處理更高的基材溫度下。實施例中,固化操作與濕氣處理可在分開的腔室/站中執行,因為這些低溫有點難以精確地在相同區域中調整。納入此述的低溫濕氣處理使得高溫氧大氣退火(例 如大約400℃左右或更高)為非必要。在本發明的實施例中,濕氣處理與固化操作結合而完成了氧化矽轉變製程。其他實施例中,只有濕氣處理用於執行轉變製程。在任一情況中,移除高溫氧處理使轉變製程得以發生而不氧化底下的層。缺乏在氧大氣中的高溫退火使積體電路製造業者能夠維持在氧化預算內。移除這些較高溫氧退火改善了積體電路元件的產率與表現。雖此述的本發明已免除了氧化退火,然而在實施例中仍可納入高溫惰性退火以使氧化矽膜緻密。在惰性環境中的高溫退火被計入熱預算,但不計入更特定的氧化預算,前述預算之各者與特定流程相關並且依該特定流程而決定。
固化、退火與濕氣處理的含臭氧大氣提供氧以將含矽氮與氫膜轉變成氧化矽(SiO2)膜。將立即描述替代性的濕氣處理。
用於沉積含矽氮與氫層及覆蓋層的基材可為圖案化基材且可具有複數個間隙以用於基材上形成的元件部件(例如電晶體)之間隔與結構。該等間隙可具有一高度與寬度,該高度與寬度界定該高度對寬度(即H/W)的深寬比(AR),該AR遠大於1:1,例如5:1或更大,6:1或更大,7:1或更大,8:1或更大,9:1或更大,10:1或更大,11:1或更大,12:1或更大等。許多範例中,高AR是由於小間隙寬度,該寬度範圍為約90 nm至約22 nm或更小,例如低於90 nm、65 nm、50 nm、45 nm、32 nm、22 nm、16 nm等。因為該含矽氮與氫層可流動,該層能 夠填充高深寬比的間隙,而不會在填充材料的中心周圍建立空隙或脆弱縫線。例如,沉積可流動材料在完全填充之前,較不可能預先阻塞間隙頂部,而在間隙中間留下空隙。
隨後將基材從含臭氧大氣移出,且將含矽與氧層浸漬於包含氧與氫的液體溶液中,以完成氧化矽層的轉變。本發明的實施例中,由於存在液體溶液步驟,進一步退火可能並非必須。如此述般臭氧固化及在液體浴中浸漬所得的膜在基材上(包括基材間隙)產生氧化矽層。如前文所記載,氧化矽層相較於以含碳前驅物形成的類似層具有較少孔隙與較少的在體積上的減少,該等以含碳前驅物形成的類似層在熱處理步驟前於層中存在顯著量的碳。許多情況中,體積的減少夠輕微(例如約15 vol.%或更低),足以避免用於填充、癒合或以其他方式消除因縐縮的氧化矽而形成在間隙中的空間的後熱處理步驟。在一些實施例中,溝槽中的氧化矽層實質上無空隙。
在液體浴中浸漬該固化的膜之操作期間,液體浴、基材與固化的膜可保持在相同溫度。實施例中,液體浴可介於室溫(25℃)與約100℃之間,介於約40℃與約95℃之間,介於約50℃與約90℃之間,介於60℃與約90℃之間,或介於約70℃與約90℃之間。液體浴浸泡的歷時在本發明的實施例中可低於2分鐘、低於5分鐘、低於10分鐘、低於30分鐘或低於一小時。在本發明的實施例中,已發現,一旦如此述般依序先以臭氧固化後以 液體浴處理含矽氮與氫層,則後續的高溫氧退火為非必要。發明人已經進一步發現,在一些情況中液體浴可足以將含矽與氧層轉化成氧化矽。欲達成氧化矽,在先前的臭氧固化為非必要,而隨後的高溫氧退火也非必要。
液體浴或溶液包含氧與氫且可包括水、過氧化氫或氫氧化銨之一或多者。矽與氧膜浸漬在液體溶液中,且在一些實施例中,該基材可在浸漬操作期間沉入液體溶液中。實施例中,液體溶液可以是SC1或SC2浴。該液體溶液可包含去離子水、至少10%的氫氧化銨與至少10%的過氧化氫。所有的百分比在此都是以體積計。該液體溶液可包含去離子水、至少10%的鹽酸與至少10%的過氧化氫。可建立其他含有氧與氫二者的液體浴。發明人也已經發現,當pH降低至酸性範圍或升高至鹼性範圍時,轉變至氧化矽的轉變率增加。描述示範性氧化矽沉積系統期間,可導入額外的製程參數。
示範性氧化矽沉積系統
可實施本發明實施例的沉積腔室除其他類型的腔室外特別可包括高密度電漿化學氣相沉積(HDP-CVD)腔室、電漿強化化學氣相沉積(PECVD)腔室、次大氣壓化學氣相沉積(SACVD)腔室與熱化學氣相沉積腔室。可實施本發明之實施例的CVD系統的特定範例包括CENTURA ULTIMA® HDP-CVD腔室/系統與PRODUCER® PECVD腔室/系統,此二者可購自美國加 州Santa Clara的應用材料公司。
可與本發明之示範方法一併使用的基材處理腔室的範例可包括顯示於及描述於共同讓渡給Lubomirsky等人的美國臨時專利申請案第60/803,499號中的該等腔室,該案於2006年5月30日提出申請,且發明名稱為「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」,該案全文在此併入作為參考,以供所有目的之用。額外的示範性系統可包括顯示於及描述於美國專利第6,387,207號與第6,830,624號中的該等系統,該等專利之全文亦在此併入作為參考,以供所有目的之用。
沉積系統的實施例可結合至較大的製造系統,以生產積體電路晶片。第2圖圖示根據所揭示之實施例之一個此類沉積、烘烤及固化腔室之系統200。在該圖中,一對FOUP(前開式晶圓盒)202供給基材(例如300 mm直徑的晶圓),在該等基材放進晶圓處理腔室208a-f之一者前,基材是由機械手臂204接收並且放置到低壓固持區域206。第二機械手臂210可用於從固持區域206輸送基材晶圓至處理腔室208a-f並且往回輸送。
處理腔室208a-f可包括一或多個用以在基材晶圓上沉積、退火、固化及/或蝕刻可流動介電膜的的系統部件。在一個配置方式中,兩對處理腔室(例如,208c-d及208e-f)可用於沉積可流動介電材料於基材上,而第三對處理腔室(例如,208a-b)可用於退火沉積的介電質。在另一配置方式中,相同的兩對處理腔室(例如208c-d 及208e-f)可經裝設以在基材上沉積及退火可流動介電膜,同時第三對腔室(例如208a-b)可用於UV或電子束固化沉積的膜。另一配置方式中,所有三對腔室(例如208a-f)可經裝設以於基材上沉積及固化可流動的介電膜。尚有另一配置方式,兩對處理腔室(例如208c-d及208e-f)可用於沉積及以UV固化或電子束固化可流動介電質,同時第三對腔室(例如208a-b)可用於退火介電膜。所述製程的任何一或多者可在與不同實施例中所示的製造系統分開的腔室上執行。
此外,一或多個製程腔室208a-f可被裝設成濕式處理腔室。該等製程腔室包括在含水分(moisture)的大氣下加熱該可流動介電膜。因此,系統200之實施例可包括濕式處理腔室208a-b及退火處理腔室208c-d,以在沉積的介電膜上執行濕式及乾式退火二者。
第3A圖是根據所揭示的實施例之基材處理腔室300。遠端電漿系統(RPS)310可處理氣體,隨後該氣體行進穿過氣體入口組件311。在氣體入口組件311中可見兩個個別的氣體供給通道。第一通道312搭載穿過遠端電漿系統(RPS)310的氣體,而第二通道313繞過RPS 310。在揭示的實施例中,第一通道312可用於製程氣體而第二通道313可用於處理氣體(treatment gas)。圖中圖示蓋(或導電的頂部部分)321及穿孔隔件353之間有絕緣環324,該絕緣環使AC電位得以相對於穿孔隔件353施加到蓋321。製程氣體行進穿過第一通道312進入腔 室電漿區域320,且可單獨在腔室電漿區域320中(或者與RPS 310相結合)的電漿裡受到激發。在此腔室電漿區域320及/或RPS 310之結合可指遠端電漿系統。穿孔隔件(也稱為噴頭)353將腔室電漿區域320分隔噴頭353下方的基材處理區域370。噴頭353使電漿得以存在於腔室電漿區域320中,以避免直接於基材處理區域370中激發氣體,同時依然使激發的物種得以從腔室電漿區域320行進至基材處理區域370。
噴頭353定位在腔室電漿區域320與基材處理區域370之間,且使電漿流出物(前驅物或其他氣體的受激發的衍生物)在腔室電漿區域320建立,而穿過複數個橫切板厚的透孔(through hole)356。噴頭353亦具有一或多個中空空間351,該空間可被蒸氣或氣態形式的前驅物(諸如含矽前驅物)填充,並且穿過小孔洞355進入基材處理區域370但不直接進入腔室電漿區域320。在此揭示的實施例中,噴頭353比透孔356的最小直徑350的長度還厚。為了維持從腔室電漿區域320穿透至基材處理區域370的受激發物種具顯著濃度,可藉由形成透孔356之較大的直徑部分使該較大的直徑部分穿過噴頭353達某一程度(part way),而限制透孔最小直徑350的長度326。在所揭示的實施例中,透孔356的最小直徑350之長度可與透孔356的最小直徑相同數量級,或者為較小的數量級。
在所示的實施例中,一旦製程氣體受到腔室電漿區域 320中的電漿激發,噴頭353可(經由透孔356)分配製程氣體,該等製程氣體含有氧、氫及/或氮,及/或此類製程氣體的電漿流出物。在實施例中,經由第一通道312導入RPS 310中及/或腔室電漿區域320中的製程氣體可含有氧(O2)、臭氧(O3)、N2O、NO、NO2、NH3、包括N2H4的NxHy、矽烷、乙矽烷(disilane)、TSA及DSA之一或多者。該製程氣體亦可包括諸如氦氣、氬氣、氮氣(N2)等之類的載氣。第二通道313亦可傳遞製程氣體及/或載氣,及/或膜固化氣體(例如O3),該膜固化氣體用於從生長中的膜或剛沉積的膜中移除非期望的成分。電漿流出物可包括製程氣體的離子化或中性衍生物,且在此電漿流出物亦可指自由基氧前驅物及/或自由基氮前驅物,前述二前驅物所指的是所導入的製程氣體之原子的組分。
在實施例中,透孔356的數量可介於約60個至約2000個之間。透孔356可具有多種形狀,但最容易做成圓形。在所揭示的實施例中,透孔356的最小直徑350可介於約0.5 mm與約20 mm之間,或介於約1 mm與約6 mm之間。在選擇透孔的截面形狀上,亦有範圍,截面可做成錐形、圓柱形或該二種形狀的組合。不同實施例中,用於將氣體導進處理區域370的小孔洞355數量可介於約100與約5000之間,或介於約500與約2000之間。小孔洞355的直徑可介於約0.1 mm與約2 mm之間。
第3B圖是根據所揭示的實施例與處理腔室一併使用 的噴頭353之底視圖。噴頭353對應第3A圖中所圖示的噴頭。透孔356被繪成在噴頭353底部處具有較大的內徑(ID),而在頂部處具有較小的ID。小孔洞355實質上在噴頭表面上均勻分佈,甚至分佈在透孔356之間,相較於此述的其他實施例,這種分佈方式助於提供更均勻的混合。
當穿過噴頭353中的透孔356抵達的電漿流出物與源自中空空間351穿過小孔洞355抵達的含矽前驅物結合時,在基材處理區域370內示範性的膜建立在由底座(圖中未示)支撐的基材上。雖可將基材處理區域370裝配成支援電漿以供諸如固化之類的其他製程所用,然而在生長示範性膜期間無電漿存在。
電漿既可在噴頭353上方的腔室電漿區域320中點燃,亦可在噴頭353下方的基材處理區域370點燃。電漿存在於腔室電漿區域320中,以從含氮與氫之氣體的流入中產生自由基氮前驅物。於處理腔室之導電頂部部分321及噴頭353之間施加一般在射頻(RF)範圍的AC電壓,以在沉積期間於腔室電漿區域320中點燃電漿。RF電源供應器產生13.56 MHz的高RF頻率,但亦可產生單獨其他頻率或者與13.56 MHz頻率結合的頻率。
當於第二固化階段開啟基材處理區域370中的底部電漿或該底部電漿清潔接壤基材處理區域370的內部表面時,頂部電漿可處於低功率或無功率。藉由在噴頭353及底座(或腔室底部)之間施加AC電壓,而點燃基材 處理區域370中的電漿。清潔氣體可在電漿存在時導入基材處理區域370。
底座可具有熱交換通道,熱交換流體流過該熱交換通道中以控制基材溫度。此配置方式使基材溫度得以冷卻或加熱,以維持相對低的溫度(從室溫直到約120℃)。熱交換流體可包含乙二醇與水。底座的晶圓支撐淺盤(較佳為鋁、陶瓷或前述材料之組合)亦可被電阻式加熱以達成相對高的溫度(從約120℃直到約1100℃),此加熱是藉由使用嵌入式單迴路嵌入的加熱器元件達成,該元件設以造成平行的同心圓形式的兩個完整迴轉。加熱器元件的外部可繞於鄰接支撐淺盤的周邊處,同時內部繞於具有較小半徑的同心圓的路徑上。至加熱器元件的配線穿過底座的心柱。
基材處理系統是由系統控制器控制。在示範性實施例中,系統控制器包括硬碟機、軟碟機及處理器。處理器含有單板電腦(SBC)、類比數位輸入/輸出板、介面板及步進馬達控制板。CVD系統的各部件符合Versa Modular European(VME)標準,該標準界定電路板、介面卡插件箱(card cage)及連結器規格與類型。VME標準亦界定匯流排結構為具有16位元資料匯流排及24位元位址匯流排。
系統控制器控制所有沉積系統的活動。系統控制器執行系統控制軟體,該軟體是儲存在電腦可讀媒體中的電腦程式。該媒體較佳為硬碟,但該媒體也可以是其他種 類的記憶體。電腦程式包括指令集,該等指令集指定時間、氣體混合、腔室壓力、腔室溫度、RF功率層級、基座(susceptor)位置及其他特定製程參數。儲存在其他記憶體元件(其他記憶體元件包括例如軟碟或其他適合的驅動器)上的其他電腦程式亦可用於指示系統控制器。
可使用由系統控制器執行的電腦程式產品實施用於在基材上沉積膜堆疊(例如依序沉積含矽氮與氫層而隨後沉積含矽氧與碳層)、將膜轉變成氧化矽的製程或者用於清潔腔室的製程。電腦程式編碼可用任何習知電腦可讀的程式語言撰寫,例如68000組語、C、C++、Pascal、Fortran或其他程式語言。使用習知的文件編輯器將適合的程式編碼編入單一檔案或多重檔案,並且儲存或收錄於電腦可使用媒體(如電腦的記憶體系統)。倘若編入的編碼內文是高階語言,則編譯編碼,而所得的編譯編碼隨後與預先編譯的Microsoft Windows®函式庫常式之目的碼連結。為了執行該連結、編譯的目的碼,系統使用者援用該目的碼,使電腦系統載入記憶體中的編碼。CPU隨後讀取並且執行該編碼,以執行程式中辨識的任務。
使用者與控制器之間的介面經由平板接觸感應顯示器。在較佳實施例中,使用兩個顯示器,一個安裝在清潔室壁以供操作者使用,另一個在壁後以供維修技術人員使用。兩個顯示器可同時顯示相同資訊,該情況中,一次僅有一個接受輸入。為了選擇特定的螢幕或功能,操作者接觸該接觸感應顯示器的指定區域。接觸區域改 變該區域的強調色彩,或呈現新的選單或螢幕,以確認操作者和接觸感應顯示器之間的溝通。取代接觸感應顯示器,或者是除了接觸感應顯示器之外,可使用其他裝置,例如鍵盤、滑鼠或其他指示或溝通裝置,以讓使用者與系統控制器溝通。
在此所使用的「基材」可為具有(或不具有)形成在上面的多個層的支撐基材。該支撐基材可為有各種摻雜濃度及摻雜輪廓的絕緣體或半導體,可例如為用在積體電路製造上的該類型的半導體基材。「氧化矽」層可包括次要濃度的其他元素組分,諸如氮、氫、碳與類似元素。在一些實施例中,氧化矽基本上由氧與矽構成。「前驅物」之用語是用於指任何參與反應從表面移除材料或沉積材料在表面上的製程氣體。處於「激發態」的氣體描述其中至少有一些氣體分子處於振動型式的激發、解離及/或離子化的狀態的氣體。氣體(或前驅物)可以是兩種或兩種以上氣體(或前驅物)的組合。「自由基前驅物」是用於描述參與反應從表面移除材料或沉積材料在表面上的電漿流出物(離開電漿、處於激發態的氣體)。「自由基氮前驅物」是一種含有氮的自由基前驅物,而「自由基氫前驅物」是一種含有氫的自由基前驅物。「惰氣」一詞是指在蝕刻或被併入膜中時不形成化學鍵結的任何氣體。示範性的惰氣包括稀有氣體,但可包括其他氣體,只要當(一般而言)在膜中補捉到痕量的該氣體時不形成化學鍵結即可。
全文中所用的「溝槽」(trench)之用語毫無暗指意味地是指蝕刻過的幾何形狀具有大的水平深寬比。由表面上方所視,溝槽可顯現圓形、卵形、多邊形、矩形或各種其他形狀。「通孔」(via)之用語用於指低深寬比溝槽,該通孔可或可不被金屬填充而形成垂直的電連接。如在此所用,共形層指的是表面上與該表面形狀相同的大體上均勻的材料層,即,該層的表面與受覆蓋的表面大體上平行。此技術領域中具通常知識者將瞭解沉積的材料可能不會100%共形,而因此「大體上」一詞允許可接受的容忍值。
已在此描述數個實施例,發明所屬技術領域中具有通常知識者應知可使用多種修飾例、替代架構與等效例而不背離所揭示之實施例的精神。此外,說明書中不描述多種習知製程與元件,以避免不必要地混淆了本發明。故,上文中的描述不應被視為對本發明範疇之限制。
當提供一範圍的數值時,除非文本中另外清楚指明,應知亦具體揭示介於該範圍的上下限值之間各個區間值至下限值單位的十分之一。亦涵蓋了所陳述數值或陳述範圍中之區間值與陳述範圍中任何另一陳述數值或區間值之間的每個較小範圍。這些較小範圍的上限值與下限值可獨立地被包含或排除於該範圍中,且其中在該較小範圍內包含任一個極限值、包含兩個極限值,或不含極限值的各範圍也涵蓋於本發明內,取決於在該陳述的範圍中的任何特別排除之限制。在所陳述之範圍包括極限 值的一者或兩者之處,也包括該些排除其中任一者或兩者被包括的極限值的範圍。
在此與如附申請專利範圍中所使用之單數形式「一」與「該」等用語也包括複數形式,除非文本中另外清楚指明。因此,舉例而言,「一種製程」所指的製程包括複數個此類製程,而「該前驅物」所指的包括一或多種前驅物及該領域技術人士所熟知的該等材料之等效例等。
同樣,申請人希望此說明書與下述申請專利範圍中所用的「包括」與「包含」等用語是指存在所陳述之特徵、整體、部件或步驟,但該等用語不排除存在或增加一或多種其他特徵、整體、部件、步驟、動作或群組。
100‧‧‧方法
102-112‧‧‧處理步驟
200‧‧‧處理系統
202‧‧‧FOUP
204、210‧‧‧機械手臂
206‧‧‧低壓固持區域
208a-f‧‧‧處理腔室
300‧‧‧基材處理腔室
310‧‧‧遠端電漿系統
311‧‧‧氣體入口組件
312、313‧‧‧通道
320‧‧‧腔室電漿區域
321‧‧‧蓋
324‧‧‧絕緣環
326‧‧‧長度
350‧‧‧直徑
351‧‧‧中空空間
353‧‧‧穿孔隔件
355‧‧‧小孔洞
356‧‧‧透孔
370‧‧‧基材處理區域
藉由參考說明書之其餘部分及圖式,可進一步瞭解本發明的本質與優點,在該等圖式中,相似的元件符號用於全部多幅圖式中以指類似部件。一些例子中,次符號與元件符號相連且置於破折號後,以標注多個類似部件之一者。當參考元件符號而不對現存次符號詳細規定時,申請人希望是指所有此類多個類似部件。
第1圖是流程圖,說明用於根據本發明之實施例製作氧化矽膜的所選擇的步驟。
第2圖圖示根據本發明實施例的基材處理系統。
第3A圖圖示根據本發明實施例的基材處理腔室。
第3B圖圖示根據本發明實施例的氣體分配噴頭。
100‧‧‧方法
102-112‧‧‧處理步驟

Claims (19)

  1. 一種在一基材上形成一氧化矽層的方法,該方法包含以下步驟:形成包含矽、氮與氫的一第一層,該步驟藉由以下步驟完成:將一無激發的前驅物流進一第一遠端電漿區域以產生一自由基前驅物;在一第一無電漿的基材處理區域中將一無碳的含矽前驅物結合該自由基前驅物;及沉積一無碳的含矽氮與氫層覆於該基材上;及形成包含矽與氧的一第二層,該步驟藉由以下步驟完成:將一無激發的含氧前驅物流進一第二遠端電漿區域以產生一自由基氧前驅物;將一含矽與碳前驅物與該自由基氧前驅物在一第二無電漿的基材處理區域中結合;及沉積一含矽氧與碳的覆蓋層覆於該無碳的含矽氮與氫層上。
  2. 如請求項1所述之方法,其中在形成該第一層與形成該第二層之間該基材不暴露至空氣。
  3. 如請求項1所述之方法,其中在形成該第一層後與形成該第二層前,從該第一無電漿的基材處理區域移除未反應的前驅物及反應副產物。
  4. 如請求項1所述之方法,其中該第一遠端電漿區域與該第二遠端電漿區域相同,且該第一無電漿的基材處理區域與該第二無電漿的基材處理區域相同。
  5. 如請求項1所述之方法,其中在形成該第一層與該第二層期間,該基材的一溫度為約0℃或更大至約225℃或更低。
  6. 如請求項1所述之方法,其中在形成該第二層之後不需要固化操作防止一旦暴露該基材至空氣後膜性質隨著時間發展。
  7. 如請求項1所述之方法,其中該含矽與碳前驅物包含以下物質之一者:二甲基矽烷、三甲基矽烷、四甲基矽烷、二乙基矽烷、正矽酸甲酯(tetramethylorthosilicate)、四甲基二矽氧烷、正矽酸乙酯(tetraethylorthosilicate)、八甲基三矽氧烷(octamethyltrisiloxane)、八甲基環四矽氧烷(octamethylcyclotetrasiloxane)、四甲基二甲基二甲氧基二矽烷 (tetramethyldimethyldimethoxydisilane)、四甲基環四矽氧烷(tetramethylcyclotetrasiloxane)、二甲基二甲氧基矽烷(dimethyldimethoxysilane)、二乙基甲基矽烷、甲基三乙氧基矽烷、苯基二甲基矽烷與苯基矽烷之一者。
  8. 如請求項1所述之方法,進一步包含以下步驟:在一含臭氧大氣中固化該第一層與該第二層,以將該第一層與該第二層轉變成一含矽與氧層。
  9. 如請求項8所述之方法,其中在該固化操作期間,該基材的一溫度為約75℃或更高至約225℃或更低。
  10. 如請求項8所述之方法,進一步包含以下步驟:將該含矽與氧層暴露至一潮濕環境,該潮濕環境具有至少50%的相對濕度,以將該含矽與氧層轉變成一氧化矽層。
  11. 如請求項10所述之方法,其中在該暴露操作期間,該基材的一溫度為約25℃或更高至低於100℃。
  12. 如請求項10所述之方法,其中該氧化矽層基本上由矽與氧構成。
  13. 如請求項1所述之方法,其中該第二層的一厚度介於約100Å與約300Å之間。
  14. 如請求項1所述之方法,其中該無碳的含矽氮與氫層在沉積期間可流動。
  15. 如請求項1所述之方法,其中該基材經圖案化並且具有一溝槽,該溝槽具有約50 nm或更低的一寬度。
  16. 如請求項1所述之方法,其中該無激發的前驅物包含氮且該自由基前驅物是一自由基氮前驅物。
  17. 如請求項1所述之方法,其中該無激發的前驅物包含N2H2、NH3、N2與H2之至少一者。
  18. 如請求項1所述之方法,其中該無碳的含矽前驅物包含N(SiH3)H2、N(SiH3)2H或N(SiH3)3之一者。
  19. 如請求項1所述之方法,進一步包含以下步驟:在形成該第一層與形成該第二層的該等操作之間從該第一無電漿的基材處理區域移除製程流出物。
TW101118985A 2011-06-03 2012-05-28 降低釋氣的覆蓋層 TW201308432A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161492914P 2011-06-03 2011-06-03
US13/448,624 US8466073B2 (en) 2011-06-03 2012-04-17 Capping layer for reduced outgassing

Publications (1)

Publication Number Publication Date
TW201308432A true TW201308432A (zh) 2013-02-16

Family

ID=47260223

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101118985A TW201308432A (zh) 2011-06-03 2012-05-28 降低釋氣的覆蓋層

Country Status (3)

Country Link
US (1) US8466073B2 (zh)
TW (1) TW201308432A (zh)
WO (1) WO2012166618A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI715572B (zh) * 2015-05-02 2021-01-11 美商應用材料股份有限公司 用於沉積低k及低濕式蝕刻率介電薄膜的方法
TWI817643B (zh) * 2019-03-08 2023-10-01 日商斯庫林集團股份有限公司 熱處理方法及熱處理裝置

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10480066B2 (en) 2015-12-19 2019-11-19 Applied Materials, Inc. Metal deposition methods
TWI716511B (zh) 2015-12-19 2021-01-21 美商應用材料股份有限公司 用於鎢原子層沉積製程作為成核層之正形非晶矽
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10128086B1 (en) * 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) * 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
DE60025872T2 (de) 1999-10-25 2006-08-17 Dow Corning Corp., Midland Lösliche Siliconharzzusammensetzungen
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
WO2001074957A1 (fr) 2000-04-04 2001-10-11 Asahi Kasei Kabushiki Kaisha Composition de revetement pour la production de films minces d'isolation
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
SG187992A1 (en) 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (fr) 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
JP4142941B2 (ja) * 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
WO2005078784A1 (ja) 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
KR100762573B1 (ko) 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5149273B2 (ja) 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
EP2024532A4 (en) 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) * 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI715572B (zh) * 2015-05-02 2021-01-11 美商應用材料股份有限公司 用於沉積低k及低濕式蝕刻率介電薄膜的方法
TWI817643B (zh) * 2019-03-08 2023-10-01 日商斯庫林集團股份有限公司 熱處理方法及熱處理裝置

Also Published As

Publication number Publication date
WO2012166618A2 (en) 2012-12-06
US8466073B2 (en) 2013-06-18
WO2012166618A3 (en) 2013-02-28
US20120309205A1 (en) 2012-12-06

Similar Documents

Publication Publication Date Title
TW201308432A (zh) 降低釋氣的覆蓋層
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
JP5600368B2 (ja) 低温酸化ケイ素変換
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
US8980382B2 (en) Oxygen-doping for non-carbon radical-component CVD films
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
US20120238108A1 (en) Two-stage ozone cure for dielectric films
JP2014507797A (ja) ラジカル蒸気cvd
JP2013545284A (ja) アミン硬化ケイ素−窒化物−水素化物膜
JP2013533639A (ja) 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
KR20120102155A (ko) 산화물 라이너를 이용하는 유동성 유전체