JP2013533639A - 流動性cvdによる間隙充填用の酸化物を多く含むライナ層 - Google Patents

流動性cvdによる間隙充填用の酸化物を多く含むライナ層 Download PDF

Info

Publication number
JP2013533639A
JP2013533639A JP2013521814A JP2013521814A JP2013533639A JP 2013533639 A JP2013533639 A JP 2013533639A JP 2013521814 A JP2013521814 A JP 2013521814A JP 2013521814 A JP2013521814 A JP 2013521814A JP 2013533639 A JP2013533639 A JP 2013533639A
Authority
JP
Japan
Prior art keywords
gap
oxygen
layer
silicon
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2013521814A
Other languages
English (en)
Inventor
トンチン リー,
チンメイ リャン,
ニティン, ケー. イングル,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013533639A publication Critical patent/JP2013533639A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

低い体積割合のボイドを含む間隙充填酸化ケイ素層の形成について説明する。堆積は、酸素の乏しい流動性のより高い間隙充填層の前に酸素を多く含む流動性のより低いライナ層を形成することを伴う。しかし、このライナ層は、間隙充填層と同一のチャンバ内において堆積される。ラジカル成分と励起されない(すなわちプラズマ出力印加により直接的には励起されない)シリコン含有前駆体とを組み合わせることにより、ライナ層および間隙充填層を共に形成することができる。ライナ層は、間隙充填層よりも酸素含有量が高く、より共形的に堆積する。ライナ層が存在することにより、間隙充填層の堆積速度を速めることができる。間隙充填層は、シリコン、酸素、および窒素を含んでもよく、高温にてより多くの酸素およびより少ない窒素を含むように転化される。間隙充填ライナが存在することにより、この転化中に導入される気相酸素を増加させるための酸素源が、間隙充填層の下方に提供される。
【選択図】図1

Description

関連出願の相互参照
本出願は、「OXIDE−RICH LINER LAYER FOR FLOWABLE CVD GAPFILL」と題する2011年6月3日に出願されたLi等による米国特許出願第13/153,016号のPCT出願であり、その開示全体が全ての目的のために参照により本明細書に組み込まれる、「OXIDE−RICH LINER LAYER FOR FLOWABLE CVD GAPFILL」と題する2010年7月30日に出願されたLi等による米国仮特許出願第61/369,352号に関するものであり、該仮特許出願の利益を主張する。
半導体デバイスの形状寸法は、半導体デバイスが導入された数十年前以来、サイズが劇的に縮小されてきた。近年の半導体製造装置は、45nm、32nm、および28nmの特徴サイズを有するデバイスを定型的に生産するが、さらにより小さな形状寸法を有するデバイスを作製する新しい装置が開発および実現されつつある。特徴サイズの縮小により、デバイス上の構造特徴は、縮小された空間寸法を有することになる。デバイス上の間隙およびトレンチの幅は、誘電体材料で間隙を充填することが困難になる程、間隙の深さ対幅のアスペクト比が大きくなる程度にまで幅狭になる。誘電体材料の堆積は、間隙が完全に充填される前に間隙の上部にて閉塞を生じさせがちであり、これにより、間隙の中間にボイドまたはシームが生じる。
長年にわたり、誘電体材料により間隙の上部が閉塞されるのを回避するために、または形成されたボイドもしくはシームを「解消」するために、多数の技術が開発されてきた。1つのアプローチは、スピン基板表面に対して液相で塗布することができる高流動性前駆体材料(例えばSOG堆積技術など)に端を発している。これらの流動性前駆体は、ボイドまたは脆弱シームを形成することなく非常に小さな基板間隙内に流入し充填することが可能である。しかし、これらの高流動性材料は、堆積された後には、固相誘電体材料へと硬化されなければならない。
多数の例において、この硬化プロセスは、堆積された材料から炭素基およびヒドロキシル基を除去して酸化ケイ素などの固相誘電体を後に残すための熱処理を含む。残念ながら、離脱したこれらの炭素種およびヒドロキシル種は、硬化された誘電体中に、最終材料品質を低下させる孔をしばしば残す。さらに、硬化中の誘電体は、体積収縮を引き起こす傾向がさらにあり、これにより、誘電体と周囲基板との界面にクラックおよび空間が残る恐れがある。いくつかの例においては、硬化された誘電体の体積は、40%以上も減少する恐れがある。
したがって、基板の間隙およびトレンチ内にボイド、シーム、またはそれらの両方を生じさせることなく、構築された基板上に誘電体材料を形成するための、新しい堆積プロセスおよび堆積材料が必要である。また、孔をより減少させ、収縮をより低下させると共に、依然として生じる収縮に対して対応した、材料および流動性誘電体材料を硬化する方法が必要である。本発明においては、このことおよび他の必要性が対象となる。
低い体積割合のボイドを含む間隙充填酸化ケイ素層の形成について説明する。この堆積は、酸素の乏しい流動性のより高い間隙充填層の前に酸素を多く含む流動性のより低いライナ層を形成することを伴う。しかし、このライナ層は、間隙充填層と同一のチャンバ内において堆積される。ラジカル成分と励起されない(すなわちプラズマ出力印加により直接的には励起されない)シリコン含有前駆体とを組み合わせることにより、ライナ層および間隙充填層を共に形成することができる。ライナ層は、間隙充填層よりも酸素含有量が高く、より共形的に堆積する。ライナ層が存在することにより、間隙充填層の堆積速度を速めることができる。間隙充填層は、シリコン、酸素、および窒素を含んでもよく、高温にてより多くの酸素およびより少ない窒素を含むように転化されてもよい。間隙充填ライナが存在することにより、この転化中に導入される気相酸素を増加させるための酸素源が、間隙充填層の下方に提供される。
本発明の実施形態は、トレンチを含むパターニングされた基板上に酸化ケイ素層を形成する方法を含む。この方法は、基板処理チャンバ内に基板を移動させるステップと、次いでトレンチを備える基板の上に酸素を多く含むライナ層を形成するステップとを含む。この方法は、基板の上およびトレンチの中に間隙充填誘電体層を形成するステップをさらに含む。間隙充填誘電体層は、酸素を多く含むライナ層よりも酸素含有量が低く、間隙充填誘電体層は、形成中には流動性を有する。この方法は、酸素の幾分かを酸素を多く含むライナ層から間隙充填誘電体層中に移動させるために、高温にて間隙充填誘電体層を硬化するステップをさらに含む。
さらなる実施形態および特徴は、その一部は以下の説明において示すが、一部は本明細書を精査することにより当業者には明らかになり、または開示の実施形態を実施することにより理解されよう。開示の実施形態の特徴および利点は、本明細書に記載の手段、組合せ、および方法によって実現および達成することができる。
低い体積割合のボイドを含む間隙充填酸化ケイ素層の形成について説明する。この堆積は、酸素の乏しい流動性のより高い間隙充填層の前に酸素を多く含む流動性のより低いライナ層を形成することを伴う。しかし、このライナ層は、間隙充填層と同一のチャンバ内において堆積される。ラジカル成分と励起されない(すなわちプラズマ出力印加により直接的には励起されない)シリコン含有前駆体とを組み合わせることにより、ライナ層および間隙充填層を共に形成することができる。ライナ層は、間隙充填層よりも酸素含有量が高く、より共形的に堆積する。ライナ層が存在することにより、間隙充填層の堆積速度を速めることができる。間隙充填層は、シリコン、酸素、および窒素を含んでもよく、高温にてより多くの酸素およびより少ない窒素を含むように転化されてもよい。間隙充填ライナが存在することにより、この転化中に導入される気相酸素を増加させるための酸素源が、間隙充填層の下方に提供される。
開示の実施形態によるボイドの少ない酸化ケイ素間隙充填誘電体層を作製するための選択されたステップを示す流れ図である。 開示の実施形態による多層誘電体膜の断面図である。 開示の実施形態による酸化物を多く含むライナ層を用いない酸化ケイ素間隙充填の断面図である。 開示の実施形態による酸化物を多く含むライナ層を用いた酸化ケイ素間隙充填の断面図である。 開示の実施形態による基板処理システムを示す図である。 開示の実施形態による基板処理チャンバを示す図である。 開示の実施形態による基板処理チャンバのシャワーヘッドを示す図である。
クラックを生じさせる傾向が低い間隙充填酸化ケイ素層の形成について説明する。この堆積は、トレンチの充填を容易にする流動性シリコン含有層の形成を伴う。高基板温度での後の処理により、先行技術の方法によって形成された流動性膜に比べて、誘電体膜中におけるクラックが減少する。間隙充填酸化ケイ素層の形成に先立ち堆積される圧縮ライナ層について説明するが、これは、後に堆積される膜がクラックを生じる傾向を低下させる。また、流動性シリコン含有層の後に堆積される圧縮キャップ層が、クラックをやはり減少させることが判明している。圧縮ライナ層および圧縮キャップ層は、クラックを減少させるために単独でまたは組み合わせて使用することが可能である。開示の実施形態における圧縮キャップ層は、窒化ケイ素下層を酸化ケイ素層へと変質させ得ることが、さらに判明している。
後の間隙充填層よりも高い酸素含有量を有するライナ層を備えることにより、間隙充填層を酸化ケイ素に転化する際に使用するための別の酸素源が、間隙充填層の下方に提供される。流動性膜は、別個の硬化ステップとして、または後の処理の際の間隙充填膜を備える膜スタックの加熱の自然な副次的結果として、硬化を必要とする場合がある。硬化は、酸素含有環境内において達成することができ、この酸素含有環境から、酸素が、間隙充填層中に移動し、パターニングされた基板上のトレンチ内に間隙充填層を流入可能にするために必要であった成分と置換される。これらの成分は、間隙充填層がトレンチを充填すると、除去することができる。硬化により、これらの成分は酸素と置換され、次いで酸素の一部が、酸化物を多く含むライナ層から間隙充填層内へと拡散する。
次に、本発明をよりよく理解し認識するために、図1〜図3を参照する。これらの図面は、酸化物を多く含むライナ層を使用するために選択されたステップを示す流れ図と、本発明の実施形態による酸化物を多く含むライナ層を組み込んだ構造の断面図である。方法100は、トレンチを有するパターニングされた基板を基板処理領域内へと移動させること102を含む。この例においては、酸化ケイ素ライナ層が、パターニングされた基板上に堆積される104。図2は、基板200から破線202まで成長した酸化物を多く含むライナを示す。図3Bは、パターニングされた基板300中のトレンチの上において破線302まで成長した酸化物を多く含むライナを示す。ライナ層が堆積された後に、間隙充填誘電体層が、ステップ106〜110において、CVDにより成長する。この間隙充填誘電体層は、形成中には、トレンチのより十分な充填を助長するために流動性となる。間隙充填誘電体層204、304−2は、図2および図3Bにそれぞれ図示される。酸化物を多く含むライナ層は、間隙充填誘電体層よりも共形性が高く、いくつかの開示の実施形態においては一般的に共形的であってもよい。間隙充填誘電体層は、トレンチを実質的に充填し得る。
形成の際に流動性を有する間隙充填誘電体層を堆積するために、様々な方法を利用することが可能である。図1の例においては、シリコン前駆体が、基板を収容する基板処理領域へと導入される106。別の前駆体は、「ラジカル窒素」前駆体を生成するために遠隔プラズマ領域を通過した後に初めて導入され、次いでこれは、基板処理領域内に流入し108、シリコン前駆体と組み合わされる。シリコン含有前駆体は、プラズマ出力の印加により直接的には励起されない。換言すれば、プラズマ出力は、基板処理領域においてプラズマを励起するためには印加されない。この構成により、ライニングを施されたトレンチ内へのシリコン−窒素含有層の流動可能な堆積が得られる110。膜の流動性は、堆積が進行するにつれて低下し、硬化作業の際には実質的に除去される。この硬化作業は、シリコン−窒素含有層を酸化ケイ素へと転化すること112を伴う。硬化は、パターニングされた基板の温度を上昇させることと、間隙充填誘電体層を酸素含有環境に晒すこととを伴う。酸化物を多く含むライナ層の酸素含有量は、シリコン−窒素含有間隙充填層よりも高い。この温度の上昇により、ライナ層から間隙充填層内への酸化物の拡散が誘発される。このライナ層は、間隙充填誘電体層の下方からの追加的な酸素源を提供する。
ラジカル成分CVDは、開示の実施形態においては、酸化物を多く含むライナおよび間隙充填層を形成するために利用される。この2つの作業は、同一の基板処理領域内で実施されることにより、所有コストが削減され、スループットが上昇し、界面の完全性が維持される。シリコン含有前駆体は、間隙充填誘電体層の形成の際に流動性を確保するために炭素または窒素を含んでもよい。開示の実施形態においては、シリコン含有前駆体は、硬化プロセスの際に間隙充填層が被る収縮を低減させ得る炭素非含有シリコン含有前駆体である。この炭素非含有シリコン前駆体は、他の種類のシリコン前駆体の中でもとりわけ、例えばシリコン−窒素前駆体、シリコン−水素前駆体、またはシリコン−窒素−水素含有前駆体などであってもよい。これらの前駆体の具体的な例としては、他のシリルアミンの中でもとりわけ、HN(SiH)、HN(SiH、N(SiHなどのシリルアミンが挙げられる。これらのシリルアミンは、キャリアガス、反応ガス、またはそれらの両方として作用し得る追加のガスと混合されてもよい。これらの追加のガスの例としては、他のガスの中でもとりわけH、N、NH、He、およびArが挙げられる。また、炭素非含有シリコン前駆体の例としては、また、単体の、あるいは他のシリコン含有ガス(例えばN(SiH)、水素含有ガス(例えばH)、および/または窒素含有ガス(例えばN、NH)と混合された、シラン(SiH)が挙げられる。また、シリコン含有前駆体は、シラン、ジシラン、等々の、炭素または窒素を含まないシリコン成分を含んでもよい。堆積された酸化物膜が、ドープされた酸化物膜である場合には、他のホウ素ドーパントおよびリンドーパントの中でもとりわけ、TEB、TMB、B、TEPO、PH、P、およびTMPなどのドーパント前駆体がさらに使用されてもよい。
窒素は、ラジカル前駆体およびシリコン含有前駆体のいずれか一方または両方に含まれてもよい。窒素がラジカル前駆体中に存在する場合には、これは、ラジカル窒素前駆体と呼ぶことができる。ラジカル窒素前駆体は、プラズマ内においてより安定的な窒素含有前駆体を励起することにより生成されるプラズマ放出物を含む。例えば、NHおよび/またはヒドラジン(N)を含む比較的安定的な窒素含有前駆体が、チャンバプラズマ領域または処理チャンバ外部の遠隔プラズマシステム(RPS)内において活性化されることにより、ラジカル窒素前駆体が形成され、次いで、このラジカル窒素前駆体が、プラズマ非含有基板処理領域内に搬送されてもよい。また、それぞれの実施形態において、この安定的な窒素前駆体は、NHおよびN、NHおよびH、NHおよびNおよびH、ならびにNおよびHを含む混合気であってもよい。また、ヒドラジンは、NおよびHとの混合気中のNHの代わりに、またはそれと組み合わせて使用されてもよい。安定的な窒素前駆体の流量は、それぞれの実施形態において、約200sccm以上、約300sccm以上、約500sccm以上、または約700sccm以上であってもよい。また、窒素含有前駆体は、NO、NO、NO、およびNHOHを含んでもよい。生成されたラジカル窒素前駆体は、N、NH、NH、等々の中の1つまたは複数を含んでもよく、プラズマ内で生成されるイオン化核種を伴ってもよい。他の実施形態においては、ラジカル窒素前駆体は、基板処理領域から仕切られた処理チャンバのあるセクション内において生成され、そこにおいて、この前駆体は、混合および反応することにより堆積基板(例えば半導体ウエハ)上にシリコン−窒素層を堆積する。このパーテーションは、シャワーヘッドとも同義的に呼ぶことができる。また、ラジカル窒素前駆体は、アルゴン、ヘリウム、等々のキャリアガスを伴ってもよい。同時に、酸素が(Oおよび/またはOの形態で)、このラジカル窒素前駆体およびこの技術を用いて堆積されたライナまたは間隙充填層の中の酸素含有量を調節するために、遠隔プラズマ領域内に送達されてもよい。
トレンチは、HDP−CVDなどの先行技術の間隙充填技術を用いて作製された流動性のより低い膜を使用して、ボイドまたはシームを形成することなく充填することは困難となる場合がある。トレンチは、1:1を大幅に上回る(例えば5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上、等々)高さ対幅(すなわちH/W)のアスペクト比(AR)をもたらす高さおよび幅を有する場合がある。多数の例において、高いARは、約90nm〜約22nm以下の範囲(例えば約90nm、65nm、45nm、32nm、22nm、16nm、等々)の小さな間隙幅に起因する。これらの限定的な外形寸法は、従来の窒化ケイ素(Si)膜の堆積によって完全には充填されない。堆積されるシリコン−窒素含有膜は、幅狭な間隙、トレンチ、および基板の堆積表面上の他の構造部の中への流入を可能にする流動性特徴を有する。この層が流動性であることにより、充填材料の中央部の周囲にボイドまたは脆弱シームを生じさせることなく、高いアスペクト比で間隙を充填することが可能となる。例えば、流動性材料の堆積は、間隙が完全に充填される前に間隙の上部を早期に閉塞させてしまう可能性がより低い。これは、ギャップの中間に残るボイドを減少させるまたは消失させるのに役立つことができる。
この流動性は、少なくとも部分的には、堆積された膜内の有意な水素成分に起因し得る。例えば、堆積された膜は、シラザンタイプのSi−NH−Si骨格を有してもよい(すなわちSi−N−H膜)。また、流動性は、シラザンタイプの短鎖ポリマーから得ることができる。短鎖ポリマーの形成および流動性を可能にする窒素は、ラジカル前駆体またはシリコン含有前駆体のいずれかに由来するものであってもよい。シリコン前駆体およびラジカル窒素前駆体の両方が炭素非含有である場合には、堆積されるシリコン−窒素含有膜はまた、実質的に炭素非含有となる。当然ながら「炭素非含有」は、膜が僅かな量の炭素さえも欠くことを必ずしも意味しない。炭素汚染物質は、最終的には堆積されるシリコン−窒素含有膜になる前駆体物質中に存在してもよい。しかし、これらの炭素不純物の量は、炭素部分を有するシリコン前駆体(例えばTEOS、TMDSO、等々)中に見受けられる量よりもはるかに少ない。
シリコン−窒素含有層の堆積後に、堆積基板は、酸素含有雰囲気に晒されてもよい112。堆積基板は、酸素含有雰囲気が導入される際に反応チャンバ内に留まってもよく、または基板は、酸素含有雰囲気が導入される異なるチャンバに移動されてもよい。酸素含有雰囲気は、他の酸素含有ガスの中でもとりわけ分子酸素(O)、オゾン(O)、水蒸気(HO)、および窒素酸化物(NO、NO、等々)などの1つまたは複数の酸素含有ガスを含んでもよい。また、酸素含有雰囲気は、遠隔的に生成され基板チャンバ内に搬送され得る、原子酸素(O)、水酸化物(OH)、等々のラジカル酸素種および水酸化物種を含んでもよい。また、酸素含有種のイオンが存在してもよい。
酸素含有雰囲気は、シリコン−窒素含有膜を酸化ケイ素(SiO)膜に転化するための酸素を供給する112。先述のように、シリコン−窒素含有膜中に炭素が欠如していることにより、最終的な酸化ケイ素膜中に形成される孔の数は著しく減少する。堆積からアニールにかけての正味の収縮量は、流動性シリコン−酸素含有膜を初めに堆積する場合とは対照的に、流動性シリコン−窒素含有膜を堆積し酸化ケイ素に転化することにより減少する。転化プロセスの際に、基板温度は、約25℃〜約1100℃の範囲(例えば約200℃、約300℃、約400℃、約500℃、約600℃、約700℃、約800℃、約900℃、約1000℃、等々)であってもよい。多くの例において、体積縮小は、酸化ケイ素の収縮の結果として間隙内に形成されるシームもしくは空間を充填、解消、または他の様式で対処するための後加熱処理を回避するのに十分な程僅かなものとなる(例えば約15体積%以下)。一実施形態においては、転化は、2部において行われてもよい。この2部転化は、酸化を開始させるための低温オゾン硬化(例えば200℃〜約400℃)と、その後の酸素含有環境内における高温アニールとを含んでもよい。これらの作業中に、酸素を多く含むライナ層から、酸素が間隙充填シリコン−窒素含有層中に拡散することにより、間隙充填層のより十分な転化がなされる。開示の実施形態においては、窒素は、間隙充填層内に依然として存在し得るが、間隙充填材料は、シリコンおよび酸素から主に構成される。
図1のプロセスは、酸化ケイ素が、初めにシリコン−窒素含有層を堆積し、次いでこの層を酸化ケイ素へと転化することにより形成される、プロセスを説明する。他の実施形態においては、堆積される膜は、プラズマにより直接的には励起されていないシリコン−炭素含有前駆体と組み合わされたラジカル酸素前駆体により作製される。この場合には、この堆積される膜は、シリコン−酸素および炭素含有膜となり、この膜は、シリコン−窒素含有膜を伴うプロセスに比較して、後の処理の際により大きな収縮が起こる場合がある。プラズマを通過しない例示的な炭素含有前駆体としては、TMOS、TriMOS、TEOS、OMCTS、HMDS、TMCTR、TMCTS、OMTS、TMS、HMDSO、および/またはTMDSOが挙げられる。ラジカル酸素前駆体は、プラズマ内において酸素含有前駆体を励起することにより生成されるプラズマ放出物を含み、例示的な酸素含有前駆体としては、O、O、NO、NO、NO、H、HO、およびNHOHが挙げられる。間隙充填シリコン−酸素および炭素含有層を堆積する前に酸化物を多く含むライナを堆積することにより、表面下酸素が、硬化の際に供給される酸素雰囲気からの酸素を増加させることが可能となる。
開示の実施形態のうちのいくつかの実施形態は、様々な温度および雰囲気を用いた硬化後に複数のアニール段階を含んでもよい。例えば、第1の加熱段階を、蒸気(HO)を含む雰囲気内においてより低い第1の温度にて実施してもよく、第2の加熱段階を、実質的に水蒸気を欠いた乾燥酸素含有雰囲気内においてより高い第2の温度にて実施してもよい。また、第2の加熱段階は、酸素非含有雰囲気(例えば乾燥N、He、Ar、等々)内において実行してもよい。
次に本明細書において提示するライナ層の一般的特性について論じると、開示の実施形態による酸化物を多く含むライナ層は、ターゲットトレンチの幅の半分よりも薄いため、その後に堆積される流動性膜が残りの間隙内に流入することが可能となる。ライナ層の厚さは、様々な実施形態において、約100Å以下、約70Å以下、約50Å以下、または約30Å以下であってもよい。しかし、酸化物を多く含むライナ層は、硬化の際に再分散するための酸素貯蓄部である。そのため、この厚さは、間隙充填誘電体層中の酸素含有量を上昇させるのに有意な量の酸素を貯蓄するために十分厚くされることになる。また、酸化物を多く含むライナ内の酸素の濃度は、酸素貯蓄量が十分なものとなるように高くされることになる。
酸素を多く含むライナ層と間隙充填層との間の界面が、破線で図2(202)および図3B(302)に図示される。この界面は、硬化およびアニールの後には、酸素濃度が再分散時にはより均質になることにより実質的に消失し得る。本出願人等は、いくつかの実施形態において、この界面は、断面SEMを使用した検出が不能となることを発見した。破線202および302は、硬化が無かったために界面が存在している場合を示す。図3Aは、ライナ層を用いない流動性CVD間隙充填作業の断面SEMの画像を示し、図3Bは、ライナ層を用いた同一の堆積を示す。孔が、酸化物を多く含むライナ層の不在時に堆積された層304−1の間隙充填領域内においては視認可能である301。他方で、図3Bの堆積された層304−2の間隙充填領域においては、孔も界面も視認できない。
酸化物を多く含むライナの酸素含有量は、遠隔プラズマ領域内への前駆体の流量を調節することにより、間隙充填層の酸素含有量よりも多くすることができる。遠隔プラズマ領域内への酸素(O)対アンモニア(NH)の例示的な流量比は、酸化物を多く含むライナ層の形成の際には約2:1(原子酸素対窒素比の約4:1に相当)になり得る。O:NHのこの流量比は、間隙充填層の堆積の際には約1:5(原子酸素対窒素比の約2:5に相当)になり得る。これらの比は、ライナ層および間隙充填層に見受けられる化学量論を示唆するものとなる。開示の実施形態においては、ライナ原子酸素対窒素流量比が、間隙充填原子酸素対窒素流量比を上回ることにより、酸化物を多く含むライナの酸素含有量が、間隙充填誘電体層の酸素含有量を上回る。別の実施形態においては、ライナ原子酸素対窒素流量比は、5を超える乗法因子分だけ間隙充填原子酸素対窒素流量比を上回る。
流動性膜の成長時には、チャンバプラズマ領域または基板処理領域のいずれかの圧力は、約100トール以下、約50トール以下、約20トール以下、約10トール以下、または約5トール以下であってもよい。これらのいずれかまたは両方の領域の圧力は、それぞれの実施形態において約0.25トール以上、約0.5トール以上、約1トール以上、約2トール以上、または約5トール以上であってもよい。これらの各下限は、圧力に関するいずれかの上限と組み合わされて、開示の実施形態による適切な圧力の追加的な範囲を成してもよい。流動性膜の成長の際にチャンバプラズマ領域に存在するプラズマ条件(ラジカル酸素前駆体および/またはラジカル窒素前駆体を生成するための)として、開示の実施形態においては、約3000W〜約15,000W、約400W〜約10,000W、または約5000W〜約8000Wの高周波(RF)出力が挙げられる。
流動性膜の成長を進める一方、シリコン含有膜(上述の例においては窒素および/または炭素を含む)の堆積の際には基板温度を比較的低温に維持することができる。流動性酸化物膜は、堆積の際に基板を冷却することにより維持される低温にて基板表面上に堆積してもよい。ペデスタルは、ペデスタルシャフトの内部に加熱導管および/または冷却導管を備えてもよく、これらの導管は、それぞれの実施形態において約40℃〜約200℃、約100℃〜約160℃、約100℃未満、または約40℃未満に、ペデスタルおよび基板の温度を設定する。
例示的な基板処理システム
堆積システムの実施形態は、集積回路チップを生産するためのより大型の製造システム内に組み込まれてもよい。図4は、開示の実施形態による堆積チャンバ、ベーキングチャンバ、および硬化チャンバからなる1つのかようなシステム400を示す。この図においては、一対のFOUP(前方開口統合ポッド)402が、基板(例えば300mm直径ウエハ)を供給し、この基板は、ロボットアーム404により受けられ、低圧保持区域406内に配置され、その後ウエハ処理チャンバ408a〜408fの中の1つの中に配置される。第2のロボットアーム410は、保持区域406から処理チャンバ408a〜408fに基板ウエハを搬送し、そして戻すために使用することができる。
処理チャンバ408a〜408fは、基板ウエハの上に流動性誘電体膜を堆積、アニール、硬化、および/またはエッチングするための、1つまたは複数のシステム構成要素を備えてもよい。1つの構成においては、2対の処理チャンバ(例えば408c〜408dおよび408e〜408f)が、基板上に流動性誘電体材料を堆積するために使用されてもよく、第3の対の処理チャンバ(例えば408a〜408b)が、堆積された誘電体をアニールするために使用されてもよい。別の構成においては、同じ2対の処理チャンバ(例えば408c〜408dおよび408e〜408f)が、基板上に流動性誘電体膜の堆積およびアニールの両方を行うように構成されてもよく、第3の対の処理チャンバ(例えば408a〜408b)が、堆積された膜をUV硬化また電子ビーム硬化するために使用されてもよい。さらに別の構成においては、全ての3つの対のチャンバ(例えば408a〜408f)が、基板上に流動性誘電体膜を堆積および硬化するように構成されてもよい。さらに別の構成においては、2対の処理チャンバ(例えば408c〜408dおよび408e〜408f)が、流動性誘電体の堆積およびUV硬化または電子ビーム硬化の両方を行うために使用されてもよく、第3の対の処理チャンバ(例えば408a〜408b)が、誘電体膜をアニールするために使用されてもよい。流動性誘電体膜用の堆積チャンバ、アニールチャンバ、および硬化チャンバのさらなる構成が、システム400により予期されることが理解されよう。
さらに、プロセスチャンバ408a〜408fの中の1つまたは複数が、湿式処理チャンバとして構成されてもよい。これらの処理チャンバは、水分を含む雰囲気内において流動性誘電体膜を加熱することを含む。したがって、システム400の実施形態は、堆積された誘電体膜に対する湿式アニールおよび乾式アニールの両方を実施するために、ウェット処理チャンバ408a〜408bおよびアニール処理チャンバ408c〜408dを備えてもよい。
図5Aは、開示の実施形態による基板処理チャンバ500である。遠隔プラズマシステム(RPS)510が、次いでガス入口アセンブリ511を通り移動するガスを処理し得る。2つの別個のガス供給チャネルが、ガス入口アセンブリ511内に見える。第1のチャネル512は、遠隔プラズマシステムRPS510を通過するガスを運搬し、第2のチャネル513は、RPS500を迂回する。開示の実施形態においては、第1のチャネル502は、プロセスガス用に使用されてもよく、第2のチャネル513は、処理ガス用に使用されてもよい。蓋(または導電性上部部分)521および有孔パーテーション553が、それらの間に絶縁リング524を備えているのが示される。この絶縁リング524により、有孔パーテーション553を基準として蓋521にAC電位を印加することができる。プロセスガスは、第1のチャネル512を通過してチャンバプラズマ領域520内に移動し、単独でまたはRPS510との組合せにおいて、チャンバプラズマ領域520内でプラズマにより励起することができる。チャンバプラズマ領域520および/またはRPS510の組合せは、本明細書においては遠隔プラズマシステムと呼ばれる場合がある。有孔パーテーション(またはシャワーヘッドとも呼ばれる)553は、シャワーヘッド553の下方の基板処理領域570からチャンバプラズマ領域520を隔てる。励起された核種は、チャンバプラズマ領域520から基板処理領域570内に依然として移動し得るが、シャワーヘッド553により、チャンバプラズマ領域520内に存在するプラズマが、基板処理領域570内のガスを直接的に励起することが回避される。
シャワーヘッド553は、チャンバプラズマ領域520と基板処理領域570との間に位置決めされ、チャンバプラズマ領域520内において生成されたプラズマ放出物(前駆体または他のガスの励起された誘導体)が、プレートの厚さにわたって貫通する複数の貫通穴556を通過し得るようにする。また、シャワーヘッド553は、1つまたは複数の中空体積551を有する。この中空体積551は、蒸気またはガス(シリコン含有前駆体など)の形態の前駆体で充填することができ、チャンバプラズマ領域520内へ直接的には貫通せずに、小穴555を通り基板処理領域570内へと貫通することができる。シャワーヘッド553は、この開示の実施形態においては、貫通穴556の最小部分径550の長さよりも厚い。チャンバプラズマ領域520から基板処理領域570に貫入する励起された核種の有意な濃度を維持するために、シャワーヘッド553の途中まで貫通する貫通穴556のより大きな直径の部分を形成することによって、貫通穴の最小径部分550の長さ526を制限することができる。開示の実施形態においては、貫通穴556の最小径部分550の長さは、貫通穴556の最小径部分と同一桁以下であってもよい。
図示する実施形態においては、シャワーヘッド553は、酸素、水素、および/または窒素、および/または、チャンバプラズマ領域520内においてプラズマにより励起された場合のかかるプロセスガスのプラズマ放出物を含む、プロセスガスを(貫通穴556を経由して)分散することができる。いくつかの実施形態においては、第1のチャネル512を経由してRPS510および/またはチャンバプラズマ領域520内に導入されるプロセスガスは、酸素(O)、オゾン(O)、NO、NO、NO、NH、Nを含むN、シラン、ジシラン、TSA、およびDSAの中の1つまたは複数を含んでもよい。RPS510を通り流れる酸素対窒素の比は、酸化物を多く含むライナ層を堆積する際には比較的高くし、間隙充填誘電体層を堆積する際には低減させることができる。また、プロセスガスは、ヘリウム、アルゴン、窒素(N)、等々のキャリアガスを含んでもよい。また、第2のチャネル513は、プロセスガスおよび/またはキャリアガス、および/または成長中の膜もしくは成膜直後の膜から不要な成分を除去するために使用される膜硬化ガスを供給してもよい。プラズマ放出物は、プロセスガスのイオン化誘導体または中性誘導体を含んでもよく、本明細書においては、導入されるプロセスガスの原子成分を指すラジカル酸素前駆体および/またはラジカル窒素前駆体とも呼ばれる場合がある。
いくつかの実施形態においては、貫通穴556の個数は、約60〜約2000個とすることができる。貫通穴556は、様々な形状を有してもよいが、最も容易には円形状に作られる。開示の実施形態においては、貫通穴556の最小径部分550は、約0.5mm〜約20mmであってもよく、または約1mm〜約6mmであってもよい。また、貫通穴の断面形状の選択においては、ある許容範囲があり、円錐状、円筒状、またはこれら2つの形状の組合せであってもよい。基板処理領域570内にガスを導入するために使用される小穴555の個数は、それぞれの実施形態において、約100〜約5000個であってもよく、または約500〜約2000個であってもよい。小穴555の直径は、約0.1mm〜約2mmとすることができる。
図5Bは、開示の実施形態による、処理チャンバと共に使用するためのシャワーヘッド553の底面図である。シャワーヘッド553は、図5Aに示すシャワーヘッドに対応する。貫通穴556は、シャワーヘッド553の底部においてはより大きな内径(ID)を有し、上部においてはより小さなIDを有するように図示される。貫通穴556の中でもとりわけ、小穴555は、シャワーヘッドの表面にわたって実質的に均一に分散され、これによって、本明細書に記載の他の実施形態よりもより均一な混合が実現する役に立つ。
シャワーヘッド553中の貫通穴556を通り到達したプラズマ放出物が、中空体積551から始まる小穴555を通り到達したシリコン含有前駆体と結合すると、例示的な膜が、基板処理領域570内においてペデスタル(図示せず)によって支持された基板上に作製される。基板処理領域570は、硬化などの他のプロセスのためにプラズマを支援するために設けられてもよいが、この例示的な膜の成長の際には、プラズマは存在しない。
プラズマは、シャワーヘッド553の上方のチャンバプラズマ領域520内において、またはシャワーヘッド553の下方の基板処理領域570内において点火することができる。典型的には高周波(RF)帯域のAC電圧が、処理チャンバの導電性上部部分521とシャワーヘッド553との間に印加されることにより、堆積の最中にチャンバプラズマ領域520内においてプラズマを点火させる。基板処理領域570内の底部プラズマが、膜を硬化するかまたは基板処理領域570の境界をなす内部表面を洗浄するかのいずれかのために放電されるとき、上部プラズマは、低出力または無出力に留められる。基板処理領域570内のプラズマは、シャワーヘッド553とチャンバのペデスタルまたは底部との間にAC電圧を印加することにより点火される。プラズマが存在する間に、洗浄ガスが基板処理領域570内に導入されてもよい。
基板処理システムは、システムコントローラによって制御される。例示的な一実施形態においては、システムコントローラは、ハードディスクドライブ、フロッピー(登録商標)ディスクドライブ、およびプロセッサを備える。プロセッサは、シングルボードコンピュータ(SBC)、アナログ入出力ボードおよびデジタル入出力ボード、インターフェースボード、およびステッピングモータコントローラボードを含む。CVDシステムの様々な部分が、ボード、カードケージ、およびコネクタの寸法およびタイプを規定するバーサモジュラーヨーロピアン(VEM)規格に準拠する。また、VEM規格は、バス構造を、16ビットデータバスおよび24ビットアドレスバスを有するものとして規定する。
システムコントローラは、CVDマシンの全作業を制御する。システムコントローラは、コンピュータ可読媒体内に記憶されたコンピュータプログラムであるシステム制御ソフトウェアを実行する。好ましくは、この媒体は、ハードディスクドライブであるが、他の種類のメモリであってもよい。コンピュータプログラムは、特定のプロセスのタイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF出力レベル、サセプタ位置、および他のパラメータを指示する命令セットを含む。また、例えばフロッピー(登録商標)ディスクまたは他のもう1つの適切なドライブなどを含む他のメモリデバイス上に記憶された他のコンピュータプログラムが、システムコントローラに指示を与えるために使用されてもよい。
基板上に膜スタックを堆積するためのプロセス、またはチャンバを洗浄するためのプロセスは、システムコントローラにより実行されるコンピュータプログラム製品を使用して実施することが可能である。このコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語、例えば68000アセンブリ言語、C、C++、Pascal、Fortran、またはその他などで記述することが可能である。適切なプログラムコードが、通常のテキストエディタを使用して単一のファイルまたは複数のファイル内に入力され、コンピュータのメモリシステムなどのコンピュータ可用媒体に記憶されるかまたは取り込まれる。入力されるコードテキストが、高水準言語で入力される場合には、コードはコンパイルされ、次いで、結果的に得られたコンパイラコードは、事前コンパイルされたMicrosoft Windows(登録商標)ライブラリルーチンのオブジェクトコードとリンクされる。このリンクされコンパイルされたオブジェクトコードを実行するために、システムユーザは、このオブジェクトコードを呼び出して、コンピュータシステムにメモリ内のこのコードをロードさせる。次いで、CPUが、このコードを読み取り実行して、プログラム内に指定されたタスクを実施する。
ユーザとコントローラとの間のインターフェースは、フラットパネルタッチセンサモニタによるものである。好ましい実施形態においては、2つのモニタが使用され、1つは、オペレータ用にクリーンルームの壁内に取り付けられ、他方は、サービス技師用に壁の裏側に取り付けられる。これらの2つのモニタは、同一の情報を同時に表示するが、この場合には、一度に一方のモニタのみが入力を受け付ける。特定の画面または機能を選択するためには、オペレータは、タッチセンサモニタの指定エリアに触れる。触れられたエリアはその強調色を変色させるか、または新規のメニューもしくは画面が表示され、オペレータとタッチセンサモニタとの間における通信の確認を与える。キーボード、マウス、または他のポインティングデバイスもしくは通信デバイスなどの他のデバイスを、ユーザとシステムコントローラとの間の通信を可能にするために、このタッチセンサモニタの代替としてまたは追加として使用することができる。
チャンバプラズマ領域を使用する実施形態においては、励起されたプラズマ放出物は、堆積領域から仕切られた基板処理領域のあるセクションにおいて生成され、そこで、プラズマ放出物は、炭素非含有シリコン含有前駆体と混合および反応して、堆積基板(例えば半導体ウエハ)上にシリコン−窒素層を堆積する。また、励起されたプラズマ放出物は、不活性ガス(例示的な例においてはアルゴン)を伴う。いくつかの実施形態においては、炭素非含有シリコン含有前駆体は、基板プラズマ領域に侵入するまではプラズマを通過しない。ラジカル窒素前駆体は、遠隔プラズマ領域において生成され、基板処理領域内へと移動し、そこでシリコン含有前駆体が、ラジカル窒素前駆体により励起される。いくつかの実施形態においては、シリコン含有前駆体は、ラジカル窒素前駆体のみにより励起される。いくつかの実施形態においては、プラズマ出力が遠隔プラズマ領域のみに対して実質的に印加されることにより、ラジカル窒素前駆体がシリコン含有前駆体に対して優先的に励起を行うようにすることができる。本明細書においては、基板処理領域は、シリコン−窒素含有層の成長の際および低温オゾン硬化の際には「プラズマ不在」であると説明することができる。「プラズマ不在」は、その領域にプラズマが無いことを必ずしも意味しない。プラズマ領域内において生成されたイオン化核種および自由電子は、パーテーション(シャワーヘッド)中の孔(開孔)を通過して移動するが、炭素非含有シリコン含有前駆体は、プラズマ領域に印加されるプラズマ出力によっては実質的に励起されない。チャンバプラズマ領域内のプラズマの境界は、画定が困難であり、シャワーヘッド中の開孔を通り基板処理領域に侵入する場合がある。誘導結合プラズマの場合には、少量のイオン化が、基板処理領域内において直に発生する場合がある。さらに、低強度プラズマが、成形膜の望ましい特徴を消失させることなく、基板処理領域内において生成される場合がある。励起されたプラズマ放出物の生成の際のチャンバプラズマ領域(またはさらに言えば遠隔プラズマ領域)よりもはるかに低い強度のイオン密度を有するプラズマを発生させるあらゆる要因は、本明細書における「プラズマ不在」の範囲から逸脱しない。
本明細書においては、「基板」は、その上に形成された層を伴うまたは伴わない支持基板であってもよい。この支持基板は、様々なドーピング濃度およびドーピングプロファイルの絶縁体または半導体であってもよく、例えば集積回路の製造において使用されるタイプの半導体基板であってもよい。「酸化ケイ素」の層は、シリコン−酸素含有材料の省略表現として、およびシリコン−酸素含有材料と同義的に使用される。そのため、酸化ケイ素には、種々の濃度の窒素、水素、および炭素等の他の元素成分が含まれてもよい。いくつかの実施形態においては、酸化ケイ素は、シリコンおよび酸素から主に構成される。「前駆体」という用語は、表面から材料を除去するかまたは表面上に材料を堆積させるための反応において役割を果たす任意のプロセスガスを指すために使用される。「励起状態」のガスとは、ガス分子の少なくとも一部が振動励起された、解離された、および/またはイオン化された状態にあるガスを指す。「ガス」(または「前駆体」)は、2つ以上のガス(または「前駆体」)の組合せであってもよく、通常は液体または固体であるが、一時的に他の「キャリアガス」と共に運搬される物質を含んでもよい。「ラジカル前駆体」は、表面から材料を除去するかまたは表面上に材料を堆積するかのいずれかを行うための反応に関与するプラズマ放出物(プラズマを励起させている励起状態にあるガス)を示すために使用される。「ラジカル窒素前駆体」は、窒素を含むラジカル前駆体であり、「ラジカル水素前駆体」は、水素を含むラジカル前駆体である。「不活性ガス」という表現は、膜のエッチングまたは膜中への組み込みの際に化学結合を形成しない任意のガスを指す。例示的な不活性ガスには、希ガスが含まれるが、(典型的に)僅かな量が膜中に捕獲される際に化学結合を形成しない限りにおいては、他のガスも含まれ得る。
「トレンチ」という用語は、エッチングされた形状寸法が高い水平方向アスペクト比を有することの示唆を含まずに、全体を通じて使用される。表面の上方から見た場合に、トレンチは、円形、楕円形、多角形、矩形、または様々な他の形状に見えるものであってもよい。「ビア」という用語は、垂直方向の電気接続部を形成するために金属で充填され得るまたは充填されなくともよい低アスペクト比のトレンチ(上方から見て)を指すために使用される。本明細書においては、共形層は、表面と同一形状で表面上に位置するほぼ均一な材料層を指し、すなわち、層の表面と覆われる表面とが、ほぼ平行になる。堆積される材料は、おそらく100%の共形にはなり得ず、したがって「ほぼ」という用語により、許容可能な誤差が認められることが、当業者には理解されよう。
いくつかの実施形態を説明したが、本発明の趣旨から逸脱することなく様々な修正、代替構造、および均等物を使用し得ることが、当業者には理解されよう。さらに、多数の周知のプロセスおよび要素については、本発明を不要に曖昧にすることを回避するために説明していない。したがって、上述の説明は、本発明の範囲を限定するものとして解釈されるべきではない。
数値範囲が提示される場合には、そのコンテクストにおいて別様に明示しない限りは下限単位の10分の1までの、その範囲の上限と下限との間の各介在値もまた、具体的に開示されたものと理解する。明示した範囲内の任意の明示した値または介在値と、その明示した範囲内の任意の他の明示した値または介在値との間のさらに小さな範囲は、それぞれ包含される。これらのさらに小さな範囲の上限および下限は、この範囲内に個別に含まれてもよく、または除外されてもよく、また、このさらに小さな範囲内にいずれかの限界値が含まれるか、いずれの限界値も含まれないか、または両限界値が含まれるような各範囲は、明示した範囲内の任意の限界値が具体的に除外される場合にはその条件の下において、本発明の範囲に包含される。また、明示した範囲が、これらの限界値の一方または両方を含む場合には、それらの包含された限界値のいずれかまたは両方を除いた範囲も、含まれる。
本明細書においては、および添付の特許請求の範囲においては、「1つの(a、an)」、および「その(the)」という単数形は、別様に明示しない限り複数への言及を含む。したがって、例えば、「1つのプロセス」への言及は、複数のかかるプロセスを含み、「その前駆体」への言及は、当業者には公知である1つまたは複数の前駆体および均等物への言及を含む、等々となる。
また、「備える」、「備えている」、「含む」、および「含んでいる」という語は、本明細書において使用される場合、および以下の特許請求の範囲において使用される場合には、明示した特徴、実体、構成要素、またはステップの存在を具体的に述べることを意図されたものであるが、1つまたは複数の他の特徴、実体、構成要素、ステップ、作用、もしくは群の存在または追加を除外しない。

Claims (15)

  1. トレンチを含むパターニングされた基板上に酸化ケイ素層を形成する方法であって、
    基板処理チャンバ内に前記基板を移動させるステップと、
    前記トレンチを備える前記基板の上に酸素を多く含むライナ層を形成するステップと、
    前記基板の上および前記トレンチの中に間隙充填誘電体層を形成するステップであって、前記間隙充填誘電体層が、前記酸素を多く含むライナ層よりも酸素含有量が低く、前記間隙充填誘電体層が、形成中には流動性を有する、ステップと、
    前記酸素の幾分かを前記酸素を多く含むライナ層から前記間隙充填誘電体層中に移動させるために、高温にて前記間隙充填誘電体層を硬化するステップと
    を含む、方法。
  2. 前記酸素を多く含むライナ層が、前記間隙充填誘電体層よりも共形性が高い、請求項1に記載の方法。
  3. 前記間隙充填誘電体層が、前記トレンチを実質的に充填する、請求項1に記載の方法。
  4. 前記間隙充填誘電体層を形成するステップが、
    プラズマ放出物を形成するために遠隔プラズマ領域内に間隙充填プラズマ前駆体を流入させるステップと、
    前記間隙充填誘電体層を形成するために前記基板処理領域内のシリコン含有前駆体流に前記プラズマ放出物を結合させるステップであって、前記シリコン含有前駆体が、プラズマ出力の印加により直接的に励起されず、前記間隙充填誘電体層が、シリコン−酸素含有層であるステップと
    を含む、請求項1に記載の方法。
  5. 前記酸化物を多く含むライナ層を形成するステップが、
    プラズマ放出物を形成するために遠隔プラズマ領域内にライナプラズマ前駆体を流入させるステップと、
    前記酸素を多く含むライナ層を形成するために前記基板処理領域内のシリコン含有前駆体流に前記プラズマ放出物を結合させるステップであって、前記シリコン含有前駆体が、プラズマ出力の印加により直接的に励起されず、前記間隙充填誘電体層が、シリコン−酸素含有層であるステップと
    を含む、請求項4に記載の方法。
  6. 前記間隙充填プラズマ前駆体を流入させる前記ステップの実施が、間隙充填原子酸素対窒素流量比で、前記遠隔プラズマ領域内に酸素および窒素を流入させるステップを含む、請求項5に記載の方法。
  7. 前記ライナプラズマ前駆体を流入させる前記ステップの実施が、ライナ原子酸素対窒素流量比で、前記遠隔プラズマ領域内に酸素および窒素を流入させるステップを含む、請求項6に記載の方法。
  8. 前記ライナ原子酸素対窒素流量比が、前記間隙充填原子酸素対窒素流量比を上回ることにより、前記酸化物を多く含むライナの酸素含有量が、前記間隙充填誘電体層の酸素含有量を上回る、請求項7に記載の方法。
  9. 前記ライナ原子酸素対窒素流量比が、5を超える乗法因子分だけ前記間隙充填原子酸素対窒素流量比を上回る、請求項8に記載の方法。
  10. 前記シリコン含有前駆体が、シリコン−窒素含有前駆体を含み、前記プラズマ放出物が、ラジカル窒素前駆体を含む、請求項4に記載の方法。
  11. 前記シリコン−窒素含有前駆体が、HN(SiH)、HN(SiH、およびN(SiHの中の少なくとも1つを含み、前記プラズマ前駆体が、NH、NHOH、NO、NO、NO、N、およびHの中の少なくとも1つを含む、請求項10に記載の方法。
  12. 前記遠隔プラズマ領域が、前記基板処理チャンバ内に位置し、シャワーヘッドにより前記基板処理チャンバから隔てられる、請求項4に記載の方法。
  13. 前記間隙充填誘電体層を硬化する前記ステップの実施が、前記高温にてオゾン含有雰囲気に前記間隙充填誘電体層を晒すことを含む、請求項1に記載の方法。
  14. 前記間隙充填誘電体層を硬化する前記ステップの実施が、その後に、前記高温よりも高いアニール温度にてO、O、およびHOの中の少なくとも1つを含む酸素含有雰囲気に前記間隙充填誘電体層を晒すことをさらに含む、請求項13に記載の方法。
  15. 前記トレンチが、約50nm以下の幅を有する、請求項1に記載の方法。
JP2013521814A 2010-07-30 2011-07-15 流動性cvdによる間隙充填用の酸化物を多く含むライナ層 Withdrawn JP2013533639A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36935210P 2010-07-30 2010-07-30
US61/369,352 2010-07-30
US13/153,016 US8318584B2 (en) 2010-07-30 2011-06-03 Oxide-rich liner layer for flowable CVD gapfill
US13/153,016 2011-06-03
PCT/US2011/044219 WO2012015610A2 (en) 2010-07-30 2011-07-15 Oxide-rich liner layer for flowable cvd gapfill

Publications (1)

Publication Number Publication Date
JP2013533639A true JP2013533639A (ja) 2013-08-22

Family

ID=45530654

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013521814A Withdrawn JP2013533639A (ja) 2010-07-30 2011-07-15 流動性cvdによる間隙充填用の酸化物を多く含むライナ層

Country Status (7)

Country Link
US (1) US8318584B2 (ja)
JP (1) JP2013533639A (ja)
KR (1) KR101847593B1 (ja)
CN (1) CN103038868A (ja)
SG (1) SG187043A1 (ja)
TW (1) TW201209920A (ja)
WO (1) WO2012015610A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018503259A (ja) * 2015-01-07 2018-02-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質fcvd膜バックグラウンド用の先進的処理フロー
JP2019503590A (ja) * 2015-12-21 2019-02-07 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを用いた方法
KR20200019242A (ko) * 2017-07-12 2020-02-21 어플라이드 머티어리얼스, 인코포레이티드 Si 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭
JP2020533803A (ja) * 2017-09-12 2020-11-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 保護バリア層を使用して半導体構造を製造する装置および方法
JP2022541735A (ja) * 2019-07-02 2022-09-27 アプライド マテリアルズ インコーポレイテッド 誘電体材料を硬化させる方法及び装置
JP7379353B2 (ja) 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド より優れた膜品質を可能にするためにマスク基板を処理する方法

Families Citing this family (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9184089B2 (en) 2013-10-04 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
KR101655622B1 (ko) * 2013-12-20 2016-09-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet 웰 도핑을 위한 메커니즘을 포함하는 반도체 디바이스 구조물 및 그 제조방법
US9219006B2 (en) 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
KR102141670B1 (ko) 2014-01-29 2020-08-05 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105489605B (zh) * 2014-10-13 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9896326B2 (en) 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018164655A1 (en) * 2017-03-05 2018-09-13 Intel Corporation Isolation in integrated circuit devices
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR20230162158A (ko) * 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
US10580642B2 (en) 2017-04-04 2020-03-03 Applied Materials, Inc. Two-step process for silicon gapfill
WO2018187546A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
US10453678B2 (en) * 2017-04-13 2019-10-22 Applied Materials, Inc. Method and apparatus for deposition of low-k films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102576563B1 (ko) 2017-05-13 2023-09-07 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10535550B2 (en) 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200043722A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11756786B2 (en) 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20220375747A1 (en) * 2021-05-20 2022-11-24 Applied Materials, Inc. Flowable CVD Film Defect Reduction
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
AU2001246832A1 (en) 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) * 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
AU2002323040A1 (en) 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (fr) 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
CA2540039A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
KR101157683B1 (ko) 2004-02-17 2012-07-06 도아고세이가부시키가이샤 실리콘 산화막의 제조 방법
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2005121397A2 (en) 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP5110783B2 (ja) * 2004-10-28 2012-12-26 ルネサスエレクトロニクス株式会社 半導体装置
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
EP1907599A2 (en) 2005-07-08 2008-04-09 Aviza Technology, Inc. Method for depositing silicon-containing films
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
JP5225268B2 (ja) * 2006-05-30 2013-07-03 アプライド マテリアルズ インコーポレイテッド 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090096055A1 (en) * 2007-10-16 2009-04-16 Texas Instruments Incorporated Method to form cmos circuits with sub 50nm sti structures using selective epitaxial silicon post sti etch
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
JP4886021B2 (ja) * 2008-12-16 2012-02-29 エルピーダメモリ株式会社 半導体装置及びその製造方法
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018503259A (ja) * 2015-01-07 2018-02-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質fcvd膜バックグラウンド用の先進的処理フロー
JP2021044555A (ja) * 2015-01-07 2021-03-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質fcvd膜バックグラウンド用の先進的処理フロー
JP2019503590A (ja) * 2015-12-21 2019-02-07 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを用いた方法
KR20200019242A (ko) * 2017-07-12 2020-02-21 어플라이드 머티어리얼스, 인코포레이티드 Si 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭
JP2020526923A (ja) * 2017-07-12 2020-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Si間隙充填のための周期的な共形堆積/アニーリング/エッチング
JP7252935B2 (ja) 2017-07-12 2023-04-05 アプライド マテリアルズ インコーポレイテッド Si間隙充填のための周期的な共形堆積/アニーリング/エッチング
KR102654856B1 (ko) * 2017-07-12 2024-04-03 어플라이드 머티어리얼스, 인코포레이티드 Si 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭
JP2020533803A (ja) * 2017-09-12 2020-11-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 保護バリア層を使用して半導体構造を製造する装置および方法
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
JP7379353B2 (ja) 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド より優れた膜品質を可能にするためにマスク基板を処理する方法
JP2022541735A (ja) * 2019-07-02 2022-09-27 アプライド マテリアルズ インコーポレイテッド 誘電体材料を硬化させる方法及び装置
JP7331236B2 (ja) 2019-07-02 2023-08-22 アプライド マテリアルズ インコーポレイテッド 誘電体材料を硬化させる方法及び装置

Also Published As

Publication number Publication date
SG187043A1 (en) 2013-02-28
CN103038868A (zh) 2013-04-10
US8318584B2 (en) 2012-11-27
KR101847593B1 (ko) 2018-05-24
WO2012015610A3 (en) 2012-04-26
TW201209920A (en) 2012-03-01
KR20130093103A (ko) 2013-08-21
WO2012015610A2 (en) 2012-02-02
US20120142192A1 (en) 2012-06-07

Similar Documents

Publication Publication Date Title
JP2013533639A (ja) 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
US8647992B2 (en) Flowable dielectric using oxide liner
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US8980382B2 (en) Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) Stress management for tensile films
US8563445B2 (en) Conformal layers by radical-component CVD
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
US8445078B2 (en) Low temperature silicon oxide conversion
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
US20120238108A1 (en) Two-stage ozone cure for dielectric films
US20120177846A1 (en) Radical steam cvd
US20120309205A1 (en) Capping layer for reduced outgassing
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
KR20120125623A (ko) 라디칼-성분 cvd를 위한 인­시츄 오존 경화
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
JP2013545284A (ja) アミン硬化ケイ素−窒化物−水素化物膜

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20141007