KR102654856B1 - Si 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭 - Google Patents

Si 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭 Download PDF

Info

Publication number
KR102654856B1
KR102654856B1 KR1020207002816A KR20207002816A KR102654856B1 KR 102654856 B1 KR102654856 B1 KR 102654856B1 KR 1020207002816 A KR1020207002816 A KR 1020207002816A KR 20207002816 A KR20207002816 A KR 20207002816A KR 102654856 B1 KR102654856 B1 KR 102654856B1
Authority
KR
South Korea
Prior art keywords
substrate
amorphous silicon
semiconductor device
features
manufacturing
Prior art date
Application number
KR1020207002816A
Other languages
English (en)
Other versions
KR20200019242A (ko
Inventor
루이 쳉
이 양
아비지트 바수 말릭
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200019242A publication Critical patent/KR20200019242A/ko
Application granted granted Critical
Publication of KR102654856B1 publication Critical patent/KR102654856B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • H01L21/32155Doping polycristalline - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3247Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering for altering the shape, e.g. smoothing the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Element Separation (AREA)
  • Drying Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)
  • Semiconductor Memories (AREA)

Abstract

심 및 공극이 없는 갭충전, 이를테면 비정질 실리콘을 이용한 고 종횡비 트렌치들의 갭충전을 위한 방법들이 제공된다. 방법은 일반적으로, 하나 이상의 피처들을 상부에 갖는 반도체 디바이스 위에 비정질 실리콘을 증착하는 단계; 하나 이상의 피처들 사이의 증착된 비정질 실리콘 내의 하나 이상의 심들을 치유하기 위해, 증착된 비정질 실리콘을 어닐링하는 단계; 및 하나 이상의 피처들 사이의 어닐링된 비정질 실리콘 내의 하나 이상의 공극들을 제거하기 위해, 어닐링된 비정질 실리콘을 에칭하는 단계를 포함한다. 하나 이상의 피처들 사이에 어떠한 심 또는 공극도 없는 비정질 실리콘 갭충전을 달성하기 위해, 일반적으로, 증착, 어닐링, 및 에칭 프로세스들이 임의의 적합한 횟수로 반복된다.

Description

SI 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭
[0001] 본 개시내용의 실시예들은 일반적으로 반도체 제조 프로세스들에 관한 것으로, 더 구체적으로, 비정질 실리콘 막으로 반도체 디바이스들의 고 종횡비 트렌치들을 갭충전하고, 심 또는 공극이 없는(seam or void-free) 반도체 디바이스들을 형성하기 위한 방법들에 관한 것이다.
[0002] 다수의 반도체 디바이스 제조 프로세스들의 경우, 예컨대 10:1 초과의 고 종횡비들을 갖는 좁은 트렌치들을 충전(fill)할 필요가 있다. 그러한 프로세스의 하나의 예는 섈로우 트렌치 아이솔레이션(STI; shallow trench isolation)이며, 여기서, 막은 고 품질로 이루어질 필요가 있고, 트렌치 전체에 걸쳐 매우 낮은 누설을 가질 필요가 있다. 반도체 디바이스 구조들의 치수들이 계속 감소되고 종횡비들이 증가됨에 따라, 사후-경화 프로세스들은 점점 더 어렵게 되고, 그리고 충전된 트렌치 전체에 걸쳐 조성이 변화되는 막들을 초래한다.
[0003] 통상적으로, 반도체 제조 프로세스들에서 비정질 실리콘(a-Si)이 사용되었는데, 이는 일반적으로 a-Si가 다른 막들, 이를테면, 실리콘 산화물(SiO) 및 비정질 탄소(a-C)에 대하여 우수한 에칭 선택비를 제공하기 때문이다. 그러나, 종래의 a-Si 증착 방법들, 이를테면, 플라즈마-강화 화학 기상 증착(PECVD) 및 컨포멀 증착은 고 종횡비 트렌치들을 갭충전하는 데 사용될 수 없다. 종래의 a-Si 증착 방법들의 증착 레이트는 일반적으로, 트렌치들의 최상부 쪽으로 더 높고, 트렌치들의 최하부 쪽으로 더 낮으며, 그리고 불균등한 증착 레이트들로 인해 고 종횡비 트렌치들 사이에 심들이 형성된다. 심들은 사후-경화 프로세스들 동안 더 벌어지고, 결국에는, 감소된 처리량, 또는 심지어 반도체 디바이스 고장을 야기한다. 심들은 통상적으로, 열 어닐링에 의해 치유(heal)되어 왔다. 그러나, 열 어닐링은 일반적으로, 증착된 막의 수축을 야기하고, 트렌치들 내부에 공극들을 형성한다.
[0004] 따라서, 심 및 공극이 없는 막 성장을 제공할 수 있는, 반도체 디바이스들의 고 종횡비 트렌치들을 갭충전하기 위한 방법들이 필요하다.
[0005] 심 및 공극이 없는 갭충전, 이를테면 비정질 실리콘을 이용한 고 종횡비 트렌치들의 갭충전을 위한 방법들이 제공된다. 방법은 일반적으로, 하나 이상의 피처(feature)들을 상부에 갖는 반도체 디바이스 위에 비정질 실리콘을 증착하는 단계; 하나 이상의 피처들 사이의 증착된 비정질 실리콘 내의 하나 이상의 심들을 치유하기 위해, 증착된 비정질 실리콘을 어닐링하는 단계; 및 하나 이상의 피처들 사이의 어닐링된 비정질 실리콘 내의 하나 이상의 공극들을 제거하기 위해, 어닐링된 비정질 실리콘을 에칭하는 단계를 포함한다. 하나 이상의 피처들 사이에 어떠한 심 또는 공극도 없는 비정질 실리콘 갭충전을 달성하기 위해, 일반적으로, 증착, 어닐링, 및 에칭 프로세스들이 임의의 적합한 횟수로 반복된다.
[0006] 일 실시예에서, 반도체 디바이스를 제조하기 위한 방법이 개시된다. 방법은, 기판을 프로세스 챔버에 포지셔닝하는 단계 ― 기판은 기판의 표면에 형성된 하나 이상의 피처들을 갖고, 하나 이상의 피처들 각각은 측벽들 및 최하부 표면을 가짐 ―; 하나 이상의 피처들을 갖는 기판 위에 비정질 실리콘 막을 증착하는 단계; 비정질 실리콘 막을 어닐링하는 단계; 및 비정질 실리콘 막의 일부를 에칭하는 단계를 포함한다.
[0007] 다른 실시예에서, 반도체 디바이스를 제조하기 위한 방법이 개시된다. 방법은, 기판을 프로세스 챔버에 포지셔닝하는 단계 ― 기판은 기판의 표면에 형성된 하나 이상의 고 종횡비 트렌치들을 갖고, 하나 이상의 고 종횡비 트렌치들 각각은 측벽들 및 최하부 표면을 가짐 ―; 하나 이상의 고 종횡비 트렌치들에 비정질 실리콘 막을 증착하는 단계; 하나 이상의 고 종횡비 트렌치들에 형성된 하나 이상의 심들을 치유하기 위해, 비정질 실리콘 막을 어닐링하는 단계; 및 기판의 최상부 표면 아래로 일정 거리까지 비정질 실리콘 막을 에칭하는 단계를 포함하며, 그 거리는 하나 이상의 피처들 내의 하나 이상의 공극들 중 최하측 공극의 최하부와 기판의 최상부 표면 사이의 거리와 동일하다.
[0008] 또 다른 실시예에서, 반도체 디바이스를 제조하기 위한 방법이 개시된다. 방법은, 기판을 제1 챔버에 포지셔닝하는 단계 ― 기판은 기판의 표면에 형성된 하나 이상의 피처들을 갖고, 하나 이상의 피처들 각각은 측벽들 및 최하부 표면을 가짐 ―; 제1 챔버에서 하나 이상의 피처들을 갖는 기판 위에 재료를 증착하는 단계; 재료 내의 하나 이상의 심들을 치유하기 위해, 제1 챔버에서 재료를 어닐링하는 단계; 하나 이상의 피처들을 갖는 기판을 제2 챔버로 이송하는 단계; 및 어닐링된 재료 내의 하나 이상의 공극들을 제거하기 위해, 어닐링된 재료의 일부를 에칭하는 단계를 포함한다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 하고, 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0010] 도 1은 본 개시내용의 실시예들에 따른 방법을 요약하는 흐름도이다.
[0011] 도 2a 내지 도 2e는 본 개시내용의 실시예들에 따른, 반도체 디바이스의 제작의 스테이지들을 도시한다.
[0012] 도 3은 본 개시내용의 실시예들에 따른 방법을 수행하기 위한 장치의 개략도이다.
[0013] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있다는 것이 고려된다.
[0014] 심 및 공극이 없는 갭충전, 이를테면 비정질 실리콘을 이용한 고 종횡비 트렌치들의 갭충전을 위한 방법들이 제공된다. 방법은 일반적으로, 하나 이상의 피처들을 상부에 갖는 반도체 디바이스 위에 비정질 실리콘을 증착하는 단계; 하나 이상의 피처들 사이의 증착된 비정질 실리콘 내의 하나 이상의 심들을 치유하기 위해, 증착된 비정질 실리콘을 어닐링하는 단계; 및 하나 이상의 피처들 사이의 어닐링된 비정질 실리콘 내의 하나 이상의 공극들을 제거하기 위해, 어닐링된 비정질 실리콘을 에칭하는 단계를 포함한다. 하나 이상의 피처들 사이에 어떠한 심 또는 공극도 없는 비정질 실리콘 갭충전을 달성하기 위해, 일반적으로, 증착, 어닐링, 및 에칭 프로세스들이 임의의 적합한 횟수로 반복된다.
[0015] 다음의 설명은, 예로서, 기판 상에 형성된 고 종횡비 트렌치들을 비정질 실리콘으로 갭충전하는 것을 참조할 것이다. 그러나, 본원에서 설명되는 방법들은 일반적으로, 임의의 재료로 임의의 디바이스 피처들을 갭충전하는 데 유용하거나, 또는 증착된 재료로부터 심들 및/또는 공극들을 제거하는 데 유용하다. 일반적으로, “피처”는 임의의 의도적인 표면 불규칙을 의미한다. 피처들은 일반적으로, 반도체, 솔라, 또는 다른 전자 디바이스들, 이를테면 고 비율 콘택 플러그(high ratio contact plug)들에서 활용되는, 비아들, 트렌치들, 라인들, 콘택 홀들, 스루-홀들, 또는 다른 피처 정의들을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 형상을 갖는다.
[0016] 본원에서 예로서 사용되는 트렌치들은 일반적으로, 최상부 및 2개의 측벽들을 갖고, 그리고 일반적으로는 최상부 및 2개의 측벽들을 갖는 피크들 사이에 형성된다. 피처들은 피처의 깊이 대 피처의 폭의 비율인 임의의 적합한 종횡비로 이루어질 수 있다. 일부 예들에서, 고 종횡비 트렌치는 약 5:1, 10:1, 15:1, 25:1, 30:1, 35:1, 또는 40:1 이상의 종횡비를 갖는 트렌치이다.
[0017] 도 1은 본 개시내용의 실시예들에 따른, 비정질 실리콘 막으로 디바이스 피처들을 갭충전하기 위한 방법(100)을 요약하는 흐름도이다. 도 2a 내지 도 2e는, 예컨대 방법(100)에 따라, 본 개시내용의 실시예들에 따른, 반도체 디바이스(200)의 제작의 스테이지들을 도시한다. 따라서, 예로서, 방법(100)은, 도 2a 내지 도 2e에 예시된 바와 같은, 비정질 실리콘 막으로 반도체 디바이스(200)의 고 종횡비 트렌치들을 갭충전하는 스테이지들에 따라 아래에서 설명된다.
[0018] 방법(100)은, 동작(110)에서, 도 2a에 도시된 바와 같은, 기판(202) 상의 층(206), 이를테면 실리콘 또는 탄소-함유 층에 형성된 하나 이상의 피처들(204)(2개의 고 종횡비 트렌치들로서 도시됨)을 갖는 기판(202)을, 추가적인 프로세싱을 위해, 포지션 또는 환경, 이를테면 프로세스 챔버에 포지셔닝하는 것에 의해 시작된다. 기판(202)은 일반적으로, 실리콘(Si) 및/또는 게르마늄(Ge) 기판들을 포함하는(그러나 이에 제한되지는 않음) 프로세싱을 위한 임의의 적합한 기판이고, 그리고 다른 원소들, 이를테면 산소(O), 질소(N), 및 탄소(C)를 포함할 수 있다.
[0019] 도 2a에 도시된 바와 같이, 하나 이상의 피처들(204)은 일반적으로, 제1 측벽(210), 제2 측벽(212), 및 최하부(214)를 포함한다. 제1 측벽(210) 및 제2 측벽(212)은 하나 이상의 피처들(204)의 폭(W)을 정의한다. 하나 이상의 피처들의 높이(H)는 일반적으로, 층(206)의 최상부 표면(208)으로부터 층(206)의 최하부 표면(216)까지이다. 도 2a가 2개의 피처들을 도시하지만, 본 개시내용은 추가로, 기판(202)이 하나, 또는 하나 초과의 피처(204)를 가질 수 있는 것을 고려한다.
[0020] 동작(120)에서, 도 2b에 도시된 바와 같이, 기판(202)의 하나 이상의 피처들(204) 위에 재료(218)가 증착되어 하나 이상의 피처들(204)이 충전된다. 재료(218)는 일반적으로, 임의의 적합한 증착 프로세스에 의해 증착된다. 적합한 증착 프로세스들의 예들은 화학 기상 증착(CVD), 플라즈마-강화 CVD(PECVD), 원자 층 증착(ALD), 및 플라즈마-강화 ALD(PEALD)를 포함한다(그러나 이에 제한되지는 않음). 일 예에서, 재료(218)는 열 CVD에 의해 증착된다.
[0021] 일 실시예에서, 재료(218)는 비정질 실리콘 막이며, 재료(218)를 증착하는 것은 실리콘 전구체에 기판(202)의 하나 이상의 피처들(204)을 노출시키는 것을 포함한다. 적합한 실리콘 전구체들의 예들은, 실란(SiH4), 디실란(H6Si2), 디클로로실란(DCS), 트리실란(H8Si3), 및 테트라실란(Si4H10) 중 하나 이상을 포함한다(그러나 이에 제한되지는 않음). 실리콘 전구체는 선택적으로, 증기 압력을 증가시키기 위해 핫 캔(hot can)에서 가열되고, 이어서, 초고 순도(UHP; ultrahigh purity) 아르곤(Ar) 캐리어 가스를 사용하여 증착을 위해 프로세스 챔버에 전달된다. 일반적으로 실리콘 전구체와 함께 공동-도입되는 다른 적합한 캐리어 가스들은 헬륨(He) 및 수소 가스(H2)를 포함한다(그러나 이에 제한되지는 않음).
[0022] 다른 실시예에서, 재료(218)는, 실리콘 전구체에 부가하여, 도핑 전구체에 기판(202)의 하나 이상의 피처들(204)을 노출시킴으로써 증착되는 도핑된 비정질 실리콘 막이다. 비정질 실리콘을 도핑함으로써, 도핑된 a-Si 층의 에칭과 같은 후속 프로세싱 동작들을 위한 온도가 일반적으로 감소된다. 도펀트들의 예들은 붕소(B), 인(P), 갈륨(Ga), 주석(Sn), 비소(As), 게르마늄(Ge), 탄소(C), 질소(N), 안티몬(Sb), 및 인듐(In)을 포함한다(그러나 이에 제한되지는 않음). 적합한 도핑 전구체들의 예들은 디메틸아민 보란 [NH(CH3)2BH3](DMAB), 디보란(B2H6), 게르만(GeH4), 및 포스판(PH3)을 포함한다(그러나 이에 제한되지는 않음).
[0023] 기판(202)의 하나 이상의 피처들(204) 위에 재료(218)를 증착하는 것은 일반적으로, 섭씨 약 150도(℃) 내지 약 500 ℃의 온도에서 발생된다. 증착은 용량성 커플링 플라즈마(CCP) 또는 유도성 커플링 원격 플라즈마(ICP)를 이용하거나 또는 이용하지 않고 수행될 수 있다. 증착 프로세스 동안, 프로세스 챔버 내의 압력은 일반적으로, 약 100 mTorr 내지 약 350 Torr이다.
[0024] 위에서 논의된 바와 같이, 재료(218)의 증착 동안, 일반적으로, 하나 이상의 피처들(204)에 하나 이상의 심들(220)이 형성된다. 처리되지 않은 채로 방치되는 경우, 하나 이상의 심들(220)은 대개, 반도체 디바이스(200)의 추가적인 프로세싱 동안 벌어지며, 이는 결함이 있는 디바이스 성능을 초래한다.
[0025] 동작(130)에서, 하나 이상의 심들(220)을 치유하기 위해, 증착된 재료(218)가 어닐링된다. 일 실시예에서, 재료(218)는 인-시튜 열 어닐링 프로세스에 의해 어닐링된다. 어닐링 동작 동안의 온도는 일반적으로, 약 400 ℃ 내지 약 1,100 ℃이다. 어닐링 프로세스는 임의의 적합한 시간량에 걸쳐, 예컨대, 약 0.1초 내지 약 5시간 동안 수행될 수 있다. 어닐링 프로세스를 위한 가스 분위기는 일반적으로, H2, Ar, He, 및 질소 가스(N2) 중 하나 이상을 포함한다. 어닐링 동안, 프로세스 챔버 압력은 일반적으로, 약 100 mTorr 내지 약 1 atm(atmosphere)의 범위이다.
[0026] 다른 실시예에서, 하나 이상의 심들(220)은 플라즈마 처리로 치유된다. 또 다른 실시예에서, 하나 이상의 심들(220)은 e-빔 처리로 치유된다. 추가적인 실시예들에서, 하나 이상의 심들(220)은 비정질 실리콘의 실리콘(Si) 원자들을 재유동시키기 위한 임의의 적합한 프로세스로 치유된다.
[0027] 일반적으로, 도 2c에 도시된 바와 같이, 어닐링 프로세스 동안, 재료(218)에 하나 이상의 공극들(222)(예로서 3개가 도시됨)이 형성된다. 예컨대, 기판(202) 또는 층(206)의 임의의 표면 장력들이 종종, 재료(218)에 하나 이상의 공극들(222)을 형성한다. 하나 이상의 심들(220)과 마찬가지로, 하나 이상의 공극들(222)이 부분적으로 또는 완전히 제거되지 않는 경우, 일반적으로, 반도체 디바이스(200)의 성능이 악영향을 받는다.
[0028] 동작(140)에서, 도 2d에 도시된 바와 같이, 하나 이상의 공극들(222)을 제거하기 위해, 어닐링된 재료(218)의 적어도 일부가 에칭된다. 일 실시예에서, 어닐링된 재료(218)의 일부를 에칭하는 것은 에천트에 기판(202)의 하나 이상의 피처들(204) 상의 재료(218)를 노출시키는 것을 포함한다. 재료(218)는 기판(202)의 층(206)의 최상부 표면(208) 아래로 일정 거리만큼 하나 이상의 피처들(204) 내측으로 아래로 에칭되며, 그 거리는 하나 이상의 피처들(204) 내의 하나 이상의 공극들(222) 중 최하측 공극의 최하부와 최상부 표면(208) 사이의 거리와 동일하다. 하나 이상의 공극들(222) 중 최하측 공극의 최하부와 동일하거나 또는 그 아래의 거리까지 재료(218)의 일부를 에칭함으로써, 부가적인 재료(218) 또는 다른 재료의 후속 증착 전에, 하나 이상의 공극들(222)이 제거된다.
[0029] 에칭 프로세스는 일반적으로, 용량성 커플링 플라즈마(CCP) 또는 유도성 커플링 플라즈마(ICP)를 이용하는 플라즈마 에칭 또는 열 에칭을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 에칭 프로세스이다. 적합한 에천트들의 예들은, 질소 삼플루오르화물(NF3), 염소 가스(Cl2), 염산(HCl), 수소 브롬화물(HBr), 헥사플루오로-2-부틴(C4F6), 테트라플루오로에틸렌(C2F4), H2, Ar, He, 및 N2 중 하나 이상을 포함한다(그러나 이에 제한되지는 않음).
[0030] 동작(120), 동작(130), 및 동작(140)은 일반적으로, 도 2e에 도시된 바와 같이, 어떠한 심들 또는 공극들도 없게, 또는 감소된 심들 또는 공극들로, 하나 이상의 피처들(204)을 재료(218)로 충전하기 위해, 임의의 적합한 횟수로 반복된다.
[0031] 도 3은 본원에서 설명되는 실시예들에 따른 방법을 수행하기 위한 장치(300)의 개략도이다. 더 구체적으로, 장치(300)는 위에서 설명된 방법들에 따라 반도체 디바이스들을 제작하기 위한 클러스터 툴이다. 장치(300)의 중앙에 이송 챔버(310)가 있다. 이송 챔버(310) 내에 기판 이송 메커니즘(312)이 있다. 기판 이송 메커니즘(312)은 제1, 제2, 또는 제3 프로세스 챔버들(330, 340, 및 350) 중 하나로부터 로드 락 챔버(320)로 그리고 그 반대로 기판을 각각 이송한다. 제1, 제2, 및 제3 프로세스 챔버들(330, 340, 및 350)은 이송 챔버(310)에 연결된다. 로드 락 챔버(320)는 기판 정렬 챔버(322)를 통해 이송 챔버(310)에 연결된다. 도 3에 예시된 바와 같이, 장치(300)는 3개의 프로세스 챔버들을 포함한다. 그러나, 장치(300)는 일반적으로, 임의의 적합한 수의 챔버들을 포함한다.
[0032] 일 실시예에서, 제1 프로세스 챔버(330)는 증착 챔버이고, 제2 프로세스 챔버(340)는 어닐링 프로세스들을 수행하는 데 적합한 챔버이며, 제3 프로세스 챔버(350)는 에칭 챔버이다. 적합한 챔버들은, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 챔버들, 이를테면, PRODUCER® 챔버들 및 VANTAGE® 챔버들을 포함한다. 동작(120)은 일반적으로, 제1 프로세스 챔버(330)에서 발생된다. 일 실시예에서, 기판(202)은 동작(130)에서의 어닐링 프로세스를 위해 제1 프로세스 챔버(330)에 유지된다. 다른 실시예에서, 기판(202)은 동작(130)에서의 어닐링 프로세스를 위해 제2 프로세스 챔버(340)로 이송된다. 이어서, 일반적으로, 기판(202)은 동작(140)에서의 에칭 프로세스를 위해 제3 프로세스 챔버(350)로 이송된다.
[0033] 본원에서 개시되는 동작들을 수행하기 위한 프로세스 챔버는 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 그러나, 다른 제조자들로부터의 프로세스 챔버들을 포함하는 다른 프로세스 챔버들이 또한 사용될 수 있고, 본 개시내용의 양상들로부터 이익을 얻을 수 있다는 것이 고려된다.
[0034] 본 개시내용의 실시예들은 반도체 디바이스 피처들을 위한 심 및 공극이 없는 갭충전, 이를테면 고 종횡비 트렌치들을 위한 비정질 실리콘 갭충전을 제공한다. 갭충전에 심 및 공극이 없거나, 또는 갭충전이 감소된 수의 심들 및 공극들을 포함하기 때문에, 반도체 디바이스의 전체 성능이 개선된다.
[0035] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 반도체 디바이스를 제조하기 위한 방법으로서,
    기판을 프로세스 챔버에 포지셔닝하는 단계 ― 상기 기판은 상기 기판의 표면에 형성된 하나 이상의 피처(feature)들을 갖고, 상기 하나 이상의 피처들 각각은 측벽들 및 최하부 표면을 가짐 ―;
    상기 하나 이상의 피처들을 갖는 상기 기판 위에 비정질 실리콘 막을 증착하는 단계;
    상기 하나 이상의 피처들에 형성된 하나 이상의 심(seam)들을 치유(heal)하기 위해, 상기 증착된 비정질 실리콘 막을 어닐링하는 단계; 및
    상기 하나 이상의 피처들 내의 상기 어닐링된 비정질 실리콘 막에 형성된 하나 이상의 공극들을 제거하기 위해, 상기 어닐링된 비정질 실리콘 막의 일부를 에칭하는 단계
    를 포함하고,
    상기 어닐링된 비정질 실리콘 막의 일부를 에칭하는 단계는, 상기 기판의 최상부 표면 아래로 일정 거리만큼 상기 어닐링된 비정질 실리콘 막을 리세싱(recess)하는 단계를 더 포함하며,
    상기 거리는 상기 하나 이상의 피처들 내의 상기 하나 이상의 공극들 중 최하측 공극의 최하부와 상기 기판의 최상부 표면 사이의 거리와 동일한,
    반도체 디바이스를 제조하기 위한 방법.
  2. 제1 항에 있어서,
    상기 비정질 실리콘 막을 증착하는 단계는, 실란, 디실란, 디클로로실란, 트리실란, 및 테트라실란으로 구성된 그룹으로부터 선택되는 실리콘 전구체에 상기 기판을 노출시키는 단계를 포함하는,
    반도체 디바이스를 제조하기 위한 방법.
  3. 제2 항에 있어서,
    붕소, 인, 갈륨, 주석, 비소, 게르마늄, 탄소, 질소, 안티몬, 또는 인듐 전구체에 상기 기판을 노출시키는 단계를 더 포함하는,
    반도체 디바이스를 제조하기 위한 방법.
  4. 삭제
  5. 반도체 디바이스를 제조하기 위한 방법으로서,
    기판을 프로세스 챔버에 포지셔닝하는 단계 ― 상기 기판은 상기 기판의 표면에 형성된 하나 이상의 고 종횡비 트렌치들을 갖고, 상기 하나 이상의 고 종횡비 트렌치들 각각은 측벽들 및 최하부 표면을 가짐 ―;
    상기 하나 이상의 고 종횡비 트렌치들에 비정질 실리콘 막을 증착하는 단계;
    상기 하나 이상의 고 종횡비 트렌치들에 형성된 하나 이상의 심들을 치유하기 위해, 상기 증착된 비정질 실리콘 막을 어닐링하는 단계; 및
    상기 기판의 최상부 표면 아래로 일정 거리까지 상기 어닐링된 비정질 실리콘 막을 에칭하는 단계
    를 포함하며,
    상기 거리는 상기 하나 이상의 고 종횡비 트렌치들 내의 하나 이상의 공극들 중 최하측 공극의 최하부와 상기 기판의 최상부 표면 사이의 거리와 동일한,
    반도체 디바이스를 제조하기 위한 방법.
  6. 제5 항에 있어서,
    상기 비정질 실리콘 막은 도핑되는,
    반도체 디바이스를 제조하기 위한 방법.
  7. 제6 항에 있어서,
    상기 비정질 실리콘 막을 증착하는 단계는,
    실리콘 전구체에 상기 기판을 노출시키는 단계; 및
    붕소, 인, 갈륨, 주석, 비소, 게르마늄, 탄소, 질소, 안티몬, 또는 인듐 전구체에 상기 기판을 노출시키는 단계
    를 포함하는,
    반도체 디바이스를 제조하기 위한 방법.
  8. 제7 항에 있어서,
    상기 비정질 실리콘 막을 증착하는 단계는, 섭씨 150도 내지 섭씨 500도의 온도, 및 100 mTorr 내지 350 Torr의 압력에서 발생되는,
    반도체 디바이스를 제조하기 위한 방법.
  9. 제8 항에 있어서,
    상기 비정질 실리콘 막을 어닐링하는 단계는, 섭씨 400도 내지 섭씨 1,100도의 온도, 및 100 mTorr 내지 1 atm의 압력에서 발생되는,
    반도체 디바이스를 제조하기 위한 방법.
  10. 제9 항에 있어서,
    상기 어닐링된 비정질 실리콘 막의 일부를 에칭하는 단계는, NF3, Cl2, HCl, HBr, C4F6, C2F4, H2, Ar, He, 및 N2 중 하나 이상을 포함하는 에천트에 상기 비정질 실리콘 막을 노출시키는 단계를 포함하는,
    반도체 디바이스를 제조하기 위한 방법.
  11. 반도체 디바이스를 제조하기 위한 방법으로서,
    기판을 제1 챔버에 포지셔닝하는 단계 ― 상기 기판은 상기 기판의 표면에 형성된 하나 이상의 피처들을 갖고, 상기 하나 이상의 피처들 각각은 측벽들 및 최하부 표면을 가짐 ―;
    상기 제1 챔버에서 상기 하나 이상의 피처들을 갖는 상기 기판 위에 재료를 증착하는 단계;
    상기 재료 내의 하나 이상의 심들을 치유하기 위해, 상기 제1 챔버에서 상기 재료를 어닐링하는 단계;
    상기 하나 이상의 피처들을 갖는 상기 기판을 제2 챔버로 이송하는 단계; 및
    상기 어닐링된 재료 내에 형성된 하나 이상의 공극들을 제거하기 위해, 상기 어닐링된 재료의 일부를 에칭하는 단계
    를 포함하고,
    상기 어닐링된 재료의 일부를 에칭하는 단계는, 상기 기판의 최상부 표면 아래로 일정 거리만큼 상기 어닐링된 재료를 리세싱하는 단계를 포함하며,
    상기 거리는 상기 하나 이상의 피처들 내의 하나 이상의 공극들 중 최하측 공극의 최하부와 상기 기판의 최상부 표면 사이의 거리와 동일한,
    반도체 디바이스를 제조하기 위한 방법.
  12. 제11 항에 있어서,
    상기 재료는 비정질 실리콘인,
    반도체 디바이스를 제조하기 위한 방법.
  13. 제11 항에 있어서,
    상기 재료를 증착하는 단계는, 섭씨 150도 내지 섭씨 500도의 온도, 및 100 mTorr 내지 350 Torr의 압력에서 발생되는,
    반도체 디바이스를 제조하기 위한 방법.
  14. 제13 항에 있어서,
    상기 재료를 어닐링하는 단계는, 섭씨 400도 내지 섭씨 1,100도의 온도, 및 100 mTorr 내지 1 atm의 압력에서 발생되는,
    반도체 디바이스를 제조하기 위한 방법.
  15. 삭제
KR1020207002816A 2017-07-12 2018-05-29 Si 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭 KR102654856B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762531598P 2017-07-12 2017-07-12
US62/531,598 2017-07-12
PCT/US2018/034877 WO2019013891A1 (en) 2017-07-12 2018-05-29 CYCLIC CONFORMAL DEPOSITION / REINFORCEMENT / ETCHING FOR FILLING INS

Publications (2)

Publication Number Publication Date
KR20200019242A KR20200019242A (ko) 2020-02-21
KR102654856B1 true KR102654856B1 (ko) 2024-04-03

Family

ID=64999643

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207002816A KR102654856B1 (ko) 2017-07-12 2018-05-29 Si 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭

Country Status (5)

Country Link
US (1) US10510589B2 (ko)
JP (1) JP7252935B2 (ko)
KR (1) KR102654856B1 (ko)
CN (1) CN110892505B (ko)
WO (1) WO2019013891A1 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10692812B2 (en) * 2018-05-15 2020-06-23 Globalfoundries Inc. Interconnects with variable space mandrel cuts formed by block patterning
US11075219B2 (en) 2019-08-20 2021-07-27 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11195848B2 (en) 2019-08-25 2021-12-07 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11563022B2 (en) 2019-08-25 2023-01-24 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11244955B2 (en) 2019-08-25 2022-02-08 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11205654B2 (en) * 2019-08-25 2021-12-21 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11011408B2 (en) 2019-10-11 2021-05-18 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11195850B2 (en) 2019-10-18 2021-12-07 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11101210B2 (en) 2019-10-25 2021-08-24 Micron Technology, Inc. Methods for manufacturing a memory array having strings of memory cells comprising forming bridge material between memory blocks
US11094627B2 (en) 2019-10-25 2021-08-17 Micron Technology, Inc. Methods used in forming a memory array comprising strings of memory cells
JP2023500828A (ja) * 2019-10-29 2023-01-11 ラム リサーチ コーポレーション 継ぎ目のない高品質のギャップフィルを可能にする方法
US11094595B2 (en) 2019-12-27 2021-08-17 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11444093B2 (en) 2020-01-10 2022-09-13 Micron Technology, Inc. Memory arrays and methods of forming memory arrays
US11437271B2 (en) * 2020-05-05 2022-09-06 Applied Materials, Inc. Seamless gap fill
US11430877B2 (en) 2020-11-13 2022-08-30 Applied Materials, Inc. Ion implantation to reduce nanosheet gate length variation

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030143852A1 (en) 2002-01-25 2003-07-31 Nanya Technology Corporation Method of forming a high aspect ratio shallow trench isolation
US20060003523A1 (en) * 2004-07-01 2006-01-05 Moritz Haupt Void free, silicon filled trenches in semiconductors
US20090286381A1 (en) 2008-05-16 2009-11-19 Novellus Systems Inc. Protective Layer To Enable Damage Free Gap Fill
JP2013533639A (ja) * 2010-07-30 2013-08-22 アプライド マテリアルズ インコーポレイテッド 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
JP2014229857A (ja) 2013-05-27 2014-12-08 東京エレクトロン株式会社 トレンチを充填する方法及び処理装置
JP2015126161A (ja) 2013-12-27 2015-07-06 東京エレクトロン株式会社 凹部を充填する方法及び処理装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0429885B1 (en) * 1989-12-01 1997-06-04 Texas Instruments Incorporated Method of in-situ doping of deposited silicon
US5888876A (en) * 1996-04-09 1999-03-30 Kabushiki Kaisha Toshiba Deep trench filling method using silicon film deposition and silicon migration
KR100354439B1 (ko) * 2000-12-08 2002-09-28 삼성전자 주식회사 트렌치 소자 분리막 형성 방법
TW540135B (en) 2002-04-24 2003-07-01 Nanya Technology Corp Method of forming shallow trench isolation region
US7109097B2 (en) * 2004-12-14 2006-09-19 Applied Materials, Inc. Process sequence for doped silicon fill of deep trenches
KR100732288B1 (ko) * 2005-04-15 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 제조 방법
CN1971950B (zh) * 2005-11-22 2010-09-15 大同股份有限公司 抗反射层基板及其制作方法
US20080305609A1 (en) * 2007-06-06 2008-12-11 Hui-Shen Shih Method for forming a seamless shallow trench isolation
CN101183659B (zh) * 2007-12-13 2012-06-06 上海集成电路研发中心有限公司 一种在硅片表面实施的沟槽隔离工艺
US9048296B2 (en) 2011-02-11 2015-06-02 International Business Machines Corporation Method to fabricate copper wiring structures and structures formed thereby
JP2014212230A (ja) * 2013-04-19 2014-11-13 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置の製造方法
US9798317B2 (en) 2013-07-03 2017-10-24 Tokyo Electron Limited Substrate processing method and control apparatus
JP6174943B2 (ja) 2013-08-22 2017-08-02 東京エレクトロン株式会社 凹部を充填する方法
CN105845619A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种层间介质层的形成方法及半导体器件的形成方法
JP6367734B2 (ja) * 2015-02-18 2018-08-01 東京エレクトロン株式会社 凹部を充填する方法及び処理装置
JP6541591B2 (ja) * 2016-03-07 2019-07-10 東京エレクトロン株式会社 凹部内の結晶成長方法および処理装置
CN105826326B (zh) * 2016-03-22 2018-11-09 上海华力微电子有限公司 一种改善深亚微米级闪存器件耦合率的沟槽氧化物的刻蚀方法
JP6554438B2 (ja) * 2016-03-30 2019-07-31 東京エレクトロン株式会社 シリコン膜の形成方法および形成装置
US10580650B2 (en) * 2016-04-12 2020-03-03 Tokyo Electron Limited Method for bottom-up formation of a film in a recessed feature
JP6693292B2 (ja) * 2016-06-20 2020-05-13 東京エレクトロン株式会社 半導体装置の製造方法及び半導体製造装置
WO2018200211A1 (en) * 2017-04-24 2018-11-01 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030143852A1 (en) 2002-01-25 2003-07-31 Nanya Technology Corporation Method of forming a high aspect ratio shallow trench isolation
US20060003523A1 (en) * 2004-07-01 2006-01-05 Moritz Haupt Void free, silicon filled trenches in semiconductors
US20090286381A1 (en) 2008-05-16 2009-11-19 Novellus Systems Inc. Protective Layer To Enable Damage Free Gap Fill
JP2013533639A (ja) * 2010-07-30 2013-08-22 アプライド マテリアルズ インコーポレイテッド 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
JP2014229857A (ja) 2013-05-27 2014-12-08 東京エレクトロン株式会社 トレンチを充填する方法及び処理装置
JP2015126161A (ja) 2013-12-27 2015-07-06 東京エレクトロン株式会社 凹部を充填する方法及び処理装置

Also Published As

Publication number Publication date
JP7252935B2 (ja) 2023-04-05
WO2019013891A1 (en) 2019-01-17
US10510589B2 (en) 2019-12-17
KR20200019242A (ko) 2020-02-21
CN110892505B (zh) 2023-05-16
US20190019724A1 (en) 2019-01-17
CN110892505A (zh) 2020-03-17
WO2019013891A9 (en) 2020-01-02
JP2020526923A (ja) 2020-08-31

Similar Documents

Publication Publication Date Title
KR102654856B1 (ko) Si 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭
US8207023B2 (en) Methods of selectively depositing an epitaxial layer
US9837271B2 (en) Process for forming silicon-filled openings with a reduced occurrence of voids
JP6554438B2 (ja) シリコン膜の形成方法および形成装置
KR101548013B1 (ko) 채널의 변형을 조절하기 위한 응력 부재
US7732305B2 (en) Use of Cl2 and/or HCl during silicon epitaxial film formation
US7732269B2 (en) Method of ultra-shallow junction formation using Si film alloyed with carbon
JP2009545886A (ja) 炭素含有シリコンエピタキシャル層の形成方法
WO2004082003A2 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
TW201338021A (zh) 選擇性磊晶製程控制
US20220005704A1 (en) Methods and apparatus for metal silicide deposition
KR20020092126A (ko) 구조 선택적 에피택시얼 성장 기술 및 선택적 실리콘 식각기술을 사용한 단결정 실리콘 패턴 형성 방법
TWI484556B (zh) 在矽基材上形成高生長速率低電阻率的鍺膜之方法(二)
JP2022008194A (ja) シリコンを備える層を形成するための方法
TWI838458B (zh) 用於3d nand應用中之插塞填充沉積之設備及方法
KR100494127B1 (ko) 반도체소자의 플러그 형성방법

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant