KR101548013B1 - 채널의 변형을 조절하기 위한 응력 부재 - Google Patents

채널의 변형을 조절하기 위한 응력 부재 Download PDF

Info

Publication number
KR101548013B1
KR101548013B1 KR1020080091030A KR20080091030A KR101548013B1 KR 101548013 B1 KR101548013 B1 KR 101548013B1 KR 1020080091030 A KR1020080091030 A KR 1020080091030A KR 20080091030 A KR20080091030 A KR 20080091030A KR 101548013 B1 KR101548013 B1 KR 101548013B1
Authority
KR
South Korea
Prior art keywords
delete delete
epitaxial
semiconductor
silicon
recessed
Prior art date
Application number
KR1020080091030A
Other languages
English (en)
Other versions
KR20090030226A (ko
Inventor
숀 토마스
피에르 토마시니
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20090030226A publication Critical patent/KR20090030226A/ko
Application granted granted Critical
Publication of KR101548013B1 publication Critical patent/KR101548013B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • Y10T428/2462Composite web or sheet with partial filling of valleys on outer surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 다른 부분들에서 다른 불순물 농도를 갖는 이형 에피택셜 실리콘 함유 재료로 충전된 리세스부들을 갖는 반도체 기판에 관한 것이다. 변형된 층들은 구배화되어 도립식으로 리세스된 소오스/드레인 영역들을 충전할 수 있다. 또한, 층들은 일 농도의 변형 유도 불순물로 리세스부의 측벽들을 라이닝하고, 상기 리세스부의 나머지를 낮은 농도의 상기 불순물로 충전한다. 후자의 경우, 상기 측벽 라이너는 테이퍼형일 수 있다.

Description

채널의 변형을 조절하기 위한 응력 부재{Stressor for engineered strain on channel}
본 발명은 반도체 처리 공정에서의 실리콘 함유 재료들의 증착에 관한 것이며, 더욱 상세하게는, 반도체 기판의 리세스된 소오스 및 드레인 영역들에서의 실리콘 함유 재료의 에피택셜 증착에 관한 것이다.
집적 회로들을 형성함에 있어서, 필드 분리 영역들 사이의 활성 영역 메사들과 같은 선택된 위치에, 더욱 특별하게는, 정의된 소오스 및 드레인 영역들 상에서 에피택셜 층들이 종종 요구된다. 일반적으로, 비정질 또는 다결정질일 수 있는 비에피택셜 재료가 증착된 이후에 상기 필드 분리 영역들 상으로부터 선택적으로 제거되면서, 동시에 화학기상증착(chemical vapor deposition; CVD) 및 식각용 케미컬들을 제공하고 조건을 조절하여 절연 영역 상에는 전혀 순(net) 증착이 일어나지 않도록 하고 노출된 반도체 윈도우들 상에는 에피택셜 순 증착이 일어나도록 하는 것은 더 효율적인 것으로 여겨진다. 선택적 에피택셜 CVD라 알려진 이 공정은, 실리콘 산화물 또는 실리콘 질화물과 같은 절연체 층 상에서의 일반적인 반도체 증착 공정에서 얻어지는 느린 핵생성을 이용한다. 이러한 선택적인 에피택셜 CVD는, 식 각종에 대한 에피택셜 층들의 감응성과 비교시, 상기 식각종에 대하여 비정질 및 다결정질 재료들이 더 큰 감응성을 갖는 점을 이용한다.
반도체 층들의 선택적 에피택셜 형성이 요구되는 많은 경우에 관한 예로서, 응력을 생성하기 위한 다양한 방법들(scheme)이 있다. 실리콘, 탄소 도핑된 실리콘, 게르마늄 및 실리콘 게르마늄 혼합물(alloy)과 같은 반도체 재료들의 전기적 특성들은 상기 재료의 변형된 정도에 의해 영향을 받는다. 예를 들면, 반도체 재료들은 인장 변형 하에서 향상된 전자 이동도를 가질 수 있고, 이는 특히 NMOS 소자들의 경우에 바람직하며, 압축 변형 하에서는 향상된 정공 이동도를 가질 수 있고, 이는 특히 PMOS 소자들의 경우에 바람직하다. 반도체 재료들의 성능을 향상시키기 위한 방법은 상당히 주목을 받으며, 다양한 반도체 처리 장치들에서 잠재적인 응용들을 갖는다. 일반적으로, 반도체 공정은, 다른 다양한 분야들뿐만 아니라, 특히 엄격한 품질 요구를 수반하는 집적 회로의 제조시 이용된다. 예를 들면, 반도체 공정 기술은 마이크로전자기계 시스템(Microelectromechanical system, "MEMS")뿐만 아니라, 다양한 기술들이 사용되는 플랫 패널 디스플레이의 제조시에도 사용된다.
실리콘- 및 게르마늄-을 함유하는 재료들 내에 변형을 유도하기 위한 많은 접근 방법은 다양한 결정질 재료들 사이의 격자 상수의 차이를 이용하는 것에 집중되어 왔다. 예를 들면, 결정질 게르마늄의 격자 상수는 5.65 Å 이고, 결정질 실리콘의 격자 상수는 5.431 Å 이며, 다이아몬드 탄소의 격자 상수는 3.567 Å이다. 이형 에피택시는 증착된 층이 하지의 결정 재료의 격자 상수를 채택하도록 다른 결 정질 재료 상에 특정 결정질 재료의 박막을 증착하는 단계를 포함한다. 예를 들면, 이러한 접근 방법을 사용함으로써, 이형 에피택시 증착에 의해 단결정 실리콘 기판 상에 변형된 실리콘 게르마늄 층들을 형성할 수 있다. 게르마늄 원자들은 실리콘 원자들보다 조금 더 크고, 상기 증착된 이형 에피택셜 실리콘 게르마늄이 하지의 상기 실리콘의 더 작은 격자 상수로 제한되기 때문에, 상기 실리콘 게르마늄의 농도의 함수에 따라 변하는 정도로 압축 변형된다. 일반적으로, 실리콘 게르마늄 층의 밴드 갭은 실리콘 게르마늄 내의 게르마늄 함량이 증가함에 따라 순수 실리콘의 경우인 1.12 eV 에서 순수 게르마늄의 경우인 0.67 eV으로 단조롭게 감소한다. 다른 접근 방법으로, 이완된 실리콘 게르마늄 층 상에 실리콘 층을 이형 에피택셜 증착함으로써 단결정 실리콘 박막 내에 인장 변형이 형성될 수 있다. 이러한 예에서, 상기 이형 에피택셜 증착된 실리콘은 그것의 격자 상수가 하지의 상기 이완된 실리콘 게르마늄의 더 큰 격자 상수로 제한되기 때문에 변형된다. 인장 변형된 채널은 일반적으로 증가된 전자 이동도를 가지며, 압축 변형된 채널은 증가된 정공 이동도를 갖는다.
이들 실시예들에서는, 상기 격자 구조 내의 실리콘 원자들을 다른 원자들로 대체함으로써, 단결정 실리콘 함유 재료들 내에 변형을 유도할 수 있다. 이 기술은 일반적으로 치환형 도핑(substitutional doping)이라고 지칭된다. 예를 들면, 게르마늄 원자들이 대체된 실리콘 원자들보다 더 크기 때문에, 단결정 실리콘의 격자 구조 내의 실리콘 원자들의 일부를 게르마늄 원자들로 치환하는 것은, 결과물인 상기 치환 도핑된 단결정 실리콘 재료 내에 압축 변형을 생성한다. 탄소로 치환 도핑하여 단결정 실리콘 내에 인장 변형을 유도하는 것은, 탄소 원자들이 대체된 실리콘 원자들보다 더 작기 때문에 가능하다. 추가적인 사항들은 뉴욕 2002년판 테일러(Tayler) 및 프랜시스(Francis)의 "실리콘-게르마늄 탄소 혼합물(Silicon-Germanium Carbon Alloy)"의 3장, 59-89 쪽의 주디 엘 호이트 (Judy L. Hoyt) 저의 "Substitutional Carbon Incorporation and Electronic Characterization of Si1 -y Cy/Si and Si1 -x-y GexCy/Si Heterojunctions"(본 명세서에서 호이트 논문이라 칭함)에 개시된다. 그러나, 비치환성 불순물들은 변형을 유도하지 않는다.
마찬가지로, 전기적 도펀트들도 전기적으로 활성화되기 위해서는 에피택셜 층들 내로 치환되면서 내포되어야만 하다. 소정 수준의 치환 정도와 도펀트 활성화를 얻기 위해서는 상기 도펀트들은 증착된 채로 내포되거나 상기 기판이 어닐링되어야 한다. 어닐링은 열적 부담을 제공하기 때문에, 엑시츄 도핑 후 상기 결정 구조 내부로 상기 도펀트들은 포함시키기 위해 어닐링을 하는 것에 비하여, 적합한 격자 상수를 위한 불순물들이나 전기적 도펀트들을 인시츄 도핑하는 것이 종종 바람직하다. 그러나, 실제로 인시츄 치환 도핑은 도펀트가 증착 동안 비치환식으로 내포되는 경향성을 갖기 때문에, 예를 들면, 상기 격자 구조 내에서 실리콘 원자들을 치환하기 보다는 상기 실리콘 내의 도메인들 또는 클러스터들에 침입형으로(intersitially) 내포되어 복잡하다. 예를 들면, 비치환식 도핑은 실리콘의 탄소 도핑, 실리콘 게르마늄의 탄소 도핑 및 전기적으로 활성화된 도펀트들로 반도체를 도핑하는 것을 복잡하게 한다. 상기 호이트 논문의 73쪽의 도 3.10에 도시된 바와 같이, 5.4 Å의 격자 간격과 1.0 GPa보다 작은 인장 응력에 해당하는 2.3 원자 %까지의 인시츄 도핑된 치환성 탄소 농도를 갖는 결정질 실리콘을 제조하기 위하여 인시츄 전처리 증착 방법(prior deposition method)이 이용되어 왔다.
소오스와 드레인 사이의 실리콘 채널에 압축 또는 인장 변형을 유도하는 "응력 부재(stressor)"로서 실리콘 함유 혼합물로 소오스 및 드레인 리세스부를 충전할 수 있다. 예를 들면, 소오스 및 드레인 리세스부 내의 변형된 에피택셜 실리콘 게르마늄("SiGe")은 실리콘 채널에 압축 변형을 유도하고 정공 이동도를 향상시킬 수 있다. 유사하게, 소오스/드레인 리세스부 내에 인장 변형 상태에 있는 탄소 도핑된 실리콘("Si:C") 에피택셜 혼합물은 상기 채널에 인장 변형을 유도하고 전자 이동도를 향상시킬 수 있다. 일반적으로, 상기 채널의 변형은 C 또는 Ge와 같은 불순물 농도와 관련된다. 즉, 상기 Ge 또는 C 의 농도가 높을수록, 변형은 더 크게 발생한다.
본 발명이 해결하고자 하는 기술적 과제는, 트랜지스터의 채널 영역에 응력을 인가하여 채널 영역의 캐리어 이동도를 용이하게 조절하는 반도체 재료의 선택적 형성 방법, 이형 에피택셜 반도체 재료의 선택적 형성 방법, 리세스부 내의 반도체 재료의 형성 방법 및 반도체 소자들을 제공하는 것이다.
본 발명의 일 태양에 따르면, 반도체 재료의 선택적 형성 방법이 제공된다. 화학기상증착 챔버 내에, 기판을 제공된다. 상기 기판은 절연성 표면들 및 단결정 반도체 표면들을 포함한다. 상기 단결정 반도체 표면들은 리세스부를 포함한다. 상기 리세스부 내에 반도체 응력 부재(stressor)가 선택적으로 형성된다. 상기 반도체 응력 부재는, 상기 리세스부 내의 상기 반도체 응력 부재의 상부가 하부들보다 더 큰 변형량을 갖도록 구배화되고, 상기 상부는 상기 리세스부들의 측벽들로 연장된다.
본 발명의 다른 태양에 따르면, 이형 에피택셜 반도체 재료의 선택적 형성 방법이 제공된다. 기판의 리세스된 단결정 반도체 영역의 바닥 표면들 및 측벽 표면들 상에 반도체 재료가 증착된다. 상기 바닥 표면들 상에 상기 반도체 재료의 이형 에피택셜 층을 잔류시키면서 상기 리세스된 영역의 상기 측벽 표면들로부터 상기 반도체 재료의 부분들을 선택적으로 제거한다. 상기 증착하는 단계 및 상기 선택적으로 제거하는 단계는 반복 수행되고, 상기 반도체 재료의 후속하여 증착되 는 이형 에피택셜 층은, 상기 반도체 재료의 이전에 증착된 이형 에피택셜 층과 비교시, 다른 농도의 변형 유도 불순물을 함유한다.
본 발명의 또 다른 태양에 따르면, 리세스부 내의 반도체 재료의 형성 방법을 제공한다. 절연성 영역들 및 내부에 형성된 리세스부를 갖는 기판이 제공된다. 상기 리세스부 내에, 이형 에피택셜 실리콘 함유 재료의 라이너 층이 증착된다. 상기 라이너 층은 변형 유도 불순물을 포함하고 상기 리세스부를 부분적으로 충전한다. 상기 라이너 층 상에 상기 라이너 층보다 더 작은 농도의 불순물을 갖는 실리콘 함유 재료를 포함하는 충전 부재(filler)를 증착하여, 상기 충전 부재로 상기 라이너 층을 피복하는 단계를 포함한다.
본 발명의 또 다른 태양에 따르면, 반도체 소자가 제공되며, 상기 반도체 소자는, 기판 내의 리세스부; 이형 에피택셜 실리콘 함유 라이너; 충전 부재; 및 상기 리세스부에 인접하는 트랜지스터 채널을 포함한다. 상기 이형 에피택셜 실리콘 함유 라이너는 상기 리세스부의 실질적으로 모든 단결정 측벽 표면들을 피복한다. 상기 라이너는 격자 상수를 변경시키는 불순물을 포함한다. 상기 충전 부재는 상기 라이너 상에 형성되고, 상기 리세스부들을 충전한다. 상기 충전 부재는 상기 충전 부재가 형성되는 상기 라이너보다 더 낮은 농도의 불순물을 갖는 실리콘 함유 재료를 포함한다.
본 발명의 또 다른 태양에 따르면, 반도체 소자가 제공되며, 상기 반도체 소자는, 리세스부 및 상기 리세스부에 인접하는 트랜지스터 채널을 포함한다. 상기 리세스부는 이형 에피택셜 응력 부재 재료로 충전된다. 상기 리세스부 내의 상기 응력 부재 재료의 상부는 제 1 불순물 농도를 가지고, 상기 리세스부 내의 상기 응력 부재 재료의 하부는 제 2 불순물 농도를 가진다. 상기 제 1 불순물 농도는 상기 제 2 불순물 농도보다 더 크고, 상기 상부는 상기 리세스부의 측벽들과 접촉하도록 연장된다.
본 발명의 일 실시예에 따르면, 더 크게 변형된 에피택셜 실리콘 함유 재료의 부피는, 상기 크게 변형된 재료로 리세스부를 완전하게 충전하는 것보다, 얇은 라이너 층을 사용함으로써 급격히 감소되기 때문에, 임계 두께의 제약이 완화되고, 변형의 조절과 열적 부담과 관련된 실질적인 성과를 얻을 수 있다. 에피택시 실리콘 함유 재료의 불순물 함유량은 조절되어, 다른 정도의 변형을 유도할 수 있다. 또한, 상기 공정 온도가 상당히 증가되므로, 성장 속도를 상당히 증가시킬 수 있다.
본 명세서에서, "불순물(impurity)"이란 용어는 실리콘 자체를 기준으로 상대적으로 반도체의 격자 상수를 변경하는 게르마늄 또는 탄소와 같은 첨가물들을 지칭하며; 그 결과물인 반도체 화합물은 때로는 혼합물(alloy) 또는 간단하게는 이형 에피택셜층(heteroepitaxial layer)라고 지칭된다. "도펀트들(dopants)"인, 비소, 붕소 등과 같은 불순물들 또는 전기적 도펀트들을 지칭할 수 있다. 본 명세서에서, "실리콘 함유 재료(silicon-containing material)"란 용어 및 유사한 용어들은, 제한 없이 (결정질 실리콘을 포함하는) 실리콘, 탄소 도핑된 실리콘("Si:C"), 실리콘 게르마늄("SiGe") 및 탄소 도핑된 실리콘 게르마늄("SiGe:C")을 포함하는 광범위한 실리콘 함유 재료들을 지칭한다. 본 명세서에서 사용된 바와 같이, "탄소 도핑된 실리콘", "Si:C", "실리콘 게르마늄", "SiGe", "탄소 도핑된 실리콘 게르마늄", "SiGe:C", 및 유사한 용어들은 다양한 비율의 지적된 화학 원소들과, 선택적으로는 소량의 다른 원소들을 포함하는 재료를 의미한다. 예를 들면, "실리콘 게르마늄"은 실리콘, 게르마늄을 포함하고, 선택적으로는, 예를 들면, 탄소 및 전기적으로 활성화된 도펀트들과 같은 도펀트들인 다른 원소들을 포함하는 재료이다. "Si:C" 및 "SiGe:C"와 같은 간략한 표현은 그 자체로서 화학양론적인 화학 공식들이 아니며, 그에 따라, 특정 비율을 갖도록 지적된 원소들을 포함하는 재료에 한정되지 않는다. 또한, Si:C 및 SiGe:C와 같은 용어는 다른 도펀트들의 존재를 배제하는 것이 아니어서, 상기 Si:C란 용어 및 상기 Si:C:P란 용어 내에는 인 및 탄소 도핑된 실리콘 재료가 포함된다. 본 명세서에서 실리콘 함유 막 내에 탄소 또는 게르마늄과 같은 도펀트의 비율은, 다른 언급이 없다면, 전체 막 또는 하위 막을 기초로 원자 %(atomic percent)로 표현된다. 본 명세서에 개시된 바와 같이, 실리콘 함유 막 내에서, 전기적 도펀트들과 같은 다른 원소들을 배제하는 탄소 또는 게르마늄과 같은 불순물 도펀트들의 농도는 적어도 약 0.3 원자 %이다. 그러나, 당업자라면, 전기적 도펀트들이 층들 내에 변형을 유도할 수 있으며, 이로 인하여 이러한 층들 내에 포함될 수도 있다는 것을 이해할 수 있다.
예를 들면, x-선 회절에 의해 도핑된 실리콘 함유 재료의 수직 방향의 격자 간격을 측정하고, 이후, SiGe 혼합물들에 대하여 단결정 실리콘과 단결정 게르마늄 사이에 선형 내삽법(interpolation)을 수행하여 베가드의 법칙(Vegard's law)을 적용하거나, Si:C 혼합물 내의 탄소에 대한 케릴레스/베르티 관계(Kelires/Berti relation)를 적용함으로써, 실리콘 함유 재료 내의 치환 도핑된, 게르마늄 또는 탄소와 같은 불순물의 양을 결정하는 것이 가능하다. 이 기술에 대한 부가적인 사항들은 상기 호이트 논문에 개시된다. 도핑된 실리콘 내의 전체 불순물의 농도를 결정하기 위하여 이차 이온 질량 분석기(secondary ion mass spectrometry; SIMS)가 사용될 수 있다. 전체 불순물 농도로부터 치환 불순물 농도를 감셈함으로써 비치환 또는 삽입 불순물의 양을 결정하는 것이 가능하다. 유사한 방식으로, 다른 실리콘 함유 재료들 내의 치환 도핑된 다른 원소들의 양을 결정할 수도 있다.
본 명세서에서 사용되는 바와 같이, "기판"은 증착이 수행되는 피처리체 또는 하나 이상의 증착 가스들에 노출된 표면을 지칭한다. 예를 들면, 일부 실시예에서, 상기 기판은 단결정 실리콘 웨이퍼, 반도체-온-절연체(SOI) 기판, 또는 에피택셜 실리콘 표면, 실리콘 게르마늄 표면 또는 웨이퍼 상의 Ⅲ-Ⅴ 재료이다. 피처리체들은 웨이퍼에 제한되지 않으며, 유리, 플라스틱 또는 반도체 공정에 사용되는 다른 기판들을 포함한다. 본 실시예들에서, 상기 기판은 2 이상의 다른 종류의 표면들을 갖도록 패터닝된다. 일부 실시예들에서, 인접하는 유전체들 또는 절연체들 상의 증착을 최소화하거나 더욱 바람직하게는 회피하면서, 실리콘 함유 층들이 단결정 반도체 재료들 상에 선택적으로 형성된다. 다른 실시예들에서, 인접하는 절연체들 상에 비정질 또는 다결정질 재료들을 증착하면서 단결정 반도체 표면들 상에서는 에피택셜 증착이 일어난다. 유전체 또는 절연체들은, 예를 들면, 실리콘이 산화물을 포함하며, 탄소 도핑된 및 불소 도핑된 실리콘 산화물들, 실리콘 질화물, 금속 산화물 및 금속 규소화물과 같은 저유전율 막들을 포함한다.
본 명세서에서, "에피택셜(epitaxial)", "에피택셜하게(epitaxially)", "이형 에피택셜(heteroepitaxial)", "이형 에피택셜하게(heteroepitaxially)"라는 용어들 및 이와 유사한 용어들은, 결정질 기판 상에, 증착된 층이 하지의 층이나 기판의 격자 상수를 취하거나 따르도록 결정질 실리콘 함유 재료를 증착하는 것을 지칭하기 위하여 사용된다. 에피택셜 증착은, 상기 증착된 층의 조성이 하지의 층 또는 기판의 조성과 다른 경우 이형 에피택셜이다. 에피택셜 증착은, 상기 증착된 층의 조성이 하지의 층 또는 기판의 조성과 동일한 경우 동형 에피택셜이다.
일부 응용들에 있어서, 패터닝된 기판은 제 1 표면 모폴로지를 갖는 제 1 표면 및 제 2 표면 모폴로지를 갖는 제 2 표면을 가진다. 표면들이 동일한 원소로 형성된 경우라 하더라도, 상기 표면들의 모폴로지 또는 결정성이 다르다면 다른 것으로 여겨질 수 있다. 비정질 및 결정질은 다른 모폴로지의 예이다. 다결정질 모폴로지는 질서도를 갖는 결정들의 무질서한 배열로 이루어진 결정 구조이며, 그에 따라 중간 정도의 질서도를 갖는다. 다결정질 재료의 원자들은 각 결정들 내에서 질서를 가지지만, 결정들 자체는 이들 사이에 장거리 질서도를 갖지 않는다. 단결정 모폴로지는 높은 정도의 장거리 질서도를 갖는 결정질 구조이다. 에피택셜 막들은 인플레인(in-plane) 결정 구조와 이들이 성장된 기판과의 동일한 배향이라는 특징을 갖는다. 이들 재료의 원자들은 원자 스캐일에서 비교적 장거리에 걸쳐 지속되는 격자형 구조로 배열된다. 비정질 모폴로지는 원자들이 분명한 주기적 배열 을 결여하고 있기 때문에 낮은 정도의 질서도를 갖는 비결정질 구조이다. 다른 모폴로지로서, 마이크로결정질 및 비정질과 마이크로결정질의 혼합 구조가 있다. 따라서, "비에피택셜(non-epitaxial)"은 비정질, 다결정질, 마이크로결정질 및 이들의 혼합 구조를 포괄한다. 본 명세서에서 사용된 바와 같이, "단결정" 또는 "에피택셜"은 트랜지스터의 제조와 관련하여 공통적으로 사용되는 것처럼, 내부에 허용 범위 내의 결함들을 갖는 지배적으로 큰 결정 구조를 기술하기 위하여 사용된다. 일반적으로, 층의 결정성은 비정질로부터 결정질 그리고 단결정의 연속선(continuum) 상에 존재하며; 결정 구조는 낮은 결함 밀도에도 불구하고 종종 단결정 또는 에피택셜로 여겨진다. 2 이상의 다른 종류의 표면들을 갖는 혼합 기판들의 특별한 예는, 다른 모폴로지들 및/또는 다른 재료들에 따라, 단결정/다결정질, 단결정/비정질, 에피택셜/다결정질, 에피택셜/비정질, 단결정/유전체, 에피택셜/유전체, 전도체/유전체 및 반도체/유전체를 포함하며, 이에 제한되는 것은 아니다. 2 종류의 표면들을 갖는 혼합 기판들 상에 실리콘 함유 막들을 증착하는 것에 관하여 본 명세서에 개시된 방법들은 3 이상의 다른 종류의 표면들을 갖는 혼합 기판들에도 적용될 수 있다.
인장 변형된 실리콘 함유 재료는, 리세스된 소오스/드레인 영역들 내부로 임계 두께 이하의 두께로 형성된 경우, 상기 리세스된 소오스/드레인 영역들에 인접하는 상기 실리콘 채널의 내부로 단일축의 인장 변형을 유도한다. 이러한 인장 변형된 재료들은, 특히 NMOS 소자들에 있어서 바람직한 향상된 전자 이동도를 나타내며, 탄소 도핑된 실리콘 막들(Si:C 막들) 및 게르마늄의 농도가 탄소 농도의 약 8 내지 10 배보다 더 큰 탄소 도핑된 실리콘 게르마늄 막들(SiGe:C 막들)을 포함하며, 이들 예에 제한되는 것은 아니다. 이는 상기 변형된 실리콘 층을 지지하기 위한 이완된 실리콘 게르마늄 버퍼 층이 제공되어야 하는 필요성을 제거한다. 이러한 응용들에 있어서, 전기적 활성 도펀트들은 도펀트 소스들 또는 도펀트 전구체들을 사용하는 인시츄 도핑에 의해 삽입된다. 일반적인 n-형 도펀트 소오스들은 수소화인(phosphine) 및 수소화비소(arsine)와 같은 수소화비소 증기 및 도펀트, 수소화물을 포함한다. 시릴수소화인들(silylposphines), 예를 들면, (H3Si)3- xPRx, 및 시릴수소화비소들(silylarsines), 예를 들면, (H3Si)3-xAsRx(여기서, x=0, 1, 또는 2이고, Rx=H 및/또는 중수소(deuterium; D)임)가 수소화인 및 수소화비소 도펀트들의 대안적 전구체이다. 인 및 비소는, NMOS 소자들의 소오스 및 드레인 영역들을 도핑하는 데에 특히 유용하다. SbH3 및 트리메틸린듐(trimethylindium)은 각각 안티몬 및 인듐의 대안적 소오스들이다. 이러한 도펀트 전구체들은 이하에 개시된 바와 같이 막들, 바람직하게는, 인, 안티몬, 인듐 및 비소 도핑된 실리콘, Si:C 및 SiGe:C 막들 및 혼합물들을 제공하는 데에 유용하다.
압축 변형된 실리콘 함유 재료들이, 리세스된 소오스/드레인 영역들 내부로 임계 두께 이하의 두께로 성장되면, 상기 리세스된 소오스/드레인 영역들에 인접하는 실리콘 채널에 단일축의 압축 변형을 유도하여 정공 이동도를 향상시키며, 이는 특히 PMOS 소자들의 경우 바람직하다. 이러한 압축 변형된 재료들은, 실리콘 게르마늄 막들("SiGe 막들") 및 게르마늄의 농도가 탄소 농도의 약 8 내지 10 배보다 더 큰 탄소 도핑된 실리콘 게르마늄 막들("SiGe:C 막들")을 포함하며, 이들 예에 한정되는 것은 아니다. 이러한 응용들에서, 전기적 활성 도펀트들은 도펀트 소오스들 또는 도펀트 전구체들을 사용하여 인시츄 도핑에 의해 삽입된다. 일반적인 p-형 도펀트 전구체들은 붕소 도핑을 위해 수소화붕소(diborane; B2H6) 및 삼염화붕소(boron trichloride; BCl3)을 포함한다. Si에 대한 다른 p-형 도펀트들은, 멘델레예프 주기율표에서 Si의 좌측에 있는 Al, Ga, In 및 다른 금속을 포함한다. 이러한 도펀트 전구체들은 이하에 개시한 바와 같이 막들, 바람직하게는, 붕소 도핑된 실리콘, SiGe 및 SiGe:C 막들 및 혼합물들을 제공하는 데에 유용하다.
과량의 전위들(dislocations)이 없이 리세스된 소오스 및 영역들 내에 성장될 수 있는 SiGe 또는 Si:C 층들의 두께에는 한계가 있다. 상기 층의 성장 가능 두께는 일반적으로 불순물 농도에 반비례한다. 약 40 원자 % 게르마늄 미만의 SiGe 및 약 3 원자 % 탄소 미만의 Si:C의 경우에, 현재, 허용 가능한 전위량 내에서 균일한 조성으로 약 10 내지 50 nm 범위의 두께의 SiGe 혼합물들을 증착할 수 있다. 이러한 한계를 초과하면, 전위의 핵생성을 방지하기 위하여 공정 온도를 감소시킴에 따라, 상기 층의 허용 가능한 두께와 성장 속도가 급격히 감소된다. 예를 들면, 일반적으로, 실리콘 상에 전위 없이 단지 몇 개의 순수한 Ge의 단일층들(monolayers)이 생성될 수 있다. 임계 두께를 초과하면, 상기 층 내에 소자의 성능에 해로운 상당한 양의 전위가 생성된다. 고농도의 전체 불순물은 전위들을 초래할 수 있다. 본 명세서에 개시된 실시예들에서, 응력 부재 내의 전체 불순물 농도는, 트랜지스터 채널에 인접하는 리세스부들의 측벽들에 변형을 국소화함으로써 변형의 영향을 최대화하면서, 감소된다.
최근, 노출된 반도체 윈도우들 내에, Si:C, SiGe 및 SiGe:C와 같은, 실리콘 함유 재료를 포함하는 변형된 막을 형성하기 위한 기술이 개발되었다. 도시된 실시예들에서는, 변형된 막들이 리세스된 소오스/드레인 영역들 내부에 증착되어, 인접하는 채널 영역에 응력을 인가하며, 그에 따라, 이들은 "응력 부재(stressor)"라고도 지칭된다. 바람직한 실시예들에 따르면, 기판 내에 유도되는 전체적인 응력과 비교하여 인접하는 트랜지스터 채널 영역에 유도되는 변형을 증가시키기 위하여, 리세스된 소오스/드레인 영역들 내에 변형된 이형 에피택셜 반도체 재료가 증착된다. 상기 응력 부재는 상기 리세스부들 내의 다른 영역들에서 다른 조성을 가지므로, 상기 응력 부재는 구배화되지만, 상기 구배는 연속적(continuous)이거나, 2 이상의 불연속적인(descrete) 층들에서 계단식(stepwise)일 수도 있다.
리세스부의 측벽으로 연장된 표면에서 최대 변형을 갖는 구배화된 응력 부재
도 1 내지 도5d는, 이형 에피택셜 응력 부재가 도립식(bottom-up fashion)으로 증착되고, 최대 변형이 상부 표면에서 일어나도록 구배화되며, 상기 리세스부의 측벽으로 연장된 실시예를 도시한다. 예를 들면, 이러한 형성 공정은, (a) 리세스부 내에 Si:C 막을 블랭킷 증착하는 단계 및 (b) 상기 리세스부들의 측벽들로부터 상기 반도체 재료를 선택적으로 식각하는 단계에 의해, 상기 리세스부의 바닥에 이형 에피택셜 층을 잔류시킴으로써 수행될 수 있다. 단계 (b)에서는 절연체층들 상 의 비에피택셜 반도체 재료가 동시에 식각될 수있다. 선택적으로, 단계 (a) 및 (b)가 다른 불순물 레벨로 주기적으로 반복되며, 이에 따라, 상기 리세스된 소오스/드레인 영역들 상으로 에피택셜 막 두께 목표까지 다른 정도의 변형이 얻어진다. 대안적 실시예로서, 기판의 리세스부들 내에 수직으로 구배화된 실리콘 함유 재료를 형성하기 위해 다른 증착 기술들이 사용될 수 있다.
후속하는 HF 세정으로 건식 식각하고 인시츄 어닐링함으로써 리세스된 소오스/드레인 영역들을 형성하는 것이 가능하다. 건식 식각이 이용되는 실시예에서, 선택적으로 성장된, (약 1 nm 내지 약 3 nm 두께의) 얇은 실리콘 시드 층을 증착함으로써, 식각 손상을 감소시킬 수 있다. 또한, 시드 층은 이전의 도펀트 주입 공정에서 초래된 손상을 피복하는 데에 유용하다. 예시적인 실시예에서, 약 700 ℃ 내지 약 800 ℃ 사이의 증착 온도에서, HCl 및 이염화실란(dichlorosilane)을 동시에 제공함으로써 이러한 시드 층을 선택적으로 증착할 수 있다.
도 1에 제공된 순서도에서는, 일부 실시예들에 따른 주기적 블랭킷 증착 및 식각 공정이 도시되며, 도 2 내지 도 5e에서는 부분적으로 형성된 반도체 구조들이 개략적으로 도시되어 있다. 이하에서는 특정 주기적 공정에 의한 인장 변형된 Si:C 증착에 관한 실시예의 측면이 개시되지만, 본 명세서에 개시된 바와 같이, 다른 기술에 의해 도립식으로 형성된 다른 변형된 재료들을 위한 에피택셜 막들을 형성하는 데에, 리세스부들의 도립식, 구배화된 충전이 이용될 수 있다. 상기 Si:C 실시예는 바람직하게는, 약 0.1 원자 % 내지 4 원자 %, 바람직하게는, 약 1 원자 % 내지 3 원자 % 범위의 치환성 탄소를 포함하며, 상기 기판의 표면 부근에서 최대 변형을 갖도록 구배화된다. 당업자라면, 상기 바람직한 주기적 공정이 소정의 막질의 경우, 종래의 선택적 증착에서 동시에 식각종과 전구체의 유입시키는 경우에 가능한 것보다 더 큰 농도의 탄소를 갖는 Si:C를 선택적으로 형성할 수 있으며, 상기 리세스부의 상부에서 최대 변형을 갖는 응력 부재의 일부가 채널에 인접하는 리세스부의 측벽으로 연장될 수도 있음을 이해할 수 있다. 일부 실시예들에서, 상기 리세스부의 측벽은 상기 리세스부가 식각된 이후에 상기 리세스부를 라이닝하도록 증착된 에피택셜 층에 의해 정의될 수 있다. 본 명세서에 개시된 상기 기술들은 리세스된 소오스/드레인 영역들 내에, SiGe 및 SiGe:C와 같은, 다른 에피택셜 막들의 증착을 위해 이용될 수도 있다.
특히, 도 1의 블록 10에서는, 공정 챔버 내에, 리세스된 소오스/드레인 영역들을 갖는 기판이 제공된다. 블록 20에 개시된 바와 같이, 상기 기판 상에 반도체 혼합물 층이 균일하게(conformally) 증착된다. 일 실시예에서, 이러한 균일한 증착은 상기 기판의 절연체 영역들 상에 비정질 또는 다결정질 재료를 잔류시키는 블랭킷 증착 및 상기 소오스/드레인 영역들의 바닥 및 측벽들 상의 에피택셜 증착이다. 상기 균일한 증착 단계 이후에는, 블록 30에 개시된 바와 같이, 비정질 또는 다결정질 재료의 영역들 및 측벽 에피택셜 재료가 선택적으로 식각된다. 상기 선택적인 식각 이후에는, 블록 40에 개시된 바와 같이, 상기 리세스된 소오스/드레인 영역들 내에 에피택셜 막의 목표 두께가 달성되었는지를 판정한다. 블록 45에 개시된 바와 같이, 목표 두께가 달성되었다면, 상기 공정은 종료된다. 블록 50에 나타낸 바와 같이, 목표 두께가 달성되지 않았다면, 탄소와 같은 변형 유도 불순물의 농도를 증가시킴으로써 주기적으로 지속된다. 증가된 농도는 블록 20에 나타낸 바와 같이, 다음 차례의 반도체 혼합물 층의 균일한 증착을 위해 이용된다. 증가된 불순물 농도를 갖는 다음의 균일한 증착 이후에는, 블록 30에 개시된 바와 같이, 비정질 또는 다결정질 및 측벽 에피택셜 재료를 선택적으로 식각하는 단계가 수행된다. 이러한 증착 단계 및 식각 단계 이후에는, 블록 40에 개시된 바와 같이, 목표 두께가 달성되었는지를 판정하기 위하여, 리세스된 소오스/드레인 내의 에피택셜 막의 두께가 평가된다. 이러한 주기적인 공정은 목표 두께가 달성될 때까지 반복된다. 적어도 2 개의 사이클들 20-50이 구배화된 응력 부재를 얻기 위하여 순서대로 수행된다.
도 2는 실리콘 웨이퍼와 같은, 반도체 기판(100) 내에 형성된 패터닝된 절연체(110)를 포함하는 예시적인 기판을 개략적으로 도시한다. 도시된 절연체(110)는, 산화물로 충전된 얕은 트렌치 절연막(STI)의 형태로, 필드 절연 영역들(112)을 정의하고, 게이트 전극(115) 구조의 일 측에 도시된 리세스된 소오스/드레인 영역들(114)에 인접한다. 게이트 전극(115)은 상기 기판의 채널 영역(117) 상에 배치된다. 이와 함께, 채널(117), 소오스 및 드레인 영역들(114)은 일반적으로 인접하는 소자들 사이의 간섭(cross-talk)을 방지하기 위하여 필드 절연체(112)에 둘러싸이는 트랜지스터 활성 영역을 정의한다. 다른 실시예로서, 복수의 트랜지스터들이 필드 절연체에 의해 둘러싸일 수도 있다. 일 실시예에서, 게이트 구조(115)의 상부는 유전 재료로 보호(capping)될 수 있다. 이후, 이 표면은 상부의 층착과 관련하여 필드 영역들(110)과 유사하게 거동하며, 상기 게이트의 상부 상의 증착은 상 기 필드 영역 상의 증착과 유사한 결정성을 가질 것이다. 게이트(115)가 유전 재료로 보호되지 않은 경우에는, 상기 게이트의 표면이 다결정질 재료를 성장시키고, 이후, 상기 다결정질 재료는 다결정질 재료의 인시츄 식각에 의해 제거될 수 있으며, 필드(110)로부터 재료들이 제거되는 것을 보장하기 위해 압력, 유량 등과 같은 다른 조건들이 적용될 수 있다.
이하에서는, NMOS 응용을 위한 탄소 도핑된 실리콘(Si:C)의 특정 예를 포함하는 실시예가 개시된다. 도 3에 개략적으로 도시된 바와 같이, 바람직하게는 실리콘 전구체로서 트리실란을 사용하고 탄소 전구체를 유입시켜, 혼합 기판 상에 블랭킷 Si:C 층(120, 125, 130)을 증착한다. 그 결과, 필드 절연 영역들(112) 상에는 비정질 또는 다결정질 또는 비에피택셜 증착(120), 리세스된 소오스/드레인 영역들(114)을 라이닝하는 Si:C의 하부 에피택셜 증착(125) 및 측벽 에피택셜 증착(130)이 지배적으로 달성된다. "블랭킷 증착"은 증착 단계에서 비정질 절연체(110)와 단결정 소오스/드레인 영역들(114) 모두 상에 순 증착이 달성되는 것을 의미한다. 증착이 "비선택적(non-selective)"인 것으로 여겨지는 상기 블랭킷 증착 공정에서 식각종 또는 할로겐화물들의 결여가 바람직하지만, 다양한 영역들 상에서 증착 두께의 비율을 조절하기 위해 일정량의 식각종이 필요할 수도 있다. 이와 같이 약간의 식각종이 바람직한 경우에도, 상기 증착 공정은, 각 증착 단계가 절연체(110) 및 단결정 영역(114) 모두에서 순 증착되기 때문에, 부분적으로 선택적이지만 그럼에도 불구하고 블랭킷이다.
이후, 본 발명의 일 실시예에 따르면, 비정질 또는 다결정질 증착(120) 및 측벽 에피택셜 증착(130)의 영역들은 선택적으로 식각되어, 그 결과, 도 4에 개략적으로 도시된 구조가 얻어진다. 다른 실시예에서, 측벽 영역 상의 증착은 다결정질 또는 비정질 재료일 수 있다. 상기 선택적 식각 단계 동안에, 리세스된 소오스/드레인 영역들(114) 내의 하부 에피택셜 층(125)으로부터 에피택셜 증착된 Si:C의 일부가 제거되면서, 적어도 일부의 하부 에피택셜 층(125)이 잔류된다. 다른 결정학적 평면 상에서 측벽 에피택셜 층(130)이 성장되고, 2 개의 표면들 상의 성장 속도의 차이로 인하여 하부 에피택셜 층(125)보다 더욱 많은 결함을 가진다. 당업자라면, 수직 방향의 측벽 에피택셜 층(130)의 격자 간격이 하부 에피택셜 층(125)의 격자 간격보다 더 작으며, 그 결과, 상기 2 개의 표면들 상에서 성장 속도의 차이가 발생된다는 것을 이해할 수 있다. 그에 따라, 측벽 에피택셜 층(130)은 비에피택셜 재료(120)와 함께, 더욱 용이하게 제거된다. 따라서, 공정의 각 사이클은 리세스부(114)의 대규모의 도립식 충전을 달성하기 위하여 조절될 수 있다. 도 1에 관한 설명으로부터 이해할 수 있는 바와 같이, 각 사이클은 균일한 블랭킷 증착 단계(20) 및 리세스부의 측벽들로부터의 선택적 식각 단계(30)를 포함한다.
이하에서 더욱 상세히 개시되는 바와 같이, 예시적인 실시예에서, 증기 식각 케미스트리는, 바람직하게는, 불소, 붕소 또는 염소 함유 증기 화합물과 같은 할로겐화물, 특히 HCl 또는 Cl2와 같은 염소 소오스를 포함한다. 일부 실시예에서, 식각 케미스트리는 단일게르마늄(GeH4), GeCl4, 유기금속 Ge 전구체 또는 고형 소오스 Ge와 같은 게르마늄 소오스를 함유할 수도 있다. 당업자라면, 동일한 식각 케미스 트리가 SiGe 및 SiGe:C 막들에도 적합하다는 것을 이해할 것이다.
도 4를 참조하여 상술된 선택적 식각 공정 단계 이후에, 도 5a에 도시된 바와 같이 혼합 기판 상에 제 2 블랭킷 Si:C 층(122, 132, 135)이 증착된다. 이 제 2 블랭킷 Si:C 층(122, 132, 135)은 도 3에 도시된 바와 같이 제 1 블랭킷 Si:C 층(120-130)보다 더 높은 농도의 탄소를 함유한다. 일 실시예에 따르면, 제 1 블랭킷 Si:C 층(120, 125, 130)의 탄소 농도는 약 1 원자 % 내지 1.5 원자 %이고, 제 2 블랭킷 Si:C 층(122, 132, 135)은 약 1.5 원자 % 보다 더 크며, 바람직하게는 약 1.5 원자 % 내지 4 원자 % 범위 내이다. SiGe 막들의 성장을 위한 대안적인 실시예에서, 제 1 블랭킷 SiGe 층(120, 125, 130)의 게르마늄 농도는 10 원자 % 내지 20 원자 % 의 범위 내이고, 바람직하게는 약 15 원자 % 이며, 제 2 블랭킷 SiGe 층(122, 132, 135)의 게르마늄 농도는 20 원자 % 내지 100 원자 %의 범위 내이고, 바람직하게는, 약 30 원자 % 내지 60 원자 %의 범위 내이다. 도 5a에 도시된 바와 같이, 제 2 블랭킷 Si:C 층(122, 132, 135)은 비정질 또는 다결정질 부분들(122), 측벽의 에피택셜 부분들(132) 및 리세스부의 바닥 표면 부분들(135)을 포함한다. 이후, 도 5b에 도시된 바와 같이, 측벽의 에피택셜 층(132)뿐만 아니라, 산화물 영역들(112) 내의 비정질 절연체들(110) 상의 Si:C의 비에피택셜 부분들을 제거하기 위하여, 제 2 Si:C 층(122, 132, 135)이 선택적으로 식각된다. 다른 실시예에서, 측벽 증착은 비정질 또는 다결정질이다. 어느 경우에도, 상기 측벽 층이 본 실시예에 따른 바닥의 에피택셜 재료보다 더 쉽게 제거된다.
점차적으로 더 높은 농도의 탄소를 갖는 Si:C 층을 블랭킷 증착하는 단계, 이후의 선택적 식각 공정 단계를 포함하는 이 주기적 공정은, 도 1에 도시된 판단 블록(40)에 의해 개시된 바와 같이, 리세스된 소오스/드레인 영역들(114) 상에 목표 두께의 에피택셜 Si:C 막 두께가 얻어질 때까지 반복된다. 증가된 두께를 가지며 바닥을 피복하는 에피택셜 Si:C 층을 잔류시키기 위한 이 주기적 공정은, 블랭킷 Si:C 층(122, 132, 135)의 제 2 사이클의 증착을 도시하는 도 5a와 비정질 또는 다결정질 Si:C 층(122) 및 측벽의 에피택셜 층(132)의 제 2 사이클의 식각 단계를 도시하는 도 5b에 개략적으로 도시되어 있다. 상기 증가된 두께를 갖는 에피택셜 Si:C는 리세스된 소오스/드레인 영역들(114) 내의 불연속적으로(discrete) 구배화된 층들(125, 135)을 포함한다. 도 5c는 에피택셜 충전된 소오스/드레인 영역들(114)을 잔류시키기는 추가적인 사이클의 결과를 도시하며, 상기 불연속적으로 선택적으로 구배화된 에피택셜 층들의 상부 층(145)은 대략적으로 필드 산화물(110)과 동일 평면 상에 있다. 하나의 추가적인 사이클로서 도시되었지만, 당업자라면, 리세스된 소오스/드레인 영역들(114)을 충전하기 위하여 추가적인 사이클들이 수행될 수 있음을 이해할 수 있다.
도 5c는 3 개의 불연속적으로 구배화된 층들을 도시하지만, 당업자라면, 다른 실시예로서, 상기 필드 산화물들과 대략적으로 동일 평면 상에 있는 상부 표면을 갖는 에피택셜 충전된 소오스/드레인 영역을 얻기 위하여 더 많거나 더 적은 수의 불연속적으로 구배화된 층들이 있을 수 있음을 이해할 수 있다. 또 다른 실시예에서는, 불연속적으로 구배화된 에피택셜 층들(125, 135, 145)이 상승된 소오스/드레인 영역들(114)로서 선택적으로 증착될 수 있다는 것을 이해할 수 있다. 도 5c에 도시된 바와 같이, 각 증착된 층들은 리세스된 영역들(114)의 측벽 표면들의 적어도 일부를 피복한다. 대안적인 실시예에 따르면, 층들(125, 135, 145 등)은 후속하여 증착되는 각 층이 점차적으로 더 높은 농도의 탄소를 갖도록 연속적으로 구배화된 층을 형성할 수 있다. 예를 들면, 각 층은 증착 상태에서 구배화될 수 있으며, 또는, 후속하는 열처리들이 확산에 의해 상기 구배를 유연하게(smoothing) 할 수도 있다. 상기 구배화된 층들은 연속적이거나 계단식일 수 있으며, 리세스된 영역들(14) 내의 최대 변형은, (웨이퍼의 표면과 대략적으로 평행한) 리세스부의 상부에서 일어날 수 있으며, 구배화된 에피택셜 층들(125, 135, 145 등)은 각각 채널에 인접하는 리세스부의 측벽들로 연장된다. 따라서, 심지어 측벽에서도, 상기 구배는 상기 측벽으로부터 수평 방향으로 연장된다기보다는 지배적으로는 수직 방향으로 연장된다. 상기한 바와 같이, 일부 실시예들에서, 상기 리세스부의 측벽은 선택적인 리세스 세정(clean-up) 또는 열적 유연화 단계를 수반하는 식각 공정에 의해 정의된다. 다른 실시예들에 있어서, 리세스부의 측벽은 얇은 에피택셜 층과 같은 라이닝 층에 의해 정의된다. 상기 구배화된 구조의 각 증착된 층은 약 1 nm 내지 100 nm의 두께를 가질 수 있다. 다른 실시예에 따르면, 증착된 각 층은 약 3 nm 내지 50 nm의 두께를 가진다. 또 다른 실시예에 따르면, 각 증착된 층은 약 3 nm 내지 5 nm의 두께를 가진다. 일부 실시예들에서, 상기 구배화된 에피택셜 층들 각각은 동일한 두께를 가질 수도 있다. 다른 실시예들에서, 상기 구배화된 에피택셜 층들은 상대적으로 다른 두께를 가질 수 있다.
상기 선택적 형성 단계는, 도 5d에 도시된 바와 같이, 선택적인 보호층(150) 을 형성하기 위하여, 블랭킷 증착 및 유전성 영역들로부터 증착된 재료를 제거하기 위한 선택적 식각 공정으로 이루어진 추가적인 사이클들을 더 포함할 수 있다. 보호층(150)은 불순물들 또는 전기적 도펀트들을 갖거나 가지지 않을 수 있다. 예를 들면, 원래의 기판 표면보다 위이고, 소오스/드레인 영역들(114) 사이의 채널(117)보다 위에 있는 상승된 소오스/드레인 영역들(114)의 부분은, 이 부분이 채널(117)의 레벨보다 상부에 있어, 채널(117)의 변형에 기여를 하지 않기 때문에, 탄소가 없을 수 있다. 따라서, 선택적인 보호층(150)은 Si, SiGe, SiGe:C 또는 Si:C로 형성되며, 콘택 실리사이드화를 위하여 여분의 Si를 제공하는데 기여할 수도 있다. 일 실시예에서, 층들(125, 135, 145)은 Si:C로 형성될 수 있으며, 보호층(150)은 Si, SiGe, SiGe:C 또는 Si:C로 형성된다. 다른 실시예에서, 보호층(150)은 Si, SiGe, SiGe:C 또는 Si:C로 형성되고 층들(125, 135, 145)은 SiGe로 증착될 수 있다. 예시적인 일 실시예에서, 증착된 구배화된 Si:C 층들은 선택적으로는 인 또는 비소와 같은 NMOS 소자들에 특히 적합한 전기적 활성 도펀트를 포함한다.
일 실시예에서는, 고농도의 치환성 탄소 및 전기적 활성 도펀트들을 유지하는데 돕고, 동시에 온도 램프/안정화 시간들을 최소화하기 위하여, 기판의 온도는, 적어도 도 1의 식각 단계(30) 동안에, 바람직하게는 낮게 유지되며, 예를 들면, 약 350 ℃ 내지 700 ℃ 사이의 범위 내로 유지된다. 상기 식각 단계를 위하여 낮은 온도를 이용함으로써, 상기 식각 동안에 전기적 활성 도펀트 원자들이 비활성화되는 가능성이 감소될 수도 있다. 예를 들면, Cl2 가스로 식각하는 것은 바람직하게 식각 온도를 감소시키며, 이로 인하여, 치환성 탄소와 전기적 활성 도펀트들이 유지되는데 도움이 된다. 상기 식각 단계에서 낮은 온도들은 대략적으로 낮은 온도들에서 얻어지는 고농도의 도펀트 주입을 이용하면서도 증착 단계의 온도를 매칭시킬 수 있도록 한다. 식각 속도들은, 예를 들면, 식각 단계 동안에 GeH4, GeCl4, 유기 금속 Ge 전구체들, 고상 소오스 Ge와 같은 게르마늄 소오스를 포함함으로써, 또는 처리량을 향상시키기 위해 식각 단계 동안 온도를 플래시 램핑함으로써, 처리량을 희생시키지 않고서도 이러한 낮은 온도를 가용하도록 하면서 향상될 수 있다. 상기 사이클들 동안 설정 온도가 비교적 일정하게, 예를 들면, ± 10 ℃의 내로 유지되는 등온 공정은 처리량을 개선하고 온도의 램핑 및 안정화를 위한 시간을 최소화한다. 유사하게, 블랭킷 증착 및 식각 공정은 모두 바람직하게는, 이들의 압력 설정값이 ± 20 Torr 내인 등압 공정이다. 등온 및/또는 등압 조건은 램프 및 안정화 시간을 피하면서 더 우수한 처리량을 달성할 수 있도록 한다.
도 1에 도시된 바와 같이, 블랭킷 증착 및 이후의 선택적 식각의 2 단계 공정은, 선택적으로는, 소오스/드레인 리세스부들을 충전하는 에피택셜 막의 목표 두께가 얻어질 때까지 주기적으로 반복된다. 안정화를 위한 예시적인 공정 변수들 및 하나의 사이클이 표 A에 요약되어 있으며, 표 A는 괄호 안에 바람직한 동작 범위들뿐만 아니라 예시적인 동작 점들을 열거하고 있다. 표 A로부터 분명히 알 수 있는 바와 같이, 챔버 온도, 챔버 압력 및 운반 가스 유량과 같은 공정 조건들은 바람직하게는 증착 및 식각 단계들의 경우 실질적으로 동일하며, 이로 인하여, 처 리량이 증가될 수 있다. 따라서, 하기의 실시예는, 사이클의 2 단계에 대하여 등온 및 등압 조건을 적용한다. 다른 농도의 불순물을 갖는 후속하여 증착되는 층들에 대하여는, 다른 변수들이 사용된다. 예를 들면, Si 및 C 전구체의 유량이 다르거나, 더 높은 농도의 불순물을 갖는 층들을 증착하기 위하여 챔버 온도가 조절될 수 있다.
표 A

A
공정 단계들
챔버 온도 및 분위기의 안정화 증착 전 증착 증착 이후
공정 가스의 퍼지
베이크 이전 식각종의 안정화 플래시 베이크 온도 스파이크
(선택적)
베이크 이후의 냉각 및 온도 안정화
시간
(sec)
5
(2.5-7.5)
15
(5-20)
5
(2.5-7.5)
5
(2.5-7.5)
6.5
(3.0-10)
12.5
(10-15)
온도
(℃)
550
(500-650)
550
(500-650)
550
(500-650)
550
(500-650)
550
(500-650)
온도
스파이크
550
(500-650)
압력
(Torr)
64
(50-200)
64
(50-200)
64
(50-200)
64
(50-200)
64
(50-200)
64
(50-200)
64
(50-200)
H2/He
(slm)
2.0
(0.5-20)
2.0
(0.5-20)
2.0
(0.5-20)
2.0
(0.5-20)
2.0
(0.5-20)
2.0
(0.5-20)
2.0
(0.5-20)
Cl2/HCl
(sccm)
200
(5-1000)
200
(5-1000)
200
(5-1000)
Si3H8
(mg/min)
75
(50-200)
75
(50-200)
75
(50-200)
CH3SiH3
(sccm)
150
(10-300)
150
(10-300)
150
(10-300)
PH3
(sccm)
50
(10-200)
50
(10-200)
50
(10-200)
표 A는, 도 1 내지 도 5d를 참조하여 상술한 바와 같이, 리세스된 소오스/드레인 영역들 내에 에피택셜 Si:C 막들을 증착하기 위한 예시적인 공정 변수들을 제 공한다. 표 A에 제공된 변수들을 사용하면, 리세스된 소오스/드레인 영역들 내에 선택적으로 증착된 에피택셜 Si:C:P 막들의 경우, 바람직하게는, 약 4 nm/min 내지 약 11 nm/min의, 더욱 바람직하게는, 약 8 nm/min 내지 약 11 nm/min의 순 증착 속도를 얻을 수 있다. 또한, 케릴레스/베르티 관계를 적용하여 결정되는 바와 같이, 3.5 %까지의 치환성 탄소 농도를 갖고 약 0.4 mΩ 내지 약 2.0 mΩ의 저항을 갖는 얇은 Si:C:P 막들을 얻을 수 있다. 증착 조건들을 조절함으로써, 다른 막 특성들을 얻을 수도 있다. 당업자라면, 후속하는 층들의 증착을 위하여 증착 조건들이 일반적으로 조절될 수 있음을 이해할 것이다.
본 명세서에 개시된 식각 공정 동안에, 약 10 : 1 내지 30 : 1 범위의 식각 선택비를 갖는 각 식각 단계에서, 에피택셜 Si:C는 비정질 또는 다결정질 Si:C보다 상당히 늦게 식각된다. 또한, 바람직하게는, 상기 식각 단계에서, 측벽의 에피택셜 재료도 제거된다. 바람직한 실시예에서, 특히, 리세스부들(114)의 바닥 표면들 상의 리세스된 에피택셜 소오스/드레인 영역들(114) 내에서 각 사이클 동안 순 성장을 달성하면서, 비정질 절연체(110) 상에 순 성장을 감소시키거나 제거하도록, 주기적인 증착 및 식각 공정 조건이 조절된다. 이 주기적인 공정은 증착 및 식각 반응이 동시에 일어나는 종래의 선택적 증착 공정들과 구별된다.
아래의 표 B 및 표 C는 증착 및 식각 공정에 대한 2 개의 실시예들과 표 A의 레시피(recipe)와 유사한 레시피를 이용한 결과물의 두께를 개시한다. 레시피들은, Si3H8의 분압을 증가시키고 식각종의 분압들을 최적화함으로써 증착과 식각 속 도를 조절하기 위해 다르게 조절된다.
표 B
증착 공정( Deposition Phase ) 식각 공정( Etch Phase )
성장 속도 [nm/min] 28 13 α- 식각 속도 [nm/min]
증착 시간 [s] 22 47.4 최소 식각 시간 [s]
60 % 과식각
75.8 유효 식각 시간 [s]
증착된 α- 두께 [nm] 10.27 16.43 제거된 α- 두께 [nm]
증착 단계당 증착된 에피-두께 [nm] 9.78 0.82 식각 단계당 제거된 c 두께 [nm]
α/ 에피 성장 속도의 비 1.05 20 인시츄 식각 선택비
퍼지 (에피 이전 + 에피 이후 에피) [s] 25 25 퍼지 (에피 이전 + 에피 이후) [s]
최종 시간/사이클 [s] 122.8
최종 두께/사이클 [nm] 8.96
평균 성장 속도 [nm/min] 4.38
표 C
증착 공정( Deposition Phase ) 식각 공정( Etch Phase )
성장 속도 [nm/min] 80 25 α- 식각 속도 [nm/min]
증착 시간 [s] 8 25.6 최소 식각 시간 [s]
30 % 과식각
33.28 유효 식각 시간 [s]
증착된 α- 두께 [nm] 10.67 13.87 제거된 α- 두께 [nm]
증착 단계당 증착된 에피-두께 [nm] 10.67 0.693 식각 단계당 제거된 c 두께 [nm]
α/ 에피 성장 속도의 비 1 20 인시츄 식각 선택비
퍼지 (에피 이전 + 에피 이후) [s] 20 20 퍼지 (에피 이전 + 에피 이후) [s]
최종 시간/사이클 [s] 61.3
최종 두께/사이클 [nm] 9.977
평균 성장 속도 [nm/min] 9.76
상기한 바와 같이, 선택적인 실시예들에서는, 도립식 충전(bottom-up fill) 방식으로 리세스부들 내에 구배화된 응력 부재들을 증착하기 위하여, 전술한 주기적인 블랭킷 증착/선택적 식각 공정 대신에, 다른 선택적 증착 기술들이 이용될 수 있다.
최대 변형 라이닝 리세스부들을 갖는 역구배화된 ( retrograded ) 응력 부재
도 6을 참조하면, 리세스부를 갖는 기판이 제공된다(블록 300). 도 6의 블록 310에 개시된 바와 같이, 상기 기판의 리세스부들의 단결정 표면들은 이형 에피택셜 변형된 라이너로 라이닝된다. 상기 리세스부를 라이닝한 이후, 블록 320에 개시된 바와 같이, 라이닝된 리세스부들은 상기 변형된 라이너에 비해 감소된 변형을 갖는 재료로 충전된다.
도 7 및 도 8은 도 6의 방법의 일 실시예를 도시한다. 도 7은 실리콘 웨이퍼와 같은 반도체 기판(200) 내에 형성된 패터닝된 절연체(210)를 포함하는 예시적인 기판을 개략적으로 도시한다. 산화물로 충전된 STI의 형태를 갖는 개시된 절연체(210)는, 필드 분리 영역들(212)을 정의하고, 상기 기판(200)의 채널 영역(217) 상에 배치되는 게이트 전극(215) 구조의 어느 일측 상의 리세스된 소오스/드레인 영역들(214)에 인접한다. 상술하면, 절연체(210)는 리세스된 소오스/드레인 영역 들(214)로부터 분리되어, 모든 리세스 표면들이 단결정 실리콘에 의해 한정된다. 다른 실시예들에서는, 도 2에 도시된 바와 같이, 일부 리세스 표면들이 절연체 재료에 의해 한정될 수 있음이 이해될 것이다. 도 7에 도시된 바와 같이, 절연체 영역들(210)을 갖는 기판(200)의 리세스된 소오스/드레인 영역들(214) 내에 SiGe, SiGe:C 및 Si:C와 같은 이형 에피택시 실리콘 함유 재료의 라이너 층(225)이 형성된다. 바람직하게는, 이형 에피택시 라이너 층(225)은 리세스된 소오스/드레인 영역들(214) 의 단결정 표면들 상에 선택적으로, 그리고, 이형 에피택셜하게 증착된다.
다른 실시예에 따르면, 도 1 내지 도 5d를 참조하여 개시한 바와 같이, 이형 에피택셜 라이너 층(225)은 절연체 영역들 및 리세스된 소오스/드레인 영역들을 갖는 혼합 기판 상에, SiGe, SiGe:C 또는 Si:C 와 같은 실리콘 함유 재료의 블랭킷 층을 선택적 증착하고, 상기 블랭킷 층을 선택적으로 식각하여 상기 리세스된 소오스/드레인 영역들 내에 상기 증착된 실리콘-함유 재료들만 잔존시킴으로써 형성된다. 당업자라면, 상기 실리콘 함유 재료의 블랭킷 층이, 필드 분리 영역들(212) 상의 실질적으로 비정질 또는 다결정질 또는 비에피택셜 재료 및 리세스된 영역들(214)의 바닥 표면 상의 에피택셜 재료인 것을 이해할 수 있다. 또한, 도 7에 도시된 바와 같이, 리세스된 영역들(214)의 단결정 측벽들도 실리콘 함유 재료의 이형 에피택셜 라이너 층(225)으로 피복된다. 리세스된 영역들(214)의 바닥 표면 상의 에피택셜 재료 및 상기 측벽 상의 에피택셜 재료는 함께 리세스된 영역들(214)의 이형 에피택셜 라이너 층들(225)을 구성한다. 선택적 식각 단계 이후에 는, 이형 에피택시 라이너 층(225)만이 리세스된 소오스/드레인 영역들(214) 내에 잔류한다.
도 7에 도시된 바와 같이, 이형 에피택셜 라이너 층(225)은, 리세스된 영역들(214)의 바닥 층뿐만 아니라, 모든 측벽 표면들을 피복하도록, 리세스된 영역들(214)을 라이닝한다. 바람직하게는, 이러한 이형 에피택셜 라이너 층(225)은, 리세스된 영역들(214) 내의 노출된 실리콘 상에 실질적으로 균일하게 증착된다. 이형 에피택셜 라이너 층(225)의 이형 에피택셜 실리콘 함유 재료는 약 350 ℃ 내지 1000 ℃ 범위 내의 온도에서, 바람직하게는, 약 400 ℃ 내지 800 ℃ 범위 내의 온도에서 증착될 수 있다. 다른 실시예에서는, 상기 에피택셜 실리콘 함유 재료가, 약 400 ℃ 내지 750 ℃ 범위의 온도에서, 바람직하게는, 약 450 ℃ 내지 650 ℃ 의 범위의 온도에서 증착될 수 있다. 또 다른 실시예에 따르면, 이형 에피택셜 라이너 층(225)은 리세스된 영역들(214)의 하부 및 측부 표면들로부터 멀어질수록 감소되는 농도의 변형 유도 불순물을 갖는 구배화된 층일 수 있다. 상기 구배는 불연속적이거나 연속적일 수 있다.
이후, 리세스된 소오스/드레인 영역들(214) 상에서의 목표 두께가 달성될 때까지, 도 8에 도시된 바와 같이, 리세스된 영역들(214)의 잔존 부분들이 충전 부재(260)로 충전된다. 충전 부재(260)는 이형 에피택시 라이너 층(255) 내부에 변형을 유도하는 Ge 또는 C와 같은 낮은 농도의 불순물을 갖는 에피택셜 재료를 포함한다. 일 실시예에 따르면, 충전 부재(260)는 실리콘을 포함한다. 도 8에 도시된 실시에서, 충전 부재(260)는, 충전 부재(260)의 상부 표면이 절연체(210)의 상부 표면과 실질적으로 동일 평면 상에 있도록, 절연체(210)과 채널 영역(217)의 사이의 리세스부를 충전한다. 그러나, 당업자라면, 이러한 목표 두께가 절연체(210) 의 상부 표면의 아래에 또는 위에 있을 수도 있다는 것을 용이하게 이해할 수 있다. 당업자라면, 상기 응력 부재가 Ge 또는 C와 같은 변형을 유도하는 불순물 재료의 감소된 총 농도를 갖기 때문에, 이형 에피택셜 라이너(225)와 감소된 변형 충전 부재(260)에 의하여 형성된 응력 부재로 충전된 리세스된 소오스/드레인 영역들(214)이, 균일한 실리콘 혼합물을 이용한 종래의 응력 부재보다 더 안정됨을 이해할 수 있다. 또한, 상기 구조는 채널(217)의 가장자리에서 높은 정도의 변형을 제공하며, 이는 바람직하다. 예를 들면, SiGe을 포함하는 이형 에피택셜 라이너 층(225)의 경우, Ge의 함유량은 일반적으로 20 원자 % 내지 50 원자 % 이고, 충전 부재 260의 Ge 함유량은, 바람직하게는, 약 20 % 원자 또는 그 이하이다. Si:C 라이너에서, C의 함유량은, 일반적으로, 0.5 원자 % 내지 4 원자 % 이고, 충전 부재(260)의 탄소 함유량은, 바람직하게는, 약 1 원자 % 이하이고 라이너 층(225)보다 더 낮을 수 있다. 도 8에 도시된 바와 같이, 선택적인 보호층(250)은 충전된 소오스/드레인 영역들(214) 상에, 바람직하게는, 선택적인 증착 기술들에 의하여 증착될 수 있다. 일 실시예에서, 보호층(250)은 Si, SiGe, SiGe:C 또는 Si:C로 형성될 수 있다. 바람직하게는, 보호층(250)은 에피택셜 재료(225)의 라이너 층보다 낮은 농도의 불순물을 가질 수 있다.
리세스 측벽들에서 최대 변형을 갖는 역구배화된 응력 부재
도 9를 참조하면, 리세스부들을 갖는 기판이 제공된다(블록 400). 블록 410에 개시된 바와 같이, 기판의 리세스부들의 단결정 표면들은 이형 에피택셜 변형된 라이너로 라이닝된다. 리세스부들을 라이닝한 후, 블록 420에 개시된 바와 같이, 상기 리세스부의 하부 코너들 내에 퍼시트들(facets)을 형성하기 위하여 재분배 어닐링(redistribution annealing)을 수행한다. 이후, 블록 430에 개시된 바와 같이, 상기 리세스부들은 상기 변형된 라이너에 비하여 감소된 변형을 갖는 재료들로 충전된다.
에피택셜 라이너 층 재료들이 상기 리세스부들의 측벽들의 코너들로 이동하도록 상기 재료를 재분배하기 위하여, 상기 라이너 층이 어닐링될 수 있다. 일반적으로, 이러한 어닐링은 상기 에피택셜 재료들이 테이퍼형을 갖고, 퍼시트를 갖는 측단면 형상을 갖도록 한다. 일반적으로, 상기 어닐링된 에피택셜 재료는 리세스부들의 상부들에서 보다 바닥들에서 더 넓다. 바람직하게는 상기 리세스들의 실질적으로 모든 측벽 표면을 피복하는 상기 어닐링된 에피택시 재료는 인접하는 트랜지스터 채널에 측방향의 변형을 가한다.
도 10 및 도 11은 도 9의 방법을 도시한다. 도 7에 도시된 구조 내부에 라이너 층(225)이 증착된 이후, 선택적 증착 기술들 또는 주기적 블랭킷 증착/선택적 식각 또는 비선택적 증착 및 패터닝에 의하여, 기판(200)은 약 600 ℃ 내지 1100 ℃ 사이로 가열됨으로써 어닐링된다. 일 실시예에서, 기판은 약 650 ℃ 내지 900 ℃의 온도에서 어닐링된다. 다른 실시예에서는, 상기 어닐링 온도가 약 725 ℃ 내지 775 ℃ 이다. 당업자라면, 소정의 재분배를 달성하기 위하여 선택된 온도에 따 라 적절한 어닐링 지속 시간을 용이하게 결정할 수 있다. 웨지 형상의 이형 에피택셜 재료(230)가 고리(annulus)를 형성하도록, 반도체 재료 내에 리세스부(214)가 완전하게 정의된 경우를 도시하지만, 당업자라면, 도 2 내지 도 5d에 도시된 실시예들과 같이, 필드 분리 재료에 의해 하나 이상의 측벽 표면들이 정의될 수 있음을 이해할 수 있다. 전술한 실시예들과 같이, 리세스된 영역들(214)의 측벽들은 이들을 형성하는 식각에 의하여, 후속하는 세정 또는 라운딩(rounding) 단계들에 의하여 또는 얇은 에피택셜 층과 같은 부가적인 라이닝 층들(미도시)에 의하여 정의될 수 있다.
상기 어닐링 공정의 결과로서, 도 7에 도시된 라이너 층(225) 내의 실리콘 및 불순물 원자들이 이동하고, 도 10에 도시된 바와 같이, 상기 재료의 재분배는 어닐링된 이형 에피택셜 재료(230)가 퍼시트를 갖는 측단면 형상을 갖도록 한다. 결정학적 관점에서, 퍼시트를 갖는 이형 에피택셜 재료(230)는 게이트 전극(215)의 하지의 채널 영역(217)의 양쪽 측부들 상의 결정 퍼시트와 등가물이다. 도 10에 도시된 바와 같이, 퍼시트를 갖는 이형 에피택셜 재료(230)는 리세스된 영역(214)의 측벽을 따라 실질적으로 테이퍼형인 층이다.
또한, 이러한 퍼시트를 갖는 에피택셜 재료(230)는, 전위 없이 변형되지만, 어닐링 이전의 도 7의 에피택셜 라이너(225) 보다 더 높은 혼합물 함량을 갖는다. 도시된 바와 같이, 퍼시트를 갖는 에피택셜 재료(230)는 게이트 전극 구조(215) 아래의 채널(217)에 인접하여 배치되고, 상기 채널 옆의 리세스부(214)의 적어도 실질적으로 전부를, 바람직하게는 리세스된 영역들(214)의 단결정 측벽 표면들 전부 를 라이닝하거나 피복한다. 따라서, 변형된 퍼시트를 갖는 이형 에피택셜 재료(230)는 게이트 전극 구조(215) 아래의 채널 영역(217)에 변형을 가한다.
도시된 실시예에서, 본래의 라이너(225)의 에피택셜 재료의 일부는 어닐링 단계 이후에 리세스된 영역들(214)의 하부 표면들 상에 잔존한다. 도 10에 도시된 바와 같이, 하부 라이너(280)의 어닐링된 에피택셜 재료는 얇아지고, 편평하지 않은 표면을 가질 수 있으며, 웨지(wedge)-형상의 측벽을 피복하는 이형 에피택셜 재료(230)에 의해 불연속적일 수도 있다. 하부 피복(coverage)의 불연속은 상기 채널의 표면에 인접한 리세스부의 상부에 영향을 미치지 않고서, 상기 리세스부 하부의 변형을 감소시킬 수 있다. 도시된 실시예에서 하부 라이너(280)의 어닐링된 에피택셜 재료가 퍼시트를 갖는 이형 에피택셜 재료(230)로부터 고립되어 있지만, 다른 실시예에서는(미도시), 상기 하부 라이너의 상기 어닐링된 에피택셜 재료가 상기 측벽 표면들을 피복하는 퍼시트를 갖는 에피택셜 재료들로부터 고립되지 않을 수 있음이 이해될 수 있다. 상기 고립 또는 이의 부재는 증착 시간을 조절하거나, 예를 들면, 에피택셜 증착 후의 HCl 식각인 에피택셜 증착 후의 화학적 식각 단계를 부가함으로써 달성될 수 있다.
이후, 도 11에 도시된 바와 같이, 리세스된 영역들(214)의 잔존 부분들은 충전 부재(260)로 충전된다. 충전 부재(260)는 퍼시트를 갖는 이형 에피택셜 재료(230)보다 낮은 농도의 변형 유도 불순물을 갖는다. 충전 부재 층(260)는, 도 11에 도시된 바와 같이, 기판(200)의 상부 표면과 실질적으로 동일 평면 상에 있도록, 또는 다른 실시예들에서는 기판(200)의 상부 표면의 하부에 또는 상부에 있도 록 성장될 수 있다. 실리콘 <100> 기판의 경우, 퍼시트를 갖는 이형 에피택셜 재료(230)과 충전 부재(260) 사이의 계면에서의 퍼시트의 각도는, 리세스된 영역(214)의 하부의 [001] 수평 평면을 기준으로, 약 25 ° 내지 55 °의 범위 내이다. 다른 실시예에 따르면, 상기 퍼시트의 각도는 약 11 ° 내지 72 ° 범위 내이다. 퍼시트를 갖는 이형 에피택셜 재료(230)와 충전 부재(260) 사이의 계면이 약간의 곡률(curvature)을 가지며, 측벽들에서는 더 높은 변형 또는 더 높은 농도의 불순물을 갖고 리세스된 영역(214)의 중심부 내에서는 더 적은 변형 또는 더 낮은 농도의 불순물을 갖는다는 관점에서, 리세스된 영역(214) 내의 전체 응력 부재(230, 260)가 역구배화되어 있음이 이해될 수 있다. 실제로, 충전 부재(260)는, 어떠한 변형 유도를 위한 불순물 없이 단지 도전성을 위한 전기적 도펀트들을 포함하는 실리콘으로 형성될 수 있다. 충전 부재(260) 상에 선택적인 보호층(미도시)이 형성될 수 있다. 도 12는 도 9에 도시된 방법을 이용하여 형성된 퍼시트를 갖는 SiGe 라이너 층을 나타내는 현미경 사진이다. 충전 부재(도 11의 260)는 현미경 사진에서 "Si cap"으로 지칭되고, 게이트 전극 상에서 다결정 실리콘의 성장이 나타나므로, 본 실시예에서는 비선택 증착이 이용되었음을 도시된다.
더 크게 변형된 에피택셜 실리콘 함유 재료(280, 230)의 부피는, 상기 크게 변형된 재료로 리세스부를 완전하게 충전하는 것보다, 얇은 라이너 층을 사용함으로써 급격히 감소되기 때문에, 임계 두께의 제약이 완화되고, 변형의 조절과 열적 부담과 관련된 실질적인 성과를 얻을 수 있음이 이해될 것이다. 에피택셜 실리콘 함유 재료(280, 230)의 불순물 함유량은 조절되어, 다른 정도의 변형을 유도할 수 있다. 상기 공정 온도가 상당히 증가되므로, 성장 속도를 상당히 증가시킬 수 있다.
전술된 상세한 설명들은 본 발명의 일부 실시예들을 개시하고 있으며, 이러한 개시사항은 예시적인 것이며, 본 발명을 제한하고자 하는 것이 아님을 이해하여야 한다. 개시된 특정 구성들 및 동작들은 전술한 사항과 다를 수 있으며, 본 명세서에 개시된 방법은 반도체 소자의 제조와 다른 맥락으로 이용될 수 있음을 이해하여야 한다.
본 명세서에 개시된 방법들 및 시스템들의 예시적인 실시예들은 첨부된 도면들에 도시되며, 이들 도면들은 예시적인 목적으로만 제공된 것이다. 상기 도면들은 이하의 도면들을 포함하며, 이들 도면에서 동일한 참조부호는 동일한 부재를 지시한다.
도 1은 기판의 리세스된 소오스/드레인 영역 내에 도립식으로 변형된 에피택셜 반도체 층들을 선택적으로 형성 하는 방법을 도시한 순서도이다.
도 2는 반도체 기판들 내에 형성된 리세스된 소오스/드레인 영역들을 포함하는, 부분적으로 형성된 반도체 구조를 개략적으로 도시하는 단면도이다.
도 3은, 리세스된 소오스/드레인 영역들의 바닥 상에 에피택셜 증착을 포함하는 탄소 도핑된 실리콘 막의 블랭킷 증착을 수행한 이후의 도 2에 도시된 부분적으로 형성된 반도체 구조를 개략적으로 도시하는 단면도이다.
도 4는, 절연체 및 리세스된 측벽 영역들로부터 탄소 도핑된 실리콘을 제거하기 위하여 선택적인 화학증기 식각 공정을 수행한 이후의 도 3에 도시된 부분적으로 형성된 반도체 구조를 개략적으로 도시하는 단면도이다.
도 5a 내지 도 5d는 블랭킷 증착 및 선택적 시각의 사이클들을 더 수행하고, 도립식 방식으로 변형을 증가시키는 층들을 증착하는, 도 4에 도시된 부분적으로 형성된 반도체 구조를 개략적으로 도시하는 단면도이다.
도 6은 기판의 리세스된 소오스/드레인 영역들 내에 변형된 라이너 층을 형성하는 공정을 도시하는 순서도이다.
도 7 내지 8은 본 발명의 다른 실시예에 따라, 혼합된 기판 표면의 리세스된 영역들 내에 실리콘 함유 막을 포함하는 라이너 층들을 형성하고 상기 리세스된 영역들을 충전 부재로 충전하는 단계 이후의 도 2에 도시된 부분적으로 형성된 반도체 구도를 개략적으로 도시하는 단면도이다.
도 9는 기판의 리세스된 소오스/드레인 영역들 내에 퍼시트(fecet)를 갖는 변형된 라이너 층을 형성하는 공정을 도시하는 순서도이다.
도 10 내지 11은 본 발명의 다른 실시예에 따라, 상기 라이너 층을 어닐링하고 상기 리세스된 영역을 충전 부재로 매립하는 단계 이후의 도 6의 상기 부분적으로 형성된 반도체 구조를 개략적으로 도시하는 단면도이다.
도 12는 어닐링된 SiGe 라이너 층을 도시하는 현미경 사진이다.

Claims (52)

  1. 화학기상증착 챔버 내에, 절연성 표면들 및 리세스부를 포함하는 단결정 반도체 표면들을 포함하는 기판을 제공하는 단계; 및
    상기 리세스부 내에 반도체 응력 부재(stressor)를 선택적으로 형성하는 단계를 포함하며,
    상기 리세스부는 단결정 측벽들을 갖고,
    상기 반도체 응력 부재는, 상기 리세스부 내의 상기 반도체 응력 부재의 상부가 하부들보다 더 큰 변형량을 갖도록 구배화되고(graded), 상기 상부는 변형되고, 상기 하부는 변형되고, 상기 상부는 상기 리세스부의 상기 단결정 측벽들로 연장된 반도체 재료의 선택적 형성 방법.
  2. 제 1 항에 있어서,
    상기 반도체 응력 부재는 불연속적인(discrete) 층들을 포함하는 반도체 재료의 선택적 형성 방법.
  3. 제 1 항에 있어서, 상기 선택적으로 형성하는 단계는,
    상기 기판의 상기 절연성 표면들 및 상기 단결정 반도체 표면들 상에 반도체 재료를 증착하는 단계; 및
    상기 절연성 표면들로부터 비에피택셜 반도체 재료를 선택적으로 제거하고, 상기 리세스부의 바닥에 에피택셜 재료를 잔류시키면서 상기 리세스부의 단결정 측벽들로부터 에피택셜 재료를 선택적으로 제거하는 단계를 포함하는 반도체 재료의 선택적 형성 방법.
  4. 제 3 항에 있어서,
    상기 증착하는 단계 및 상기 선택적으로 제거하는 단계를 복수의 사이클들로 반복 수행하는 단계를 더 포함하며,
    각각의 상기 사이클은 상기 리세스부 바닥에서 에피택셜 재료의 두께를 증가시키고, 증착된 반도체 재료의 층은 상기 리세스부 내의 증착된 반도체 재료의 하지 층보다 더 높은 농도의 도펀트를 갖는 반도체 재료의 선택적 형성 방법.
  5. 제 1 항에 있어서,
    상기 선택적으로 형성하는 단계는, 상기 기판의 절연성 표면들은 아니고, 상기 단결정 반도체 표면들 위로 반도체 재료를 증착하는 단계를 포함하는 반도체 재료의 선택적 형성 방법.
  6. 제 3 항에 있어서,
    상기 증착하는 단계는 비선택적으로 블랭킷(blanket) 증착하는 단계를 포함하는 반도체 재료의 선택적 형성 방법.
  7. 제 3 항에 있어서,
    상기 증착하는 단계는 상기 절연성 표면들 상에 비정질 반도체 재료를 지배적으로 블랭킷 증착하는 단계를 포함하는 반도체 재료의 선택적 형성 방법
  8. 제 3 항에 있어서,
    상기 증착하는 단계는 상기 화학기상증착 챔버 내부로 트리실란(trisilane) 및 탄소 전구체를 유입시키는 단계를 포함하는 반도체 재료의 선택적 형성 방법.
  9. 제 1 항에 있어서,
    상기 반도체 재료는 탄소 도핑된 실리콘을 포함하는 반도체 재료의 선택적 형성 방법.
  10. 제 2 항에 있어서,
    상기 반도체 응력 부재의 불연속적인 층들은 불연속적으로 구배화된(graded) 반도체 재료의 선택적 형성 방법.
  11. 제 10 항에 있어서,
    상기 선택적으로 증착하는 단계는 각 사이클에서 약 1 nm 내지 100 nm 사이의 두께로 복수의 사이클로 상기 반도체 응력 부재의 불연속적인 층들을 증착하는 단계를 포함하는 반도체 재료의 선택적 형성 방법.
  12. 제 2 항에 있어서,
    상기 리세스된 영역들 내에서 상기 반도체 응력 부재의 층들은 상기 기판의 인접한 영역들에 변형을 가하는 반도체 재료의 선택적 형성 방법.
  13. 제 1 항에 있어서,
    상기 반도체 응력 부재의 적어도 최상층은 인장 변형된 반도체 재료의 선택적 형성 방법.
  14. 제 1 항에 있어서,
    상기 변형은 상기 리세스부의 상부에서 상기 리세스부 내에서 최대인 반도체 재료의 선택적 형성 방법.
  15. 제 3 항에 있어서,
    상기 증착하는 단계는 게르마늄 소오스를 유입하는 단계를 포함하는 반도체 재료의 선택적 형성 방법.
  16. 제 3 항에 있어서,
    상기 증착하는 단계는 상기 절연성 표면들 위로 다결정 재료를 지배적으로 블랭킷 증착하는 단계를 포함하는 반도체 재료의 선택적 형성 방법.
  17. 제 3 항에 있어서,
    상기 증착하는 단계는 적어도 일부 에천트(etchant)를 유입하는 단계를 포함하는 반도체 재료의 선택적 형성 방법.
  18. 제 3 항에 있어서,
    비에피택셜 반도체 재료 및 에피택셜 반도체 재료를 선택적으로 제거하는 단계는 게르마늄 소오스를 포함하는 식각 케미스트리(etch chemistry)를 도입하는 단계를 포함하는 반도체 재료의 선택적 형성 방법.
  19. 제 18 항에 있어서,
    상기 게르마늄 소오스는 GeCl4를 포함하는 반도체 재료의 선택적 형성 방법.
  20. 제 1 항에 있어서,
    선택적으로 형성된 상기 반도체 응력 부재 위로 실리콘 보호층(capping layer)을 형성하는 단계를 더 포함하는 반도체 재료의 선택적 형성 방법.
  21. 이형 에피택셜 응력 부재 재료로 충전된 리세스부; 및
    상기 리세스부에 인접하는 트랜지스터 채널을 포함하며,
    상기 리세스부 내의 상기 응력 부재 재료의 상부는 제 1 불순물 농도를 가지고, 상기 리세스부 내의 상기 응력 부재 재료의 하부는 제 2 불순물 농도를 가지며, 상기 제 1 불순물 농도는 상기 제 2 불순물 농도보다 더 크고, 상기 상부는 상기 리세스부의 단결정 측벽들과 접촉하도록 연장되고, 상기 상부는 변형되고 상기 하부도 변형된 반도체 소자.
  22. 제 21 항에 있어서,
    상기 응력 부재 재료는 바닥 표면에서보다 상부 표면에서 더 큰 불순물 농도를 갖는 반도체 소자.
  23. 제 21 항에 있어서,
    상기 응력 부재 재료는 실리콘 게르마늄 또는 탄소 도핑된 실리콘 중의 하나인 반도체 소자.
  24. 제 21 항에 있어서,
    상기 응력 부재 재료는 불연속적인 층들을 포함하며,
    각각의 불연속적인 층은 각각 하지 층보다 더 큰 불순물 농도를 갖는 반도체 소자.
  25. 기판 내의 리세스부;
    상기 리세스부의 실질적으로 모든 단결정 측벽 표면들을 피복하며, 격자 상수를 변경시키는 불순물을 포함하여 변형을 유발하는 이형 에피택셜 실리콘 함유 라이너;
    상기 리세스부를 충전하면서 상기 라이너 위로 형성된 충전 부재; 및
    상기 리세스부에 인접하는 트랜지스터 채널;을 포함하며,
    상기 충전 부재는, 상기 충전 부재가 그 위로 형성되는, 상기 라이너보다 더 낮은 농도로 변형-유발 불순물을 포함하는 실리콘 함유 재료를 포함하는 것을 특징으로 하는 반도체 소자.
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
KR1020080091030A 2007-09-19 2008-09-17 채널의 변형을 조절하기 위한 응력 부재 KR101548013B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/858,054 US7759199B2 (en) 2007-09-19 2007-09-19 Stressor for engineered strain on channel
US11/858,054 2007-09-19

Publications (2)

Publication Number Publication Date
KR20090030226A KR20090030226A (ko) 2009-03-24
KR101548013B1 true KR101548013B1 (ko) 2015-08-27

Family

ID=40454799

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080091030A KR101548013B1 (ko) 2007-09-19 2008-09-17 채널의 변형을 조절하기 위한 응력 부재

Country Status (4)

Country Link
US (1) US7759199B2 (ko)
JP (1) JP5382642B2 (ko)
KR (1) KR101548013B1 (ko)
TW (1) TWI509699B (ko)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
KR101369907B1 (ko) * 2007-10-31 2014-03-04 주성엔지니어링(주) 트랜지스터 및 그 제조 방법
JP5107680B2 (ja) * 2007-11-16 2012-12-26 パナソニック株式会社 半導体装置
US7682845B2 (en) * 2007-12-27 2010-03-23 Globalfoundries Inc. Methods for calibrating a process for growing an epitaxial silicon film and methods for growing an epitaxial silicon film
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US7994015B2 (en) * 2009-04-21 2011-08-09 Applied Materials, Inc. NMOS transistor devices and methods for fabricating same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8415718B2 (en) * 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
WO2011078240A1 (ja) * 2009-12-22 2011-06-30 キヤノンアネルバ株式会社 ドープエピタキシャル膜の選択成長方法及びドープエピタキシャル膜の選択成長装置
US8278164B2 (en) 2010-02-04 2012-10-02 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
KR101668097B1 (ko) * 2010-03-12 2016-10-24 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법
KR101674179B1 (ko) * 2010-04-06 2016-11-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN102437183B (zh) * 2010-09-29 2015-02-25 中国科学院微电子研究所 半导体器件及其制造方法
US8361859B2 (en) 2010-11-09 2013-01-29 International Business Machines Corporation Stressed transistor with improved metastability
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
KR20120073727A (ko) * 2010-12-27 2012-07-05 삼성전자주식회사 스트레인드 반도체 영역을 포함하는 반도체 소자와 그 제조방법, 및 그것을 포함하는 전자 시스템
US8361847B2 (en) 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
US8796788B2 (en) * 2011-01-19 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with strained source/drain structures
CN102709183B (zh) * 2011-03-28 2016-08-03 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
DE102011076696B4 (de) 2011-05-30 2013-02-07 Globalfoundries Inc. Verfahren zur Leistungssteigerung in Transistoren durch Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials auf der Grundlage einer Saatschicht und entsprechendes Halbleiterbauelement
US8546204B2 (en) * 2011-10-03 2013-10-01 International Business Machines Corporation Method for growing conformal epi layers and structure thereof
US8927374B2 (en) * 2011-10-04 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US8742503B2 (en) 2011-10-31 2014-06-03 International Business Machines Corporation Recessed single crystalline source and drain for semiconductor-on-insulator devices
US9246004B2 (en) * 2011-11-15 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structures of semiconductor devices
CN103165664B (zh) * 2011-12-13 2016-08-24 中芯国际集成电路制造(北京)有限公司 半导体器件和半导体器件制造方法
KR101635028B1 (ko) * 2011-12-20 2016-07-01 인텔 코포레이션 소스 및 드레인 스트레서들을 이용하는 변형된 채널 영역 트랜지스터들 및 이를 포함하는 시스템들
TWI556439B (zh) * 2011-12-20 2016-11-01 英特爾股份有限公司 用於pmos整合之第iv族電晶體
KR20130074353A (ko) 2011-12-26 2013-07-04 삼성전자주식회사 트랜지스터를 포함하는 반도체 소자
US10163724B2 (en) * 2012-03-01 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device and method of manufacturing same
US9263342B2 (en) 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US9190471B2 (en) 2012-04-13 2015-11-17 Globalfoundries U.S.2 Llc Semiconductor structure having a source and a drain with reverse facets
CN103377897B (zh) * 2012-04-23 2016-03-02 中芯国际集成电路制造(上海)有限公司 一种硅锗源/漏结构的形成方法
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US9490318B2 (en) * 2012-06-15 2016-11-08 Lawrence Livermore National Security, Llc Three dimensional strained semiconductors
CN103715090B (zh) * 2012-09-29 2018-05-01 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN103779215B (zh) * 2012-10-18 2016-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
US9099421B2 (en) * 2012-10-31 2015-08-04 Taiwan Semiconductor Manufacturing Company Limited Surface profile for semiconductor region
US8900958B2 (en) * 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US8853060B1 (en) * 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US9425257B2 (en) * 2013-11-20 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Non-planar SiGe channel PFET
US9812569B2 (en) * 2014-01-15 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9543387B2 (en) * 2014-03-10 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9590037B2 (en) 2014-03-19 2017-03-07 International Business Machines Corporation p-FET with strained silicon-germanium channel
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
KR102216511B1 (ko) * 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9559165B2 (en) * 2014-09-19 2017-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with strained source and drain structures and method for forming the same
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9634140B2 (en) 2014-11-10 2017-04-25 Samsung Electronics Co., Ltd. Fabricating metal source-drain stressor in a MOS device channel
KR102152285B1 (ko) 2014-12-08 2020-09-04 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 형성 방법
KR102395071B1 (ko) 2015-05-14 2022-05-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
EP3311417A4 (en) * 2015-06-19 2019-01-16 Intel Corporation CARBON-BASED INTERFACE FOR EPITAXIAL GROWTH SOURCE / DRAIN TRANSISTOR REGIONS
WO2017091345A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
US9502420B1 (en) 2015-12-19 2016-11-22 International Business Machines Corporation Structure and method for highly strained germanium channel fins for high mobility pFINFETs
JP6584348B2 (ja) * 2016-03-07 2019-10-02 東京エレクトロン株式会社 凹部の埋め込み方法および処理装置
JP6606476B2 (ja) * 2016-08-02 2019-11-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9960084B1 (en) * 2016-11-01 2018-05-01 United Microelectronics Corp. Method for forming semiconductor device
US10522656B2 (en) * 2018-02-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Forming epitaxial structures in fin field effect transistors
KR20190110845A (ko) * 2018-03-21 2019-10-01 삼성전자주식회사 반도체 소자
US11869972B2 (en) * 2018-11-26 2024-01-09 Etron Technology, Inc. Reduced-form-factor transistor with self-aligned terminals and adjustable on/off-currents and manufacture method thereof
CN111554680B (zh) 2018-12-10 2023-09-05 钰创科技股份有限公司 统一集成电路系统
US11501968B2 (en) * 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210146802A (ko) * 2020-05-26 2021-12-06 에이에스엠 아이피 홀딩 비.브이. 붕소 및 갈륨을 함유한 실리콘 게르마늄 층을 증착하는 방법

Family Cites Families (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4210925A (en) 1978-02-07 1980-07-01 Harris Corporation I2 L Integrated circuit and process of fabrication
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4578142A (en) 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
JPS61121433A (ja) 1984-11-19 1986-06-09 Sharp Corp 半導体基板
JPS61166071A (ja) 1985-01-17 1986-07-26 Toshiba Corp 半導体装置及びその製造方法
US4698316A (en) 1985-01-23 1987-10-06 Rca Corporation Method of depositing uniformly thick selective epitaxial silicon
US5373806A (en) 1985-05-20 1994-12-20 Applied Materials, Inc. Particulate-free epitaxial process
US4735918A (en) 1985-05-24 1988-04-05 Hughes Aircraft Company Vertical channel field effect transistor
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4778775A (en) 1985-08-26 1988-10-18 Intel Corporation Buried interconnect for silicon on insulator structure
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
EP0214690B1 (en) 1985-09-06 1992-03-25 Philips Electronics Uk Limited A method of manufacturing a semiconductor device
US4857479A (en) 1985-10-08 1989-08-15 Motorola Method of making poly-sidewall contact transistors
US4891092A (en) 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
US4704186A (en) 1986-02-19 1987-11-03 Rca Corporation Recessed oxide method for making a silicon-on-insulator substrate
FR2595509B1 (fr) 1986-03-07 1988-05-13 Thomson Csf Composant en materiau semiconducteur epitaxie sur un substrat a parametre de maille different et application a divers composants en semiconducteurs
DE3784537T2 (de) 1986-04-11 1993-09-30 Canon Kk Herstellungsverfahren einer niedergeschlagenen Schicht.
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
CA1290077C (en) 1986-06-30 1991-10-01 Takao Yonehara Semiconductor device with single crystal layer grown from single nucleus
US4728623A (en) 1986-10-03 1988-03-01 International Business Machines Corporation Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
KR900007686B1 (ko) 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
US4749441A (en) 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5269876A (en) 1987-01-26 1993-12-14 Canon Kabushiki Kaisha Process for producing crystal article
US5236546A (en) 1987-01-26 1993-08-17 Canon Kabushiki Kaisha Process for producing crystal article
US4786615A (en) 1987-08-31 1988-11-22 Motorola Inc. Method for improved surface planarity in selective epitaxial silicon
US4870030A (en) 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US4758531A (en) 1987-10-23 1988-07-19 International Business Machines Corporation Method of making defect free silicon islands using SEG
US4873205A (en) 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
US5319220A (en) 1988-01-20 1994-06-07 Sharp Kabushiki Kaisha Silicon carbide semiconductor device
JPH0228322A (ja) 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
US5164813A (en) 1988-06-24 1992-11-17 Unitrode Corporation New diode structure
US5059544A (en) 1988-07-14 1991-10-22 International Business Machines Corp. Method of forming bipolar transistor having self-aligned emitter-base using selective and non-selective epitaxy
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
US5061644A (en) 1988-12-22 1991-10-29 Honeywell Inc. Method for fabricating self-aligned semiconductor devices
US5146304A (en) 1988-12-22 1992-09-08 Honeywell Inc. Self-aligned semiconductor device
US5416354A (en) 1989-01-06 1995-05-16 Unitrode Corporation Inverted epitaxial process semiconductor devices
US5004705A (en) 1989-01-06 1991-04-02 Unitrode Corporation Inverted epitaxial process
US4897366A (en) 1989-01-18 1990-01-30 Harris Corporation Method of making silicon-on-insulator islands
US5175121A (en) 1989-05-10 1992-12-29 Samsung Electronics Co., Ltd. Method for manufacturing a stacked capacitor DRAM semiconductor device
KR920008886B1 (ko) 1989-05-10 1992-10-10 삼성전자 주식회사 디램셀 및 그 제조방법
US5028973A (en) 1989-06-19 1991-07-02 Harris Corporation Bipolar transistor with high efficient emitter
US4923826A (en) 1989-08-02 1990-05-08 Harris Corporation Method for forming dielectrically isolated transistor
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5211796A (en) 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JP2802449B2 (ja) 1990-02-16 1998-09-24 三菱電機株式会社 半導体装置の製造方法
US4981811A (en) 1990-04-12 1991-01-01 At&T Bell Laboratories Process for fabricating low defect polysilicon
DE4016471A1 (de) 1990-05-22 1991-11-28 Bosch Gmbh Robert Mikromechanischer neigungssensor
JP2590295B2 (ja) 1990-06-06 1997-03-12 株式会社東芝 半導体装置及びその製造方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
KR930007190B1 (ko) 1990-08-21 1993-07-31 삼성전자 주식회사 화합물 반도체 장치
DE4106287A1 (de) 1990-10-25 1992-04-30 Bosch Gmbh Robert Verfahren zum anisotropen aetzen von monokristallinen, scheibenfoermigen traegern
US5403751A (en) 1990-11-29 1995-04-04 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
US5201955A (en) * 1991-03-11 1993-04-13 Chika Hani E Annular gradient optical lens tint system
KR920018987A (ko) 1991-03-23 1992-10-22 김광호 캐패시터의 제조방법
US5234857A (en) 1991-03-23 1993-08-10 Samsung Electronics, Co., Ltd. Method of making semiconductor device having a capacitor of large capacitance
US5252841A (en) 1991-05-09 1993-10-12 Hughes Aircraft Company Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same
US5182619A (en) 1991-09-03 1993-01-26 Motorola, Inc. Semiconductor device having an MOS transistor with overlapped and elevated source and drain
JP2855908B2 (ja) 1991-09-05 1999-02-10 日本電気株式会社 半導体装置及びその製造方法
FR2682128B1 (fr) 1991-10-08 1993-12-03 Thomson Csf Procede de croissance de couches heteroepitaxiales.
JPH05175216A (ja) 1991-12-24 1993-07-13 Rohm Co Ltd ヘテロ接合バイポーラトランジスタおよびその製法
US5201995A (en) 1992-03-16 1993-04-13 Mcnc Alternating cyclic pressure modulation process for selective area deposition
US5425842A (en) 1992-06-09 1995-06-20 U.S. Philips Corporation Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5326992A (en) 1992-07-29 1994-07-05 The United States Of America As Represented By The Secretary Of The Navy Silicon carbide and SiCAlN heterojunction bipolar transistor structures
US6004683A (en) 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
US5285089A (en) 1992-12-02 1994-02-08 Kobe Steel U.S.A., Inc. Diamond and silicon carbide heterojunction bipolar transistor
JP2791260B2 (ja) 1993-03-01 1998-08-27 株式会社東芝 半導体装置の製造方法
JP3299807B2 (ja) 1993-04-07 2002-07-08 シャープ株式会社 ヘテロ接合バイポーラトランジスタ
US5380370A (en) 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
JP3292894B2 (ja) 1993-05-12 2002-06-17 日本電信電話株式会社 集積化受光回路
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5422502A (en) 1993-12-09 1995-06-06 Northern Telecom Limited Lateral bipolar transistor
JPH07169693A (ja) 1993-12-16 1995-07-04 Mitsubishi Electric Corp 横型減圧cvd装置及びそのクリーニング方法
JP2611640B2 (ja) 1993-12-20 1997-05-21 日本電気株式会社 ヘテロ接合バイポーラトランジスタ
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5609721A (en) 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
EP0709880A3 (en) 1994-10-31 1996-10-23 Sgs Thomson Microelectronics Method for forming projecting source / drain regions in an integrated circuit
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
DE4445345C2 (de) 1994-12-19 2001-08-23 Korea Electronics Telecomm Verfahren zur Herstellung eines Bipolartransistors
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
JPH08236540A (ja) 1995-03-01 1996-09-13 Mitsubishi Electric Corp 半導体装置の製造方法、及び半導体装置
JP2914213B2 (ja) 1995-03-28 1999-06-28 日本電気株式会社 半導体装置及びその製造方法
JPH08306700A (ja) 1995-04-27 1996-11-22 Nec Corp 半導体装置及びその製造方法
US5665614A (en) 1995-06-06 1997-09-09 Hughes Electronics Method for making fully self-aligned submicron heterojunction bipolar transistor
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US6060397A (en) 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
DE19533313A1 (de) 1995-09-08 1997-03-13 Max Planck Gesellschaft Halbleiterstruktur für einen Transistor
US5637518A (en) 1995-10-16 1997-06-10 Micron Technology, Inc. Method of making a field effect transistor having an elevated source and an elevated drain
US5693147A (en) 1995-11-03 1997-12-02 Motorola, Inc. Method for cleaning a process chamber
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JP3400293B2 (ja) 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US6058945A (en) 1996-05-28 2000-05-09 Canon Kabushiki Kaisha Cleaning methods of porous surface and semiconductor surface
JP2839018B2 (ja) 1996-07-31 1998-12-16 日本電気株式会社 半導体装置の製造方法
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6043519A (en) 1996-09-12 2000-03-28 Hughes Electronics Corporation Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication
KR100236069B1 (ko) 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
JP2950272B2 (ja) 1997-01-24 1999-09-20 日本電気株式会社 半導体薄膜の製造方法
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6348096B1 (en) 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
EP0874405A3 (en) 1997-03-25 2004-09-15 Mitsubishi Cable Industries, Ltd. GaN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
ATE550461T1 (de) 1997-04-11 2012-04-15 Nichia Corp Wachstumsmethode für einen nitrid-halbleiter
US5859447A (en) 1997-05-09 1999-01-12 Yang; Edward S. Heterojunction bipolar transistor having heterostructure ballasting emitter
US6351039B1 (en) 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
FR2764118B1 (fr) 1997-05-30 2000-08-04 Thomson Csf Transistor bipolaire stabilise avec elements isolants electriques
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
JP2967477B2 (ja) 1997-11-26 1999-10-25 日本電気株式会社 半導体装置の製造方法
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6221168B1 (en) 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
US6048790A (en) 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6593211B2 (en) 1998-09-04 2003-07-15 Canon Kabushiki Kaisha Semiconductor substrate and method for producing the same
JP3478141B2 (ja) 1998-09-14 2003-12-15 信越半導体株式会社 シリコンウエーハの熱処理方法及びシリコンウエーハ
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100680122B1 (ko) 1998-10-29 2007-02-07 신에쯔 한도타이 가부시키가이샤 반도체 웨이퍼 및 그 제조 방법
JP2000150647A (ja) 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
ID29539A (id) 1999-01-20 2001-09-06 Mykrolis Corp Pengontrol aliran
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6037258A (en) 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
KR100301246B1 (ko) 1999-06-30 2001-11-01 박종섭 반도체 소자의 제조 방법
US6190453B1 (en) 1999-07-14 2001-02-20 Seh America, Inc. Growth of epitaxial semiconductor material with improved crystallographic properties
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
WO2001045149A1 (en) 1999-12-15 2001-06-21 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP2001274387A (ja) 2000-03-28 2001-10-05 Seiko Epson Corp 半導体装置およびその製造方法
US6316795B1 (en) 2000-04-03 2001-11-13 Hrl Laboratories, Llc Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (ko) 2000-04-12 2002-04-12 최승철 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6593191B2 (en) 2000-05-26 2003-07-15 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
JP2004519090A (ja) 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
JP2002198525A (ja) * 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
JP3557457B2 (ja) 2001-02-01 2004-08-25 東北大学長 SiC膜の製造方法、及びSiC多層膜構造の製造方法
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US7108748B2 (en) 2001-05-30 2006-09-19 Asm America, Inc. Low temperature load and bake
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US6974730B2 (en) * 2003-12-17 2005-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a recessed channel field effect transistor (FET) device
CN100521114C (zh) 2004-01-23 2009-07-29 Nxp股份有限公司 制造单晶发射区的方法
TWI233187B (en) * 2004-03-30 2005-05-21 Taiwan Semiconductor Mfg MOS device and fabrication method thereof
KR20070006852A (ko) 2004-04-23 2007-01-11 에이에스엠 아메리카, 인코포레이티드 인-시츄 도핑된 에피택셜 막
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7226820B2 (en) * 2005-04-07 2007-06-05 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
US7405131B2 (en) * 2005-07-16 2008-07-29 Chartered Semiconductor Manufacturing, Ltd. Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP5070779B2 (ja) * 2006-09-21 2012-11-14 ソニー株式会社 半導体装置の製造方法および半導体装置
US7534689B2 (en) * 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication

Also Published As

Publication number Publication date
US7759199B2 (en) 2010-07-20
US20090075029A1 (en) 2009-03-19
TW200917377A (en) 2009-04-16
JP5382642B2 (ja) 2014-01-08
KR20090030226A (ko) 2009-03-24
TWI509699B (zh) 2015-11-21
JP2009076907A (ja) 2009-04-09

Similar Documents

Publication Publication Date Title
KR101548013B1 (ko) 채널의 변형을 조절하기 위한 응력 부재
US9312131B2 (en) Selective epitaxial formation of semiconductive films
US8586456B2 (en) Use of CL2 and/or HCL during silicon epitaxial film formation
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US8367528B2 (en) Cyclical epitaxial deposition and etch
KR101728377B1 (ko) 도핑된 반도체 막들 및 프로세싱
US7122449B2 (en) Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US7572715B2 (en) Selective epitaxy process with alternating gas supply
US20140120678A1 (en) Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
US20130320429A1 (en) Processes and structures for dopant profile control in epitaxial trench fill
US7732269B2 (en) Method of ultra-shallow junction formation using Si film alloyed with carbon
US20080138964A1 (en) Formation of Epitaxial Layer Containing Silicon and Carbon

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180718

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190718

Year of fee payment: 5