KR101776926B1 - 반도체 소자 및 그 제조 방법 - Google Patents

반도체 소자 및 그 제조 방법 Download PDF

Info

Publication number
KR101776926B1
KR101776926B1 KR1020100087618A KR20100087618A KR101776926B1 KR 101776926 B1 KR101776926 B1 KR 101776926B1 KR 1020100087618 A KR1020100087618 A KR 1020100087618A KR 20100087618 A KR20100087618 A KR 20100087618A KR 101776926 B1 KR101776926 B1 KR 101776926B1
Authority
KR
South Korea
Prior art keywords
epitaxial layer
film
semiconductor substrate
silicon
region
Prior art date
Application number
KR1020100087618A
Other languages
English (en)
Other versions
KR20120025314A (ko
Inventor
강성관
박금석
이병찬
강상범
김남규
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020100087618A priority Critical patent/KR101776926B1/ko
Priority to US13/155,483 priority patent/US8835995B2/en
Publication of KR20120025314A publication Critical patent/KR20120025314A/ko
Priority to US14/485,035 priority patent/US20150031183A1/en
Priority to US14/995,215 priority patent/US10263109B2/en
Priority to US15/619,882 priority patent/US10170622B2/en
Application granted granted Critical
Publication of KR101776926B1 publication Critical patent/KR101776926B1/ko
Priority to US16/351,328 priority patent/US11004976B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

반도체 소자의 제조 방법 및 이에 따라 제조된 반도체 소자를 제공한다. 반도체 소자의 제조 방법은 반도체 기판 상에 게이트 절연막을 개재하여 게이트 전극을 형성하고, 게이트 전극 양측의 반도체 기판에서, 상면 및 상면에서 연장되며 상면에 대해 경사진 측면들을 갖는 에피택셜층을 형성하고, 에피택셜층의 상면과 측면들을 캡핑하는(capping) 실리콘층을 형성하고, 실리콘층과 금속 물질을 반응시켜 실리사이드층을 형성하는 것을 포함한다.

Description

반도체 소자 및 그 제조 방법{Semiconductor device and method for manufacturing the same}
본 발명은 반도체 소자 및 그 제조 방법에 관한 것으로서, 보다 상세하게는 동작 특성이 향상된 PMOS 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법에 관한 것이다.
반도체 소자는 복수개의 모스 전계 효과 트랜지스터들(MOS(Metal Oxide Semiconductor) FET)로 구성된 집적회로를 포함한다. 반도체 소자의 크기 및 디자인 룰(Design rule)이 점차 축소됨에 따라, 모스 트랜지스터들의 크기 축소(scale down)도 점점 가속화되고 있다. 모스 트랜지스터들의 크기 축소는 숏 채널 효과(short channel effect) 등을 유발할 수 있으며, 이로 인해 반도체 소자의 동작 특성이 저하될 수 있다. 이에 따라, 반도체 소자의 고집적화에 따른 한계를 극복하면서 보다 우수한 성능을 반도체 소자를 형성하기 위한 다양한 방법이 연구되고 있다. 특히, 고성능(high performance)의 모스 트랜지스터를 구현하기 위해 전자 또는 정공의 이동도(mobility)를 증가시키는 방법이 개발되고 있다. 또한, 반도체 소자의 고집적화에 따라 모스 트랜지스터의 게이트, 소스 및 드레인의 면저항(sheet resistance) 및 콘택 저항의 증가를 해결하기 위해 비저항이 낮은 실리사이드막을 형성하는 공정이 개발되고 있다.
본원 발명이 해결하려는 과제는 고성능 PMOS 전계 효과 트랜지스터를 포함하는 반도체 소자의 제조 방법에 관한 것이다.
본원 발명이 해결하려는 다른 과제는 고성능 PMOS 전계 효과 트랜지스터를 포함하는 반도체 소자에 관한 것이다.
본 발명이 해결하려는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법은 반도체 기판 상에 게이트 절연막을 개재하여 게이트 전극을 형성하고, 게이트 전극 양측의 반도체 기판에서, 상면 및 상면에서 연장되며 상면에 대해 경사진 측면들을 갖는 에피택셜층을 형성하고, 에피택셜층의 상면과 측면들을 캡핑하는(capping) 실리콘층을 형성하고, 실리콘층과 금속 물질을 반응시켜 실리사이드층을 형성하는 것을 포함한다.
상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 일 실시예에 따른 반도체 소자는 소자 분리막에 의해 활성 영역이 정의된 반도체 기판, 게이트 절연막을 개재하여 활성 영역 상에 적층된 게이트 전극, 게이트 전극 양측의 반도체 기판에서, 상면 및 상면에 대해 경사진 측면들을 갖는 실리콘 게르마늄 에피택셜층 및 실리콘 게르마늄 에피택셜층의 상면 및 측면들을 캡핑하는 실리사이드층을 포함하되, 실리사이드층은 NixSi1-x (0<X<1)의 조성을 가질 수 있다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명에 따른 반도체 소자의 제조 방법에 따르면, 상면에 대해 경사진 측면을 갖는 SiGe 에피택셜층 상에 선택적 에피택셜 성장 공정을 이용하여 Si 에피택셜층을 형성할 때, 결정면 및 결정 방향에 따라 성장 속도가 다른 실리콘의 물질적 특성의 영향 없이, SiGe 에피택셜층의 경사면 및 상면에 Si 에피택셜층을 형성할 수 있다.
이에 따라, SiGe 에피택셜층 상에 실리사이드막을 형성할 때 금속 물질이 SiGe 에피택셜층과 반응하는 것을 방지할 수 있다. 또한, 금속 물질이 소자 분리막과 SiGe 에피택셜층 경계로 침투하여 반도체 기판과 반응하는 것을 방지할 수 있다.
도 1은 본 발명의 제 1 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다.
도 2는 본 발명의 제 1 실시예에 따른 반도체 소자의 평면도이다.
도 3 내지 도 10은 본 발명의 제 1 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들로서, 도 1의 Ⅰ-Ⅰ'선 및 Ⅱ-Ⅱ'선을 따라 자른 단면이다.
도 11 및 도 12는 본 발명의 실시예들에 따른 실리콘 에피택셜층의 형성 방법을 설명하기 위한 그래프들이다.
도 13a 내지 도 13d는 본 발명의 실시예들에 따른 실리콘 에피택셜층의 형성 방법을 개략적으로 나타내는 단면도들이다.
도 14 내지 도 16은 본 발명의 제 2 실시예에 따른 반도체 소자의 제조방법을 나타내는 단면도들로서, 도 1의 Ⅰ-Ⅰ'선 및 Ⅱ-Ⅱ'선을 따라 자른 단면이다.
도 17 내지 도 20은 본 발명의 제 3 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.
도 21은 본 발명의 제 4 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다.
도 22 내지 도 27은 본 발명의 제 4 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.
도 28은 본 발명의 제 5 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다.
도 29는 제 5 실시예에 따른 반도체 소자의 제조 방법에 의해 제조된 반도체 소자의 단면도이다.
도 30은 본 발명의 제 6 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다.
도 31 내지 도 34는 본 발명의 제 6 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.
도 35는 제 6 실시예의 변형례를 나타낸다.
도 36은 본 발명의 실시예들에 따른 CMOS 트랜지스터를 포함하는 인버터의 회로도이다.
도 37은 본 발명의 실시예들에 따른 CMOS 트랜지스터를 포함하는 SRAM 장치의 회로도이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
또한, 본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 예를 들면, 직각으로 도시된 식각 영역은 라운드지거나 소정 곡률을 가지는 형태일 수 있다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다.
본 발명의 실시예들에 대한 보다 명확한 이해를 위해서 먼저 결정학(crystallographic)에 대하여 간단히 설명한다. 결정학에 있어서, 격자의 결정면(plane) 또는 방향(direction)을 언급하는 것은 매우 유용하다. 면 또는 방향을 기술하는 표시법(notation)은 격자에서의 면의 위치 또는 벡터의 방향을 표시할 때 세 개의 정수 세트를 사용한다. 결정 격자에서의 어떤 면을 기술하는 세 개의 정수는 다음과 같은 방식으로 결정된다:
먼저, 어떤 면이 세 결정 축과 만나는 교점(intersection)을 찾고, 이들 교점들을 기본 벡터의 정수배로 나타낸다. 이때, 면은 그 방위(orientation)를 유지한 채로 움직여 각 결정축과의 교점이 생길 때까지 움직여 질 수 있다. 이들 교점들의 역수를 취한 후 동일한 관계를 유지한 채로 가장 작은 정수비를 갖는 세 개의 정수 세트 h, k, l 로 만든다. 이들 세 개의 정수 세트 h, k, l 을 (hkl)와 같이 () 안에 나열한다. 이 같은 세 개의 정수 세트 h, k, l을 밀러 지수(Miller index)라고 하며, 이들 세 개의 정수 세트는 격자에서 서로 평행한 면들을 정의한다. 결정학적 관점에서 격자에서 많은 면들은 서로 등가(equivalent)이다.
즉, 주어진 밀러 지수를 갖는 어떤 면은 단지 단위 셀(unit cell)의 위치 및 기원(orientation)을 선택하는 방식에 의해서 격자 내에서 이동을 할 수 있다. 그리고, 결정축에 대한 상대적인 대칭성이 동일한 면들을 결정학적인 관점에서 등가(equivalent)라고 부른다. 즉, 주어진 밀러 지수를 갖는 어떤 면은 단지 단위 셀의 위치 및 기원(유래)을 선택하는 방식에 의해서 격자 내에서 이동을 할 수 있다. 그와 같은 결정학적으로 등가적인 면들을 () 대신 {}로 표시한다. 따라서, 결정면{100}은 세 개의 등가 면 (100), (010), (001)을 포함한다.
한편, 격자 내에서 방향은 그 방향에서의 벡터의 성분과 동일한 관계를 가지는 세 개의 정수 세트로 표시된다. 세 개의 벡터 성분은 기본 벡터의 곱으로 표시되며, 그들 사이의 관계를 유지한 채로 최소 정수비로 전환되어 []를 사용하여 표시된다. 면과 마찬가지로 격자에서 많은 방향들은 결정학적으로 서로 등가이며 < >를 사용하여 표시된다. 예컨대, 방향 <110>은 세 개의 결정학적으로 등가 방향 [100], [010], [001]을 포함한다.
이하, 도면들을 참조하여, 본 발명의 실시예들에 따른 반도체 소자 및 그 제조 방법에 대해 상세히 설명한다.
본 발명의 실시예들에 따르면 반도체 기판 상에 PMOS 트랜지스터가 형성될 수 있다. PMOS 트랜지스터는 게이트 절연막을 개재하여 반도체 기판 상에 형성된 게이트 전극과, 게이트 전극 양측의 반도체 기판 내에 형성된 소오스/드레인 전극들로 구성된다. 이러한 PMOS 트랜지스터에 소정의 바이어스 전압을 인가함에 따라, 게이트 전극 아래의 반도체 기판에 채널 영역이 형성될 수 있으며, 채널 영역을 통해 다수 캐리어(major carrier)인 정공(hole)이 이동한다. 채널영역에서 정공의 이동도(mobility)가 향상됨에 따라 PMOS 트랜지스터의 동작 특성이 향상될 수 있다.
캐리어의 이동도를 향상시키는 방법으로는 채널 영역에 물리적인 스트레스를 가하여 채널 영역의 에너지 밴드 구조를 변경시키는 방법이 있다. 다수 캐리어가 정공인 PMOS 트랜지스터의 경우, 채널 영역에 압축 스트레스(compressive stress)를 가하여 정공의 이동도를 향상시킬 수 있다. 또한, 캐리어의 이동도는 반도체 기판의 결정 방향에 영향을 받는다. 예를 들어, PMOS 트랜지스터에서 다수 캐리어인 정공은 실리콘 격자의 <110> 방향에서 이동도가 우수하므로, PMOS 트랜지스터의 경우 채널 영역이 <110> 방향과 평행하게 형성될 수 있다.
도 1은 본 발명의 제 1 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다. 도 2는 본 발명의 제 1 실시예에 따른 반도체 소자의 평면도이다. 도 3 내지 도 10은 본 발명의 제 1 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들로서, 도 1의 Ⅰ-Ⅰ'선 및 Ⅱ-Ⅱ'선을 따라 자른 단면이다.
도 1, 도 2 및 도 3을 참조하면, 소자 분리막(20)에 의해 활성 영역이 정의된 반도체 기판(10)이 제공된다(S10).
일 실시예에서 반도체 기판(10)은 단결정 실리콘 기판일 수 있으며, 이와 달리 반도체 기판(10)은 실리콘-온-인슐레이터(silicon on insulator: SOI) 기판, 게르마늄 기판, 게르마늄-온-인슐레이터(germanium on insulator: GOI) 기판, 실리콘-게르마늄 기판, 또는 선택적 에피택셜 성장(selective epitaxial growth: SEG)을 수행하여 획득한 에피택셜 박막의 기판일 수 있다. 그리고, 일 실시예에서, 반도체 기판(10)은 (100) 면을 갖는 실리콘 기판일 수 있다.
활성 영역을 정의하는 소자 분리막(20)은 반도체 기판(10)에 트렌치를 형성하고 트렌치 내에 절연 물질을 매립하여 형성될 수 있다. 이 때, 트렌치는 필드 영역의 반도체 기판(10)을 노출시키는 마스크 패턴(미도시)을 형성하고, 마스크 패턴을 식각 마스크로 이용하여 이방성 식각함으로써 형성될 수 있다. 이 때, 트렌치는 이방성 식각 공정에 의해 상부 폭보다 하부 폭이 작게 형성될 수 있다. 트렌치 내에는 갭 필(gap fill) 특성이 우수한 절연막이 채워질 수 있다. 예를 들어, 절연막은 BPSG(boron-phosphor silicate glass)막, HDP(High Density Plasma) 산화막, USG(Undoped Silicate Glass) 또는 TOSZ(Tonen SilaZene) 물질로 형성될 수 있다.
또한, 반도체 기판(10)은 MOS 트랜지스터들을 형성하기 위해 불순물이 도핑된(doped) 웰 영역을 포함할 수 있다. 일 실시예에 따르면, 반도체 기판(10)은 PMOS 트랜지스터들을 형성하기 위한 n형 웰을 포함할 수 있다.
이어서, 활성 영역 상에 게이트 절연막(111)을 개재하여 게이트 전극(121)이 형성된다(S20).
구체적으로, 게이트 전극(121)을 형성하는 것은, 게이트 절연막(111) 상에 게이트 도전막 및 캡핑막을 적층하고 패터닝하여 형성될 수 있다. 즉, 게이트 전극(121)은 게이트 도전 패턴(121) 및 게이트 도전 패턴(121) 상의 캡핑 패턴(123)으로 구성될 수 있다.
게이트 절연막(111)은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 및 고유전막들 중의 적어도 하나를 포함할 수 있으며, 복수의 막들로 구성될 수 있다. 이 때, 고유전막은 실리콘 산화막보다 높은 유전 상수를 갖는 절연성 물질들을 의미하며, 탄탈륨 산화막, 티타늄 산화막, 하프늄 산화막, 지르코늄 산화막, 알루미늄 산화막, 이트륨 산화막, 니오븀 산화막, 세슘 산화막, 인듐 산화막, 이리듐 산화막, BST(barium strontium titanate)막 및 PZT(zirconate titanate)막을 포함할 수 있다.
게이트 전극(121)은 게이트 절연막(111) 상에 형성되며, 활성 영역을 가로지를 수 있다. 구체적으로, 게이트 전극(121)의 실리콘 기판의 <110> 방향으로 연장될 수 있으며, <100> 방향으로도 연장될 수 있다.
일 실시예에 따르면, 게이트 전극(121)은 불순물이 도우프된 폴리실리콘(poly-Si)막으로 형성될 수 있다. 폴리실리콘막에는 N형 또는 P형의 불순물이 도우프될 수 있으며, 일 실시예에서는 PMOS 트랜지스터가 형성되므로, 게이트 전극(121)은 p형 불순물이 도우프된 폴리실리콘막으로 형성될 수 있다.
다른 실시예에 따르면, 게이트 전극(121)은 불순물이 도우핑된 폴리실리콘에 비하여 비저항이 낮고, 일함수가 높은 도전 물질로 형성될 수도 있다. 예를 들어, 일함수가 높은 도전 물질은 텅스텐 또는 몰리브덴 등의 금속막과, 티타늄질화막, 탄탈늄질화막, 텅스텐질화막 및 티타늄알루미늄질화막등의 도전성 금속질화막 중에서 선택된 적어도 어느 하나로 형성될 수 있다.
다음으로, 게이트 전극(121) 양측의 활성 영역에 불순물 영역들을 형성한다(S30). 일 실시예에서 불순물 영역은 저농도 불순물 영역(141)과 고농도 불순물 영역(143)으로 구성될 수 있다.
구체적으로, 게이트 전극(121) 양측의 반도체 기판(10) 내에 저농도 불순물 영역(141)들을 형성한다. 구체적으로, 저농도 불순물 영역(141)들은 게이트 전극(121)을 이온주입 마스크로 이용하여 p형 불순물, 예를 들어, 보론(B)을 이온주입하여 형성될 수 있다. 저농도 불순물 영역(141)은 불순물 확산에 의해 게이트 전극(121)의 하부로 연장될 수 있다.
또한, P형 저농도 불순물 영역들(141)을 형성한 후에, 할로 이온 주입 공정을 수행하여 채널 불순물 영역(미도시)을 형성할 수 있다. 여기서, 채널 불순물 영역은 소오스/드레인 영역의 도전형과 반대되는 n형의 불순물(예를 들어, 비소(As)을 이온 주입하여 형성될 수 있다. n형의 채널 불순물 영역은 게이트 전극(121) 아래의 활성 영역의 농도를 증가시켜, 펀치 쓰루우(punch through) 현상을 방지할 수 있다.
도 1, 도 2 및 도 4를 참조하면, 게이트 전극(121)의 양측벽에 스페이서(130)를 형성한다.
일 실시예에서, 게이트 전극(121)의 양측에는 복수 개의 스페이서(130)들이 배치될 수 있다. 복수 개의 스페이서(130)들은 식각 선택성을 갖는 절연 물질들이 번갈아 적층된 구조를 가질 수 있다.
상세하게, 스페이서(130)는 게이트 전극(121)이 형성된 반도체 기판(10)의 전면에 절연막을 증착한 후, 에치백(etch back) 공정과 같은 전면 이방성 식각 공정을 수행하여 형성될 수 있다. 보다 상세히 설명하면, 게이트 전극(121)이 형성된 반도체 기판(10)의 전면에 실리콘 산화막 및 실리콘 질화막을 순서대로 컨포말하게 형성한다. 여기서, 실리콘 산화막은 화학기상증착(CVD) 방법을 사용하여 형성되거나, 게이트 전극(121) 및 반도체 기판(10)을 열산화시켜 형성될 수 있다. 이러한 실리콘 산화막은 게이트 전극(121)을 패터닝할 때, 측벽에 발생된 식각 손상을 큐어링(curing)하며, 반도체 기판(10)과 실리콘질화막 사이의 버퍼막 역할을 한다.
이후, 순서대로 형성된 실리콘 산화막 및 실리콘 질화막을 에치 백하면, 게이트 전극(121) 양측벽에 L자 형태의 하부 스페이서(131)와 상부 스페이서(133)로 이루어진 이중 스페이서(130)가 형성될 수 있다. 이중 스페이서(130)를 구비함으로써, 반도체 소자의 고집적화에 따라 소오스/드레인 영역 간의 거리인 채널 길이가 감소되는 단채널 효과(short channel effect)를 해소할 수 있다.
스페이서(130)를 형성한 후, 게이트 전극(121) 양측의 반도체 기판(10) 내에 고농도 불순물 영역(143)을 형성한다.
고농도 불순물 영역(143)은 게이트 전극(121) 및 스페이서(130)를 이온 주입 마스크로 이용하여 P형 불순물, 예를 들어 붕소(B)를 주입하여 형성될 수 있다. 여기서, 고농도 불순물 영역(143)은 후속 공정에서 형성될 리세스 영역(105)의 깊이보다 깊게 이온 주입될 수 있다. P형 불순물이 도핑된 고농도 불순물 영역(143)은 스페이서(130) 아래로 확산될 수도 있다.
도 1, 도 2 및 도 5를 참조하면, 게이트 전극(121) 양측에 스페이서(130)를 형성한 후, 게이트 전극(121) 양측의 반도체 기판(10)을 선택적으로 식각하여 리세스 영역(105)을 형성한다(S40).
리세스 영역(105)은 게이트 전극(121), 스페이서(130) 및 소자 분리막(20)을 식각 마스크로 이용하여 이방성 및/또는 등방성 식각하여 형성될 수 있다.
일 실시예에 따르면, 리세스 영역(105)은 반도체 기판(10)의 표면과 평행한 바닥면과 반도체 기판(10)의 표면에 대해 경사를 갖는 제 1 및 제 2 측면들에 의해 정의될 수 있다. 또한, 리세스 영역(105)이 게이트 전극(121)과 소자 분리막(20) 사이의 반도체 기판(10)에 형성될 때, 리세스 영역(105)은 소자 분리막(20)의 측벽을 노출시킬 수 있다.
반도체 기판(10)이 (100) 면을 가질 경우, 리세스 영역(105)의 바닥면은 (100)면을 가질 수 있으며, 제 1 및 제 2 측면들은 게이트 전극(121)과 인접할 수 있으며, (111) 면 (110) 면 및 (311) 면을 가질 수 있다. 또한, 게이트 전극(121)과 인접한 제 1 측면 및 제 2 측면은 180도 이하의 각도를 가질 수 있다. 즉, 리세스 영역(105)은 제 1 및 제 2 측면들에 의해 정의된 모서리를 가질 수 있다. 다시 말해, 리세스 영역(105)은 제 1 및 제 2 측면들에 의해 게이트 전극(121) 하부로 연장된 구조를 가질 수 있다.
또한, 리세스 영역(105)은 저농도 및 고농도 불순물 영역들(141, 143)을 노출시키되, N-웰 또는 반도체 기판(10)을 노출시키지 않는다. 예를 들어, 리세스 영역(105)은 반도체 기판(10)의 표면으로부터 약 300~1000Å의 깊이로 형성될 수 있다.
일 실시예에 따르면, 리세스 영역(105)을 형성하는 것은 게이트 전극(121), 스페이서(130) 및 소자 분리막(20)을 식각 마스크로 이용하여 반도체 기판(10)에 트렌치를 형성하는 것과, 트렌치가 형성된 반도체 기판(10)을 등방성 식각하는 것을 포함한다.
상세히 설명하면, 게이트 전극(121), 스페이서(130) 및 소자 분리막(20)을 식각 마스크로 이용하여 등방성 또는 이방성 건식 식각 공정을 수행하여 트렌치를 형성할 수 있다. 이때, 식각 가스로서 HCl, Cl2 및 H2를 이용하여 건식 식각 공정이 수행될 수 있다. 이방성 식각 공정에 의해 형성된 트렌치는 리세스 영역(105)의 깊이보다 얕게 형성될 수 있다.
이어서, 트렌치가 형성된 기판으로 식각액을 공급하여 이방성 식각함으로써, 리세스 영역(105)의 바닥면 및 측면들을 정의한다. 보다 상세하게, 이방성 식각 공정시 유기 알칼리 에천트(수산화테트라메틸암모늄: TMAH) 또는 수산화암모늄(NH4OH)이 식각액으로 이용될 수 있다. 이방성 식각 공정을 진행함에 따라, 트렌치가 확장되어 리세스 영역(105)이 형성될 수 있다. 즉, 이방성 식각 공정은 수직 방향뿐만 아니라 수평 방향으로 반도체 기판(10)을 식각한다. 이에 따라, 리세스 영역(105) 형성시 스페이서(130) 하부의 반도체 기판(10)이 식각될 수 있다.
한편, 실리콘으로 이루어진 반도체 기판(10)을 습식 식각할 때, 실리콘의 결정면 및 결정 방향에 따라 식각 속도가 다르게 나타난다. 구체적으로, 실리콘의 결정면(plane)에 따라 식각 속도가 다르게 나타난다. 예를 들어, 수산화암모늄(NH4OH)을 이용하여 실리콘 기판을 등방성 식각할 때, 실리콘 기판은 (111) 면에서 식각 속도가 가장 느리고, (100) 면에서 식각 속도가 가장 빠른 특성을 갖는다. 이에 따라, 수산화암모늄(NH4OH)을 이용하여 등방성 식각 공정을 진행하는 경우, 식각 속도가 가장 느린 (111) 면이 리세스 영역(105)의 측면을 정의할 수 있다. 그리고, 리세스 영역(105)은 스페이서(130) 하부에서 (111) 면을 갖는 두 개의 측면들에 의해 뾰족한 쐐기 형상을 가질 수 있다.
이와 같이, 이방성 및 등방성 식각 공정에 의해 형성된 리세스 영역(105)의 표면에는 결함들이 존재할 수 있다. 이에 따라, 리세스 영역(105)을 형성한 후 O3 및 HF를 이용하여 클리닝 공정을 수행하여 리세스 영역(105)의 표면 결함들을 제거할 수 있다.
다른 실시예에 따르면, 리세스 영역(105)은 이방성 건식 식각 공정을 수행하여 형성될 수 있다. 이방성 식각 공정에 의해 리세스 영역(105)은 바닥면과 측면을 가질 수 있다. 여기서 리세스 영역(105)의 저면은 반도체 기판(10)의 표면과 평행할 수 있으며, 측면은 저면에 대해 약 90도 이상의 각도를 가질 수 있다. 보다 상세하게, 반도체 기판(10)이 (100) 면을 가질 경우 리세스 영역(105)의 저면은 (100)면을 가질 수 있으며, 제 1 및 제 2 측면들은 (111) 면 (110) 면 및 (311) 면을 가질 수 있다. 다른 실시예에서, 리세스 영역(105)은 CVE(Chemical Vapor Etching) 방법을 이용하여 형성될 수 있다. 이때, 식각 가스로서 HCl 및 H2를 이용하여 수행될 수 있다.
한편, 리세스 영역(105)을 형성한 후에, 도 4를 참조하여 설명된 고농도 불순물 영역(143)이 형성될 수 있다. 고농도 불순물 영역(143)은 리세스 영역(105)의 둘레에 형성되어 리세스 영역(105)에 성장되는 에피택셜층이 반도체 기판(10)과 직접 접촉되는 것을 방지할 수 있다.
도 1, 도 2 및 도 6을 참조하면, 선택적 에피택셜 성장(Selective Epitaxial Growth; SEG) 공정을 수행하여, 리세스 영역(105) 내에 SiGe 에피택셜층(150)을 형성한다(S50).
SiGe 에피택셜층(150)은 선택적 에피택셜 성장 공정에 의해, 리세스 영역(105)에 노출된 반도체 기판(10)에서만 선택적으로 성장될 수 있다. 선택적 에피택셜 성장 공정시 반도체 기판(10)은 씨드 결정 역할을 하기 때문에, 리세스 영역(105)에 형성되는 에피택셜층은 반도체 기판(10)과 동일한 결정 구조를 가질 수 있다.
일 실시예에 따르면, PMOS 트랜지스터의 성능을 향상시키기 위해, 에피택셜층 내에 반도체 기판(10)의 실리콘보다 격자 상수가 큰 게르마늄이 도핑될 수 있다. 즉, 일 실시예에서, 에피택셜층은 반도체 기판(10)의 실리콘과 동일한 결정구조를 갖되, 반도체 기판(10) 보다 격자 상수가 큰 반도체 물질로 형성될 수 있다. 예를 들어, 실리콘 게르마늄(Si1 - xGex, x는 0.1~0.9)으로 형성될 수 있으며, 실리콘 게르마늄의 격자상수는 Si의 격자상수보다 크고, Ge의 격자상수보다 작을 수 있다. 구체적으로, Ge의 격자상수는 Si의 격자상수 보다 크므로, 실리콘 격자 내에서 Si 원자가 Ge 원자로 치환되면 실리콘 격자가 팽창한다. 이에 따라, SiGe로 이루어진 에피택셜층(150)은 PMOS 트랜지스터의 채널 영역에 압축 스트레스를 발생시킨다. 나아가, Ge의 농도가 증가할수록 에피택셜층이 팽창하므로 게이트 전극(121)에 가해지는 압축 스트레스가 증가될 수 있다.
일 실시예에 따르면, SiGe 에피택셜층(150)을 형성하기 위한 선택적 에피택셜 성장 공정으로는, 고상 에피택시(SPE: Solid Phase Epitaxy), 기상 에피택시(VPE: Vapor Phase Epitaxy) 및 액상 에피택시(LPE: Liquid Phase Epitaxy) 방법이 이용될 수 있다. 일 실시예에 따르면, 기상 에피택셜 방법이 이용될 수 있으며, 예를 들어, 화학기상증착법(Chemical Vapor Deposition; CVD), 감압화학기상증착법(Reduced Pressure Chemical Vapor Deposition; RPCVD) 또는 고진공화학기상증착법(Ultra High Vacuum Chemical Vapor Deposition)이 이용될 수 있다.
또한, 일 실시예에서, SiGe 에피택셜층(150)의 선택적 성장 공정은 실리콘 소스 가스, 게르마늄 소스 가스 및 선택적 식각 가스를 동시에 공급하여 수행될 수 있다. 여기서, 실리콘 소스 가스로는 모노클로실레인(SiH3Cl), DCS(DiChloroSilane), TCS(TriChloroSilane), HCS(HexaChloroSilane), SiH4, Si2H6 또는 이들의 조합이 사용될 수 있다. 게르마늄 소스 가스로는 GeH4, Ge2H4, GeH3Cl, Ge2H2Cl2, Ge3HCl3 또는 이들의 조합이 사용될 수 있다. 선택적 식각 가스는 HCl, Cl2 또는 이들의 조합이 사용될 수 있다. 또한, 선택적 에피택셜 공정 동안 소스 가스가 반도체 기판(10)의 표면에 균일하게 공급될 수 있도록, 캐리어 가스가 소스 가스들과 함께 공급될 수 있다. 캐리어 가스는 수소 가스, 헬륨 가스, 질소가스 및 아르곤 가스 중 적어도 어느 하나일 수 있다. 또한, SiGe 에피택셜층(150)의 성장 공정은 약 550℃ 이상의 온도 및 수 mTorr 이상의 압력에서 진행될 수 있다.
이와 같이, 선택적 식각 가스와 실리콘 소스 가스를 동시에 공급하여 선택적 에피택셜 성정 공정을 수행함에 따라, 산화막 또는 질화막으로 이루어진 소자 분리막(20)에서는 SiGe 에피택셜층(150)이 형성되지 않고 실리콘(Si)이 노출된 영역에서만 SiGe 에피택셜층(150)이 선택적으로 성장될 수 있다. 선택적 에피택셜 성장 공정에 의해 SiGe 에피택셜층(150)은 스페이서(130) 하부의 쐐기 형상의 리세스 영역(105)을 채울 수 있으며, 소자 분리막(20)과 인접한 부분에서는 경사면(150s, faceted edge)을 형성할 수 있다. SiGe 에피택셜층(150)은 스페이서(130) 하부에서 뾰족한 쐐기 형상을 가지므로, 채널 영역에 가해지는 스트레스를 증가시킬 수 있다.
상세하게, SiGe 에피택셜층(150)의 성장은 반도체 기판(10)을 씨드로 이용하여 리세스 영역(105)의 바닥면으로부터 성장될 수 있다. 이 때, SiGe 에피택셜층(150)은 수직 방향으로뿐만 아니라 수평 방향으로 성장될 수 있다. 여기서, SiGe 에피택셜층(150)은 결정 방향에 따라 성장 속도(growth rate)가 다르기 때문에 SiGe 에피택셜층(150)은 주로 수평 방향으로 성장되며, 수직 방향으로의 성장은 제한될 수 있다. 구체적으로, SiGe 에피택셜층(150)은 (110), (111) 방향으로의 성장은 거의 이루어지지 않고, (100)방향으로 성장이 이루어진다. 또한, SiGe 에피택셜층(150)의 성장 속도는 실리콘 소스 가스와 게르마늄 소스 가스의 비율(proportion)에 따라 변화할 수 있다.
또한, 일 실시예에서, SiGe 에피택셜층(150)은 반도체 기판(10)의 표면 위로 융기(elevated)될 수 있다. SiGe 에피택셜층(150)의 두께가 증가될수록 채널 영역에 가해지는 압축 스트레스가 증가될 수 있다. 예를 들어, SiGe 에피택셜층의 상면(150t)은 게이트 절연막(111)보다 위에 위치될 수 있다. 일 실시예에서, 반도체 기판(10)의 표면으로부터 SiGe 에피택셜층의 상면(150t)의 높이는 약 10nm 내지 40nm일 수 있다.
또한, 일 실시예에 따르면, SiGe 에피택셜층(150)은 하부에서보다 상부에서 Ge의 농도가 클 수 있다. 즉, SiGe 에피택셜층(150)은 저농도의 하부 에피택셜층과 고농도의 상부 에피택셜층을 포함할 수 있다. 상세하게, 하부 에피택셜층은 고농도의 상부 에피택셜층과 실리콘으로 이루어진 반도체 기판(10) 간의 격자 미스매치(lattice mismatch) 차이를 최소화할 수 있다. 하부 에피택셜층은 약 20 내지 50nm의 두께를 가질 수 있으며, 하부 에피택셜층에서 Ge의 농도는 약 10 내지 30%일 수 있다. 또한, 하부 에피택셜층의 Ge 농도는 하부에서 상부로 점차 증가하는 기울기(gradient)를 가질 수 있다. 상부 에피택셜층에서 Ge의 농도는 약 20 내지 50%일 수 있으며, 약 40 내지 60nm의 두께를 가질 수 있다. 실질적으로 채널영역에 압축 스트레스를 제공하는 상부 에피택셜층에서 Ge의 농도가 크기 때문에, PMOS 트랜지스터의 채널 영역에 인가되는 압축 스트레스를 증가시킬 수 있다.
일 실시예에 따르면, SiGe 에피택셜층(150)을 형성하는 동안 p형 불순물, 예를 들어, 보론(B)이 인-시츄로 도핑될 수 있다. 이와 달리, p형 불순물은 선택적 에피택셜 성장 후에 이온 주입될 수 있다. 이와 같이, p형 불순물이 도핑된 에피택셜층은 저농도 및 고농도 불순물 영역들(141, 143)과 함께 p형 MOS 트랜지스터의 소오스/드레인 영역을 구성한다.
일 실시예에서, SiGe 에피택셜층(150)은 저농도 및 고농도 불순물 영역들(141, 143)과 접촉될 수 있다. 이러한 구성의 결과, 밴드갭(band gap)이 작은 p형 SiGe 에피택셜층(150)이 n형 웰과 직접 접촉하지 않으므로, 반도체 기판(10)과 SiGe 에피택셜층(150)의 계면에서 누설 전류가 발생하는 것을 억제할 수 있다.
이와 같이 형성된 SiGe 에피택셜층(150)은 게이트 전극(121) 방향으로(즉, 횡방향으로(laterally) 연장된 구조를 가질 수 있다. 예를 들어, SiGe 에피택셜층(150)은 스페이서(130) 하부에서 쐐기 형성을 가질 수 있다. 상세히 설명하면, SiGe 에피택셜층(150)은 바닥면(bottom surface), 상면(150t, top surface) 및 복수의 경사면들(150s)을 가질 수 있다. 여기서, SiGe 에피택셜층(150)의 바닥면과 상면(150t)은 반도체 기판(10)의 표면과 평행할 수 있다. 즉, 반도체 기판(10)의 상면(150t)이 (100)면을 가질 경우 SiGe 에피택셜층(150)의 바닥면과 상면(150t)은 (100)면을 가질 수 있다. SiGe 에피택셜층의 경사면(150s)들은 바닥면 또는 상면(150t)에 대해 소정의 각도를 가질 수 있다. 예를 들어, 반도체 기판(10)이 (100) 면을 가질 경우 경사면(150s)들은 (111)면, (110)면 및 (311)면을 가질 수 있다.
또한, 일 실시예에 따르면, SiGe 에피택셜층(150)은 반도체 기판(10)의 표면 위로 융기된 상부 영역을 가질 수 있다. 여기서, 상부 영역은 반도체 기판(10)의 표면과 평행한 상면(150t)과, 상면(150t)에 대해 경사진 측면(150s)들에 의해 정의될 수 있다. 앞에서 상술한 것처럼, SiGe 에피택셜층(150)의 성장 속도가 SiGe 격자에서의 결정면(plane) 또는 방향(direction))에 따라 다르기 때문에, SiGe 에피택셜층(150)은 상부 영역에 경사진 측면(150s)을 가질 수 있다.
한편, 도면에는 SiGe 에피택셜층의 경사면(105s)이 반도체 기판(10)의 표면 위로 융기된 것을 도시하였으나, SiGe 에피택셜층의 경사면(105s)의 일부분은 반도체 기판(10)의 표면 아래에 위치할 수도 있다. 이러한 경우, SiGe 에피택셜층의 경사면(105s)과 소자 분리막(20) 사이에 홈이 형성될 수도 있다.
도 1, 도 2 및 도 6을 참조하면, 선택적 에피택셜 성장 공정을 수행하여, SiGe 에피택셜층(150) 상에 Si 에피택셜층(160)을 형성한다(S60).
Si 에피택셜층(160)은 SiGe 에피택셜층의 상면(150t)과 경사면(150s)을 덮을 수 있다. 이 때, Si 에피택셜층(160)은 SiGe 에피택셜층의 경사면(150s)에서보다 SiGe 에피택셜층의 상면(150t)에서 두껍게 형성될 수 있다. 또한, Si 에피택셜층(160)은 소자 분리막(20)과 인접할수록 두께가 감소될 수 있다. 예를 들어, SiGe 에피택셜층의 상면(150t)에서 Si 에피택셜층(160)의 두께는 약 10 내지 30nm일 수 있다. 이러한, Si 에피택셜층(160)은 반도체 기판(10)의 표면 위로 융기된 SiGe 에피택셜층(150)의 상부 영역을 완전히 캡핑(capping)할 수 있다. 이에 따라, 실리사이드막(171) 형성시 금속막이 SiGe 에피택셜층(150)과 접촉하는 것을 방지할 수 있다. 또한, 금속막이 소자 분리막(20)과 SiGe 에피택셜층(150) 계면으로 침투하여 반도체 기판(10)과 반응하는 것을 방지할 수 있다.
Si 에피택셜층(160)은 선택적 에피택셜 성장 공정에서 SiGe 에피택셜층(150)을 씨드로 이용하여 형성되므로, SiGe 에피택셜층(150) 상에서만 선택적으로 성장될 수 있다. 즉, Si 에피택셜층(160)은 SiGe 에피택셜층의 상면(150t) 및 경사면(150s)으로부터 성장될 수 있다. 일 실시예에 따르면, Si 에피택셜층(160)은 SiGe 에피택셜층(150)을 성장시킨 후 인-시츄로 형성될 수 있다.
선택적 에피택셜 성장 공정으로는 고상 에피택셜(SPE: Solid Phase Epitaxy), 기상 에피택셜(VPE: Vapor Phase Epitaxy) 및 액상(LPE: Liquid Phase Epitaxy) 방법이 이용될 수 있다. 일 실시예에 따르면, 기상 에피택셜 방법이 이용될 수 있으며, 화학기상증착법(Chemical Vapor Deposition; CVD), 감압화학기상증착법(Reduced PressureChemical Vapor Deposition; RPCVD) 또는 고진공화학기상증착법(Ultra High Vacuum Chemical Vapor Deposition)이 이용될 수 있다.
이와 같이, 선택적 에피택셜 성장 공정을 이용하여 Si 에피택셜층(160)을 형성할 때, Si 에피택셜층(160)은 결정면 및 결정 방향에 따라 성장 속도 및 식각 속도가 다를 수 있다. 예를 들어, Si 에피택셜층(160)은 횡방향으로의 성장 속도보다 상부 방향으로의 성장 속도가 빠를 수 있다. 구체적으로, Si 에피택셜층(160)의 성장 속도는 (100)면에서 가장 빠르고 (111)면에서 가장 느린 반면, Si 에피택셜층(160)의 식각 속도는 (100)면에서 가장 느리고, (110)면에서 가장 빠르다. 이에 따라, 실리콘 소오스 가스와 식각 가스를 동시에 공급하면서 Si 에피택셜층(160)을 형성하는 경우, (100)면을 갖는 SiGe 에피택셜층의 상면(150t)에서 수직방향으로 주로 성장되고, (111)면을 갖는 SiGe 에피택셜층의 경사면(150s)에서의 성장이 억제될 수 있다. 이에 따라, Si 에피택셜층(160')은 도면에 도시된 것처럼, SiGe 에피택셜층150)의 경사면(150s)을 노출시키면서, SiGe 에피택셜층(150)의 상면에 Si 에피택셜층(160')이 선택적으로 형성될 수 있다.
한편, 본 발명의 실시예에 따르면, 실리콘 격자에서의 결정면 및 결정 방향에 관계 없이 SiGe 에피택셜층의 상면(150t)과 경사면(150s)을 덮는 Si 에피택셜층(160)을 형성할 수 있다. 즉, Si 에피택셜층(160)은 소자 분리막(20)과 인접한 SiGe 에피택셜층의 경사면(150s)을 덮을 수 있다. 본 발명의 실시예에 따른 Si 에피택셜층(160)의 형성 방법에 대해서 도 11, 도 12 및 도 13a 내지 도 13d를 참조하여 보다 상세히 설명하기로 한다.
다음으로, 도 1, 도 2, 도 7 및 도 8을 참조하면, Si 에피택셜층(160)을 형성한 후에 게이트 전극(121) 및 Si 에피택셜층(160)들 상에 실리사이드막(171, 173)을 형성한다(S70).
일 실시예에서, 실리사이드막들(171, 173)은 코발트 실리사이드막, 티타늄 실리사이드막, 니켈 실리사이드막 또는 텅스텐 실리사이드막 중의 하나로 형성될 수 있다. 그리고, 실리사이드막(171)은 실리콘 원소 및 금속 원소로 이루어질 수 있으며, 실리사이드막(171)에서 실리콘 원소 및 금속 원소가 차지하는 비율(proportion)이 90% 이상일 수 있다. 구체적으로, 실시예들에서, 실리사이드막(171)은 코발트 및 티타늄보다 낮은 온도에서 실리콘과 반응하여 형성되고, 코발트 및 티타늄 실리사이드들보다 비저항이 낮은 니켈 실리사이드막일 수 있다. 실시예들에서, 니켈 실리사이드막(171)은 Si 에피택셜층(160)과 니켈막이 반응하여 형성되므로, SiGe 에피택셜층(150)상에 형성된 실리사이드막(171)에서 실리콘 원소 및 니켈 원소가 차지하는 비율이 90% 이상일 수 있다. 또한, 본 발명의 실시예들에서, 실리사이드막(171)은 NixSi1-x(0<X<1)의 조성을 가질 수 있다. 예를 들어, 실리사이드막(171)은 NiSi, NiSi2, Ni3Si2, Ni2Si, Ni31Si12 중에서 선택된 어느 하나일 수 있다.
구체적으로, 실리사이드막(171)을 형성하는 공정은, Si를 포함하는 막 상에 금속막을 형성하는 것과, 금속 물질과 실리콘을 반응시키는 열처리 공정을 수행하는 것과, 실리콘과 반응하지 않은 금속 물질을 제거하는 것을 포함한다. 또한, 일 실시예에 따르면, 실리사이드 공정을 수행하기 전에 게이트 전극(121) 상의 캡핑 패턴(123)을 제거하는 공정이 수행될 수도 있다.
보다 상세하게 설명하면, 도 7에 도시된 것처럼, 게이트 전극(121) 및 SiGe 및 Si 에피택셜층들(150, 160)이 형성된 반도체 기판(10) 상에 금속막(170)을 컨포말하게 형성한다. 금속막(170)은 게이트 전극(121)의 상면 및 Si 에피택셜층(160)의 표면을 덮을 수 있다. 여기서, 금속막(170)은 코발트, 티타늄, 니켈, 텅스텐 및 몰리브덴과 같은 고융점 금속(refractory metal) 물질로 형성될 수 있다. 일 실시예에 따르면, 금속막(170)은 니켈막일 수 있으며, 니켈막은 순수 니켈 또는 니켈 합금일 수 있다. 그리고, 니켈 합금은 탄탈륨(Ta), 지르코늄(Zr), 타이타늄(Ti), 하프니움(Hf), 텅스텐(W), 코발트(Co), 백금(Pt), 몰리브데늄(Mo), 팔라디움(Pd), 바나디움(V) 및 니오비움(Nb)으로 이루어진 그룹에서 선택된 적어도 어느 하나를 함유할 수 있다.
금속막(170)을 형성한 후에는 열처리 공정을 수행하여, Si 에피택셜층(160) 및 게이트 전극(121)의 실리콘과 금속 물질을 반응시킨다. 일 실시예에서, 열처리 공정은 약 250 내지 800℃의 온도에서 수행될 수 있다. 또한, 열처리 공정시 고속 열처리(RTP, rapid thermal process) 장치 또는 로(furnace)가 이용될 수 있다.
열처리 공정을 수행함에 따라, Si 에피택셜층(160) 및 게이트 전극(121)의 실리콘이 소모되면서 그 자리에 실리사이드막(171)이 형성될 수 있다. 즉, Si 에피택셜층(160)의 일부 또는 전체가 금속 물질과 반응하여 실리사이드막(171)으로 변환될 수 있다. 이에 따라, 도 8에 도시된 것처럼, SiGe 에피택셜층(150)과 게이트 전극(121) 상에 실리사이드막(171)이 형성될 수 있다.
한편, Si 에피택셜층(160)이 SiGe 에피택셜층의 상면(150t)에만 국소적으로 형성된 경우, 실리사이드 공정을 수행할 때 금속막은 SiGe 에피택셜층의 경사면(150s)을 덮을 수 있다. 여기서, 금속막이 니켈로 이루어진 경우, 실리콘과 니켈의 반응속도가 실리콘 게르마늄과 니켈의 반응속도보다 빠르기 때문에, 열처리 공정시 니켈이 SiGe 에피택셜층(150)과 소자 분리막(20)의 경계의 실리콘 기판(10)과 반응할 수 있다. 이에 따라, SiGe 에피택셜층(150)과 인접한 반도체 기판(10)으로 니켈 실리사이드막(171)이 침식(encroachment)될 수 있다. 니켈 실리사이드막(171)의 침식은 접합 누설 전류(junction leakage current)를 발생시키며, PMOS 트랜지스터의 항복 전압(breakdown voltage) 특성을 열화시킬 수 있다.
이와 달리, 본 발명의 실시예들에서는 Si 에피택셜층(160)이 SiGe 에피택셜층의 상면(150t) 및 경사면(150s)을 덮고 있으므로, 도 7에 도시된 것처럼, 금속막(170), 즉, 니켈막은 SiGe 에피택셜층(150) 및 반도체 기판(10)과 이격될 수 있다. 이에 따라, 열처리 공정시 니켈 실리사이드막(171)이 반도체 기판(10)으로 침식되는 것을 억제할 수 있다. 또한, 실리사이드막(171)을 형성할 때, 금속막이 SiGe 에피택셜층(150)과 반응하여 게르마늄 원소를 포함하는 실리사이드막이 형성됨으로 인해 소오스/드레인 영역에서의 콘택 저항이 증가하는 것을 억제할 수 있다.
또한, Si 에피택셜층(160)의 전체가 금속막(170)과 반응하는 경우, 실리사이드막(171)은 SiGe 에피택셜층의 상면(150t) 및 경사면(150s)과 직접 접촉될 수 있다. 이와 달리, Si 에피택셜층(160)의 일부분이 금속막(170)과 반응하는 경우, 도 10에 도시된 것처럼, 실리사이드막(171)과 SiGe 에피택셜층(150) 사이에 Si 에피택셜층(162)이 잔류할 수 있다.
열처리 공정 후에는, 습식 식각 공정을 수행하여 실리사이드화되지 않은 미반응 금속막을 제거한다. 습식 식각 공정시 사용되는 식각액으로는 황산(H2SO4)과 과산화수소(H2O2)의 혼합 용액이 사용될 수 있다.
도 1, 도 2 및 도 9를 참조하면, 실리사이드막(171)들을 형성한 후, 실리사이드막(171)과 연결되는 콘택 플러그(190)들이 형성될 수 있다.
상세히 설명하면, 반도체 기판(10) 전면에 층간 절연막(185)(ILD; Inter-Layer Dielectric)을 형성한다. 구체적으로, 층간 절연막(185)은 O3-TEOS(O3-Tetra Ethyl Ortho Silicate), USG(Undoped Silicate Glass), PSG(PhosphoSilicate Glass), BSG(Borosilicate Glass), BPSG(BoroPhosphoSilicate Glass), FSG(Fluoride Silicate Glass), SOG(Spin On Glass), TOSZ(Tonen SilaZene) 또는 이들의 조합을 사용할 수 있다. 또한, 층간 절연막(185)은 CVD(Chemical Vapor Deposition) 방법 및 스핀 코팅 방법 등을 이용하여 형성될 수 있다. 층간 절연막(185)을 형성한 후에는 평탄화 공정이 수행될 수 있다. 한편, 층간 절연막(185)을 형성하기 전에, 반도체 기판(10) 상에 형성된 구조물들의 표면을 따라 컨포말하게 식각 정지막(180)이 형성될 수 있다. 예를 들어, 식각 정지막(180)은 실리콘 질화막 또는 실리콘 산질화막이 사용될 수 있다.
층간 절연막(185)을 형성한 후에는 실리사이드막(171)을 노출시키는 콘택 홀들이 형성될 수 있다. 콘택 홀들을 형성하는 것은 층간 절연막(185) 상에 마스크 패턴을 형성하는 것과, 마스크 패턴을 이용하여 층간 절연막(185)을 이방성 식각하는 것을 포함한다.
이후, 콘택 홀 내에 도전 물질을 매립하여 콘택 플러그(190)들을 형성한다. 콘택 플러그(190)들은 비저항이 낮은 금속 물질로 형성될 수 있다. 예를 들어, 콘택 플러그(190)는 코발트, 티타늄, 니켈, 텅스텐 또는 몰리브덴등의 금속막과, 티타늄질화막, 탄탈늄질화막, 텅스텐질화막 및 티타늄알루미늄질화막등의 도전성 금속질화막 중에서 선택된 적어도 어느 하나로 형성될 수 있다. 금속 물질로 이루어진 콘택 플러그(190)를 형성하기 전에, 금속 물질의 확산을 방지하기 위한 금속 배리어막이 형성될 수 있다. 금속 배리어막은 텅스텐질화막(WN), 탄탈늄질화막(TiN) 또는 티타늄질화막(TaN) 등의 도전성 금속 질화막으로 이루어질 수 있다.
일 실시예에서, 콘택 플러그(190)들이 게이트 실리사이드막(173)과 실리사이드막(171)들 각각에 연결되는 것을 도시하였으나, 콘택 플러그(190)들의 연결은 선택적으로 달라질 수 있다.
도 11 및 도 12는 본 발명의 실시예들에 따른 실리콘 에피택셜층의 형성 방법을 설명하기 위한 그래프들이다. 도 13a 내지 도 13d는 본 발명의 실시예들에 따른 실리콘 에피택셜층의 형성 방법을 개략적으로 나타내는 단면도들이다.
도 11을 참조하면, Si 에피택셜층(160)을 형성하는 것은 실리콘 소스 가스를 공급하는 단계(S1)와 선택적 식각 가스(S2)를 공급하는 단계를 번갈아서 적어도 2회이상 반복하는 것을 포함한다. 이 때, 실리콘 소스 가스로는 모노클로실레인(SiH3Cl), DCS(DiChloroSilane), TCS(TriChloroSilane), HCS(HexaChloroSilane), SiH4, Si2H6 또는 이들의 조합이 사용될 수 있다. 그리고, 선택적 식각 가스로는 HCl, Cl2 또는 이들의 조합이 사용될 수 있다. 또한, 반도체 기판(10)의 표면에 균일하게 실리콘 소스 가스가 공급될 수 있도록 실리콘 소스 가스는 캐리어 가스가 함께 공급될 수 있다. 예를 들어, 캐리어 가스는 수소 가스, 헬륨 가스, 질소 가스 및 아르곤 가스 중 적어도 어느 하나의 가스일 수 있다. 또한, Si 에피택셜층(160)의 성장 공정은 약 550 내지 700℃의 온도 및 수 mTorr 이하의 압력에서 진행될 수 있다.
보다 상세히 설명하면, 도 11 및 도 13a에 도시된 것처럼, SiGe 에피택셜층(150) 및 소자 분리막(20)이 형성된 반도체 기판(10)으로 실리콘 소스 가스를 공급한다(S1). 일 실시예에서는 클로라이드를 포함하지 않는 실레인(예를 들어, SiH4, Si2H6)이 이용될 수 있다. 실레인은 약 650℃에서 분해되어 실리콘 원자들을 생성한다. 이 때, 하이드로젠 클로라이드(HCl)와 같은 부산물이 생성되지 않으므로, 에피택셜층은 식각되지 않는다.
실리콘 소스 가스가 분해되어 생성된 실리콘 원자들은 SiGe 에피택셜층(150) 및 소자 분리막(20) 표면의 댕글링 본드들과 결합(bonding)한다. 이에 따라, SiGe 에피택셜층(150) 및 소자 분리막(20) 표면에 실리콘층(31, 32)이 형성될 수 있다. 여기서, SiGe 에피택셜층(150)과 Si 원소 간의 결합력이 절연막과 Si 원소 간의 결합력보다 강하다. 따라서, 실리콘 소스 가스가 공급되면, 소자 분리막(20) 상에서보다 SiGe 에피택셜층(150) 상에서 실리콘층(31)이 두껍게 형성될 수 있다. 또한, 실리콘 소스 가스가 공급될 때, 소자 분리막(20) 표면에는 실리콘 원소가 균일하게 결합되지 않고 일부분에만 결합될 수도 있다.
한편, 앞에서 설명한 것처럼, Si 에피택셜층(160)은 결정면 및 결정 방향에 따라 성장 속도가 다르기 때문에, SiGe 에피택셜층의 상면(150t)에 성장되는 실리콘층(31)의 두께와 SiGe 에피택셜층의 경사면(150s)에 성장되는 실리콘층(31)의 두께가 다를 수 있다. 즉, 실리콘층(31)은 반도체 기판(10)의 표면과 평행한 SiGe 에피택셜층의 상면(150t)에서보다 SiGe 에피택셜층의 경사면(150s) 상에서 얇을 수 있다.
또한, Si 에피택셜층(160)은 SiGe 에피택셜층(150)의 상면을 덮는 수평 영역과 SiGe 에피택셜층(150)의 측면을 덮는 측벽 영역을 포함한다. 여기서, Si 에피택셜층(160)의 수평 영역의 상면은 반도체 기판(10) 표면의 결정면과 동일한 제 1 결정 면을 가지며, Si 에피택셜층(160)의 측벽 영역의 측면은 제 1 결정 면과 다른 제 2 결정 면을 가질 수 있다. 여기서, 제 1 결정면은 (100)일 수 있으며, 제 2 결정면은 (111), (110) 및 (311) 중에서 적어도 어느 하나일 수 있다.
이어서, 도 11 및 도 13b를 참조하면, 실리콘 소스 가스의 공급을 중단하고, 선택적 식각 가스를 공급한다(S2). 선택적 식각 가스는 실리콘 원자들과 반응하는 할로겐 원소를 포함할 수 있다. 예를 들어, 선택적 식각 가스는 HCl, Cl2 또는 이들의 조합이 사용될 수 있다
보다 상세히 설명하면, 실리콘층(31, 32)이 형성된 반도체 기판(10)으로 선택적 식각 가스가 공급되면, 염소 원자들이 실리콘 원소들과 결합하여 소자 분리막(20) 및 SiGe 에피택셜층(150)에 결합된 실리콘 원자들을 이탈시킬 수 있다. 이 때, 소자 분리막(20)과 실리콘 원자들 간의 결합력이 약하기 때문에, 식각 가스에 의해 소자 분리막(20) 상의 Si 원소가 SiGe 에피택셜층(150) 상의 Si 원소보다 빠르게 제거될 수 있다. 따라서, 식각 가스 공급 단계에서, 소자 분리막(20) 상의 Si 원소가 선택적으로 제거되고, SiGe 에피택셜층(150) 상에는 실리콘 에피택셜층(33)이 형성될 수 있다.
한편, 선택적 식각 가스를 공급할 때, 식각 시간이 길어지면 SiGe 에피택셜층(150) 상에서도 실리콘층(33)이 완전히 제거될 수 있다. 이에 따라, 식각 가스의 유량은 실리콘 소스 가스의 유량보다 적을 수 있다. 또한, 식각 가스의 공급 시간이 실리콘 소스 가스의 공급 시간보다 짧을 수 있다.
이후, 도 11 및 도 13c에 도시된 것처럼, 실리콘 소스 가스를 다시 공급하면, 실리콘 원자들은 먼저 형성된 실리콘층(33)과 소자 분리막(20)의 표면에 결합될 수 있다. 이에 따라, SiGe 에피택셜층(150) 상에서만 실리콘층(33, 35)의 두께가 증가될 수 있다. 또한, 도 11 및 도 13d에 도시된 것처럼, 선택적 식각 가스를 공급하면 소자 분리막(20) 상에서 선택적으로 실리콘 원자들이 제거될 수 있다.
이 후, 실리콘 소스 가스 공급 단계와 선택적 식각 가스 공급 단계를 반복하면, SiGe 에피택셜층(150) 상에는 소정 두께의 실리콘층이 계속 잔류하고 소자 분리막(20) 상에서만 실리콘층(32)이 제거될 수 있다. 이에 따라, SiGe 에피택셜층(150) 상에만 실리콘층(33, 37)이 선택적으로 성장될 수 있다.
한편, 도 12에 도시된 실시예에 따르면, Si 에피택셜층(160)을 형성하는 것은 실리콘 소스 가스를 공급하는 단계(S1), 제 1 퍼지 단계(P1), 선택적 식각 가스를 공급하는 단계(S1) 및 제 2 퍼지 단계(P2)를 순차적으로 수행하되, 적어도 2회이상 반복하는 것을 포함한다.
실리콘 소스 가스를 공급한 후에는, 실리콘 소스 가스가 분해되어 반도체 기판(10)의 표면에 결합되지 않고 잔류하는 실리콘 원소들이 남을 수 있다. 이에 따라, 제 1 퍼지 단계(P1)에서는 퍼지 가스를 공급하여 반도체 기판(10) 상에 결합되지 않은 실리콘 원소들을 제거한다. 퍼지 가스로는 수소가스, 헬륨 가스, 질소 가스 또는 아르곤 가스가 사용될 수 있으며, 캐리어 가스와 다른 가스일 수 있다.
또한, 선택적 식각 가스를 공급한 후에는, 실리콘 원자와 염소 원자들이 반응하여 부산물들(예를 들어, SiCl4, SiCl3)이 생성될 수 있다. 이에 따라, 제 2 퍼지 단계(P2)에서는 퍼지 가스를 공급하여 반응 부산물들을 제거한다. 여기서, 퍼지 가스로는 수소가스, 헬륨 가스, 질소 가스 또는 아르곤 가스가 사용될 수 있으며, 캐리어 가스와 다른 가스일 수 있다.
이와 같이, Si 에피택셜층을 선택적으로 성장시키는 것은 복수의 반도체 기판(10)들이 장착되는 배치형(batch type) 고진공 화학기상증착 장비 내에서 진행될 수 있다.
도 14 내지 도 16은 본 발명의 제 2 실시예에 따른 반도체 소자의 제조방법을 나타내는 단면도들로서, 도 1의 Ⅰ-Ⅰ'선 및 Ⅱ-Ⅱ'선을 따라 자른 단면이다. 도 14 내지 도 16에 도시된 제 1 실시예와 실질적으로 동일한 구성 요소에 대해서는 동일한 도면 부호를 사용하며, 해당 구성 요소에 대한 상세한 설명은 생략하기로 한다.
제 2 실시예에 따르면, 실리사이드 공정을 수행하기 전에 소자 분리막(20) 상에서 Si 에피택셜층(160)의 일측을 덮는 절연 스페이서(165)가 형성될 수 있다.
상세히 설명하면, 도 14에 도시된 바와 같이, Si 에피택셜층(160)을 형성한 후에 반도체 기판(10)에 형성된 구조물들을 컨포말하게 덮는 절연막이 형성될 수 있다. 예를 들어, 절연막은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막 또는 이들의 조합으로 형성될 수 있다.
이어서, 에치백(etch back) 공정과 같은 전면 이방성 식각 공정을 이용하여, Si 에피택셜층(160)이 노출될 때까지 절연막을 식각한다. SiGe 에피택셜층(150)이 반도체 기판(10)의 표면 위로 융기되어 있으므로, SiGe 에피택셜층의 경사면(150s)을 덮는 Si 에피택셜층(160)의 일측에 절연 스페이서(165)가 형성될 수 있다. 이와 같이 절연 스페이서(165)를 형성할 때, 게이트 스페이서(130)의 일측에도 절연 스페이서(165)가 형성될 수도 있다.
이후, 도 15를 참조하면, 반도체 기판(10) 전면에 금속막(170)을 컨포말하게 형성한다. 여기서, 금속막(170)은 소자 분리막(20), 절연 스페이서(165), Si 에피택셜층(160) 및 게이트 전극(121)을 덮는다. 또한, Si 에피택셜층(160)의 일측은 절연 스페이서(165)에 의해 덮여 있으므로, 반도체 기판(10)의 표면과 평행한 Si 에피택셜층(160)의 상면(160t)만 금속막(170)과 접촉될 수 있다. 여기서, 금속막(170)은 코발트, 티타늄, 니켈, 텅스텐과 같은 고융점 금속으로 형성될 수 있다.
이어서, 도 7을 참조하여 설명한 것처럼, 열처리 공정을 수행하여, 금속막(170)을 Si 에피택셜층(160)과 반응시켜, 도 16에 도시된 것처럼, SiGe 에피택셜층(150) 상에 실리사이드막(171)을 형성한다. 이 때, SiGe 에피택셜층의 경사면(150s)과 금속막(170) 사이에 Si 에피택셜층(160) 및 절연 스페이서(165)가 개재되어 있으므로, 금속 물질이 반도체 기판(10) 및 SiGe 에피택셜층(150)과 반응하는 것을 방지할 수 있다. 즉, 니켈 실리사이드막(171)이 반도체 기판(10)으로 침식되는 것을 방지할 수 있다.
한편, 이 실시예에서, 실리사이드 공정을 수행할 때 금속막(170)은 Si 에피택셜층의 상면(160t)과 국소적으로 접촉되므로, SiGe 에피택셜층의 경사면(150s)을 덮고 있는 Si 에피택셜층(160)은 금속막(170)과 반응하지 않고 잔류할 수도 있다. 즉, 실리사이드막(171)은 SiGe 에피택셜층의 상면(150t)에 국소적으로 형성될 수도 있다.
도 17 내지 도 20은 본 발명의 제 3 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다. 도 1 내지 도 10에 도시된 제 1 실시예와 실질적으로 동일한 구성 요소에 대해서는 동일한 도면 부호를 사용하며, 해당 구성 요소에 대한 상세한 설명은 생략하기로 한다.
제 3 실시예에 따르면, 실리사이드 공정은 콘택 홀들이 정의된 층간 절연막(185)을 형성한 후에 진행될 수도 있다.
구체적으로, 도 17을 참조하면, Si 에피택셜층(160)을 형성한 후에, 반도체 기판(10) 전면에 식각 정지막(180) 및 층간 절연막(185)을 순서대로 적층한다. 이 때, 식각 정지막(180)은 도 9를 참조하여 설명한 것처럼, 반도체 기판(10) 상에 형성된 구조물들의 표면을 따라 컨포말하게 형성될 수 있다. 또한, 층간 절연막(185)은 단차 도포성이 우수한 절연물질을 증착하여 형성될 수 있다. 이후, 층간 절연막(185)을 패터닝하여 Si 에피택셜층(160)을 노출시키는 콘택 홀들을 형성한다. 이 때, 게이트 전극(121)을 노출시키는 콘택 홀이 함께 형성될 수도 있다.
이어서, 도 18을 참조하면, 콘택 홀들이 형성된 층간 절연막(185) 상에 금속막(170)을 컨포말하게 형성하고, 열처리 공정을 수행하여 실리사이드막들(171, 173)을 형성한다.
열처리 공정을 수행함에 따라, 금속막(170)은 Si 에피택셜층(160)과 반응하여 실리콘 원소와 금속 원소로 이루어진 실리사이드막(171)을 형성할 수 있다. 열처리 공정을 수행할 때, SiGe 에피택셜층(150)은 Si 에피택셜층(160) 및 식각 정지막(180)에 의해 커버될 수 있다. 즉, 실리사이드막(171)을 형성하기 위한 열처리 공정 동안 금속막(170)은 SiGe 에피택셜층(150)과 이격될 수 있다. 따라서, 실리사이드막(171)을 형성할 때, 금속 원소가 반도체 기판(10)으로 침투하는 것을 방지할 수 있다.
이 실시예에서처럼, 층간 절연막(185)에 콘택 홀들을 형성한 후에 실리사이드막(171)을 형성하는 경우, 콘택 홀에 노출된 Si 에피택셜층(160)의 일부분만 금속막(170)과 반응하여 실리사이드막(175)이 형성될 수도 있다. 즉, SiGe 에피택셜층의 상면(150t)에 국소적으로 실리사이드막(175)이 형성되며, SiGe 에피택셜층의 경사면(150s) 상에는 Si 에피택셜층(164)이 잔류할 수도 있다.
이후, 층간 절연막(185) 상에서 Si 에피택셜층(160)과 반응하지 않은 금속막(170)을 제거하고, 도 19에 도시된 것처럼, 콘택 홀 내에 도전 물질을 매립하여 실리사이드막과 접촉하는 콘택 플러그들(190)을 형성한다.
한편, 도 20에 도시된 실시예에 따르면, 층간 절연막(185)에 콘택 홀들을 형성할 때, 콘택 홀들은 SiGe 에피택셜층의 경사면(150s) 상부를 노출시킬 수 있다. 이 때, Si 에피택셜층(160)이 SiGe 에피택셜층의 상면(150t)에 선택적으로 형성된 경우, SiGe 에피택셜층의 경사면(150s)이 콘택 홀에 의해 노출될 수 있다. 이러한 경우, 실리사이드 공정을 수행할 때 금속막(170)이 SiGe 에피택셜층(150)과 직접 반응할 수 있으며, 금속막(170)은 SiGe 에피택셜층(150)과 소자 분리막(20)의 경계로 침투하여 금속막(170)이 반도체 기판(10)과 반응할 수도 있다.
반면, 이 실시예에 따르면, 도 20에 도시된 것처럼, 층간 절연막(185)에 콘택 홀들을 형성할 때, 콘택 홀들은 SiGe 에피택셜층의 경사면(150s)을 덮는 Si 에피택셜층(150)을 노출시킬 수 있다. 즉, 콘택 홀들이 SiGe 에피택셜층의 경사면(150s) 상부에 형성되더라도 SiGe 에피택셜층의 경사면(150s)은 Si 에피택셜층(150)에 의해 커버되므로, 금속막(170)이 SiGe 에피택셜층(150)과 반응하거나, 반도체 기판(10)과 반응하는 것을 방지할 수 있다.
도 21은 본 발명의 제 4 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다. 도 22 내지 도 27은 본 발명의 제 4 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.
제 4 실시예에 따른 반도체 소자의 제조 방법에 따르면, 반도체 기판(10) 상에 NMOS 및 PMOS 트랜지스터들이 형성될 수 있다. PMOS 트랜지스터는 앞에서 설명한 것처럼, 채널영역에서 정공의 이동도를 향상시킴에 따라, 동작 특성이 향상될 수 있다. 그리고, NMOS 트랜지스터는 앞에서 설명한 것처럼, 채널영역에서 전자의 이동도를 향상시킴에 따라, 동작 특성이 향상될 수 있다. 전자의 이동도를 향상시키기 위해, NMOS 트랜지스터의 채널 영역에는 인장 스트레스(tensile stress)가 가해질 수 있으며, NMOS 트랜지스터의 채널 영역이 <110> 방향과 평행하게 형성될 수 있다.
구체적으로, 도 21 및 도 22를 참조하면, PMOS 트랜지스터들이 형성되는 제 1 영역(100)과 NMOS 트랜지스터들이 형성되는 제 2 영역(200)을 포함하는 반도체 기판(10)이 제공된다(S110).
반도체 기판(10)은 제 1 실시예에서 설명한 것처럼, (100)면을 갖는 실리콘 기판일 수 있으며, 제 1 및 제 2 영역들(100, 200)에는 각각 소자 분리막(20)에 의해 제 1 및 제 2 활성 영역이 정의될 수 있다. 그리고, 반도체 기판(10)은 CMOS 트랜지스터들을 형성하기 위해 n형 또는 p형 불순물이 도핑된(doped) 웰들(101, 201)을 포함할 수 있다. 예를 들어, 제 1 활성 영역은 PMOS 트랜지스터들을 형성하기 위한 N형 웰(101)을 포함할 수 있으며, 제 2 활성 영역은 NMOS 트랜지스터들을 형성하기 위한 P형 웰(201)을 포함할 수 있다.
다음으로, 제 1 및 제 2 영역들(100, 200) 상에 각각 제 1 및 제 2 게이트 전극들(121, 221)을 형성한다(S120).
구체적으로, 제 1 및 제 2 영역들(100, 200) 상에 게이트 절연막, 게이트 도전막 및 캡핑막을 순차적으로 적층한 후 패터닝하여 제 1 영역(100)에 제 1 게이트 전극들(121)을 형성하고 제 2 영역(200)에 제 2 게이트 전극들(221)을 형성한다.
제 1 영역(100) 상에는 제 1 게이트 절연막(111), 제 1 게이트 전극(121) 및 제 1 캡핑 패턴(123)이 순서대로 적층된 제 1 게이트 구조체가 형성될 수 있으며, 제 2 영역(200) 상에는 제 2 게이트 절연막(211), 제 2 게이트 전극(221) 및 제 2 캡핑 패턴(223)이 순서대로 적층된 제 2 게이트 구조체가 형성될 수 있다. 여기서, 제 1 및 제 2 게이트 절연막(111, 211)들은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 및 고유전막들 중의 적어도 하나를 포함할 수 있으며, 이들의 조합으로 형성될 수 있다. 제 1 및 제 2 게이트 전극들(121, 221)은 n형 또는 p형 불순물이 도우프된 폴리실리콘(poly-Si)막으로 형성될 수 있다. 이와 달리, 제 1 및 제 2 게이트 전극들(121, 221)은 금속 물질을 포함할 수 있다.
이어서, 제 1 및 제 2 게이트 전극들(121, 221)의 양 측벽에 제 1 스페이서(130a, 230a)가 형성될 수 있다.
상세히 설명하면, 제 1 및 제 2 게이트 전극들(121, 221)을 포함하는 반도체 기판(10) 전면에 절연막을 증착한 후, 에치백(etch back)과 같은 전면 이방성 식각 공정을 이용하여, 제 1 및 제 2 게이트 전극들(121, 221)의 양 측벽에 제 1 스페이서(130a, 230a)를 형성한다. 제 1 스페이서(130a, 230a)는, 산화막 및 질화막을 순서대로 형성하고, 산화막 및 질화막은 에치백하여 형성할 수도 있다. 이러한 경우, 제 1 스페이서(130a, 230a)는 L자 형태의 하부 스페이서와 상부 스페이서로 구성될 수 있다. 여기서, 산화막은 제 1 및 제 2 게이트 전극들(121, 221)을 패터닝할 때 발생될 수 있는 제 1 및 제 2 게이트 전극들(121, 221)의 측벽 상의 손상(damage)를 제거할 수 있으며, 제 1 및 제 2 게이트 전극들(121, 221)과 질화막 사이의 버퍼 역할을 할 수 있다.
다음으로, 제 1 및 제 2 게이트 전극들(121, 221)의 양측에 N형 또는 P형의 불순물 영역들을 형성한다(S130). 일 실시예들에서, N형 또는 P형의 불순물 영역들은 저농도 및 고농도 불순물 영역들을 포함한다.
상세히 설명하면, 제 1 영역(100)을 마스크로 차단하고, 제 2 게이트 전극(221) 양측의 반도체 기판(10)에 N형 불순물(예를 들어, As)을 이온주입하여, N형 저농도 불순물 영역(241)을 형성한다. N형 저농도 불순물 영역(241)을 형성한 후에, 제 2 게이트 전극(221) 아래에 펀치 스루우 현상을 방지하기 위한 P형의 채널 불순물 영역이 형성될 수 있다.
이어서, 제 2 영역(200)을 마스크로 차단하고, 제 1 게이트 전극(121) 양측의 반도체 기판(10)에 P형 불순물(예를 들어, B)을 이온주입하여, P형 저농도 불순물 영역(141)을 형성한다. P형 저농도 불순물 영역(141)을 형성한 후에, 제 1 게이트 전극(121) 아래에 펀치 스루우 현상을 방지하기 위한 N형의 채널 불순물 영역이 형성될 수 있다.
이어서, 도 23을 참조하면, 제 1 및 제 2 게이트 전극들(121, 221) 양측에 제 2 스페이서(130b, 230b)를 형성한다.
상세히 설명하면, 제 1 및 제 2 게이트 전극들(121, 221)과 제 1 스페이서(130a, 230a)가 형성된 반도체 기판(10) 전면에 절연막을 증착한 후, 에치백(etch back)과 같은 전면 이방성 식각 공정을 수행함으로써 제 1 스페이서(130a, 230a)의 측벽에 제 2 스페이서(130b, 230b)가 형성될 수 있다. 여기서, 제 2 스페이서(130b, 230b)는, 제 1 스페이서(130a, 230a)처럼, 산화막 및 질화막을 순서대로 형성하고, 산화막 및 질화막은 에치백하여 형성할 수도 있다. 이에 따라, 제 2 스페이서(130b, 230b) 또한, L자형태의 하부 스페이서와, 상부 스페이서로 구성될 수 있다. 제 2 스페이서(130b, 230b)를 형성함에 따라, 후속해서 형성될 고농도 불순물 영역들(143, 243) 간의 거리를 증가시킬 수 있다. 즉, 소오스/드레인 영역 간의 거리인 채널 길이가 감소되는 단채널 효과(short channel effect)를 해소할 수 있다.
이어서, N형 및 P형 고농도 불순물 영역들(143, 243)을 형성한다.
고농도 불순물 영역들(143, 243)을 형성하는 것은, 저농도 불순물 영역들(141, 241)을 형성한 것처럼, 제 1 영역(100)과 제 2 영역(200)을 번갈아서 진행된다. 즉, 제 1 영역(100)을 마스크로 차단하고, 제 2 게이트 전극(221) 양측의 반도체 기판(10)에 N형 불순물(예를 들어, As)을 이온주입하여, N형 고농도 불순물 영역(243)을 형성한다 이어서, 제 2 영역(200)을 마스크로 차단하고, 제 1 게이트 전극(121) 양측의 반도체 기판(10)에 P형 불순물(예를 들어, B)을 이온주입하여, P형 고농도 불순물 영역(143)을 형성한다.
한편, N형 및 P형 고농도 불순물 영역들(143, 243)을 형성할 때, 불순물들의 이온주입 깊이는 후속해서 형성될 리세스 영역(105)의 깊이보다 깊을 수 있다. 여기서, P형 고농도 불순물 영역(143)은 앞에서 상술한 것처럼, 이방성 및/또는 등방성 식각 공정을 이용하여 반도체 기판(10)에 리세스 영역(105)을 형성할 때, 리세스 영역(105)이 N형 웰(101)을 노출시켜 리세스 영역(105)의 표면에 형성된 결함들을 통해 누설 전류가 발생하는 것을 방지할 수 있다.
도 21 및 도 24를 참조하면, 제 1 게이트 전극(121) 양측의 반도체 기판(10)에 소정 깊이의 리세스 영역(105)을 형성한다(S140).
리세스 영역(105)을 형성하는 것은 제 2 영역(200)을 마스크로 덮은 후, 도 5를 참조하여 설명한 것처럼, 제 1 게이트 전극(121), 제 1 및 제 2 스페이서(130b, 230b)들 및 소자 분리막(20)을 식각 마스크로 이용하여 이방성 및/또는 등방성 식각하여 형성될 수 있다.
이 실시예에서, 리세스 영역(105)은 소자 분리막(20)과 제 1 게이트 전극(121) 사이와 제 1 게이트 전극들(121) 사이에 형성될 수 있다. 또한, 리세스 영역(105)은 도 5를 참조하여 설명한 것처럼, 반도체 기판(10)의 표면과 평행한 상면과, 제 1 게이트 전극들(121)에 인접한 제 1 및 제 2 측면들에 의해 정의될 수 있다. 리세스 영역(105)이 게이트 전극과 소자 분리막(20) 사이의 반도체 기판(10)에 형성될 경우, 리세스 영역(105)은 소자 분리막(20)의 측벽을 노출시킬 수 있다. 구체적으로, 이방성 및 등방성 식각 공정에 의해 형성된 리세스 영역(105)의 저면은 (100)면을 가질 수 있으며, 제 1 및 제 2 측면들은 제 1 게이트 전극(121)과 인접할 수 있으며, (111) 면 (110) 면 및 (311) 면을 가질 수 있다. 또한, 제 1 게이트 전극(121)과 인접한 제 1 측면 및 제 2 측면은 180도 이하의 각도를 가질 수 있다. 즉, 리세스 영역(105)은 제 1 및 제 2 측면들에 의해 정의된 가장자리(edge)를 가질 수 있다. 다시 말해, 또한, 리세스 영역(105)은 제 1 및 제 2 스페이서들(130a, 130b) 하부에서 (111)면을 갖는 두 개의 측면들에 의해 뾰족한 쐐기 형상을 가질 수 있다.
도 21 및 도 25를 참조하면, 리세스 영역(105)에 SiGe 에피택셜층(150)을 성장시킨다(S150).
SiGe 에피택셜층(150)은 도 6을 참조하여 설명한 것처럼, 선택적 에피택셜 성장 공정을 수행하여 형성될 수 있다. 즉, 실리콘 소스 가스, 게르마늄 소스 가스 및 선택적 식각 가스를 동시에 공급하여 수행될 수 있다. 또한, SiGe 에피택셜층(150)을 성장시키는 동안 p형 불순물(예를 들어, 보론(B))이 도핑될 수 있다. 또한, 게르마늄 소스 가스의 유량은 SiGe 에피택셜층(150)을 형성하는 동안 달라질 수 있다. 즉, SiGe 에피택셜층(150)은 하부에서보다 상부에서 Ge의 농도가 클 수 있다. 또한, SiGe 에피택셜층(150)은 결정면 및 결정 방향에 따른 성장 속도 차이에 의해 스페이서 하부의 쐐기 형상의 리세스 영역(105)을 채울 수 있으며, 소자 분리막(20)과 인접한 부분에서는 경사면(150s)(faceted edge)을 형성할 수 있다.
또한, SiGe 에피택셜층(150)은 반도체 기판(10)의 표면 위로 융기(elevated)될 수 있다. 즉, SiGe 에피택셜층(150)은 반도체 기판(10)의 표면 위로 융기된 상부 영역을 가질 수 있다. 여기서, 상부 영역은 반도체 기판(10)의 표면과 평행한 상면(150t)과, 상면(150t)에 대해 경사면(150s)들에 의해 정의될 수 있다. 앞에서 상술한 것처럼, SiGe 에피택셜층(150)의 성장 속도가 결정면 및 결정 방향에 따라 다르기 때문에, SiGe 에피택셜층(150)은 상부 영역에 경사면(150s)을 가질 수 있다.
이 실시예에서, 제 1 게이트 전극들(121) 사이에 형성되는 SiGe 에피택셜층(150)은 제 1 및 제 2 스페이서들(130a, 130b)에 의해 성장이 제한될 수 있다. 즉, 제 1 게이트 전극들(121) 사이의 SiGe 에피택셜층(150)은 제 2 스페이서(130b)에 직접 접촉될 수 있다. 즉, 반도체 기판(10) 표면 위로 융기된 SiGe 에피택셜층의 경사면(150s)이 제 2 스페이서(130b)와 직접 접촉될 수 있다.
이어서, 선택적 에피택셜 성장 공정을 수행하여, SiGe 에피택셜층(150) 상에 Si 에피택셜층(160)을 형성한다(S160).
Si 에피택셜층(160)은 도 6을 참조하여 설명한 것처럼, 반도체 기판(10)의 표면에 평행한 상면(150t)과, 상면(150t)에 대해 경사면(150s)들을 갖는 SiGe 에피택셜층(150) 상에 형성될 수 있다. 이와 같은 Si 에피택셜층(160)은, 도 12 내지 도 17을 참조하여 설명한 것처럼, 실리콘 소스 가스를 공급하는 단계와 선택적 식각 가스를 공급하는 단계를 번갈아서 적어도 2회이상 반복 수행하여 형성될 수 있다.
도 21 및 도 26을 참조하면, 제 1 및 제 2 영역들(100, 200)에 실리사이드막들(171, 173, 271, 273)을 형성한다(S170).
구체적으로, 제 1 및 제 2 영역들(100, 200)에 실리사이드막들(171, 173, 271, 273)을 동시에 형성하기 위해, 제 2 영역(200)을 덮는 마스크를 제거하는 공정이 수행될 수 있다. 또한, 폴리실리콘으로 이루어진 제 1 및 제 2 게이트 전극들(121, 221) 상의 캡핑 패턴들(123, 121)을 제거하는 공정이 수행될 수 있다.
이어서, 제 1 및 제 2 영역들(100, 200) 전면에 금속막을 컨포말하게 형성하고, 열처리 공정을 수행한다. 이 때, 금속막으로는 니켈막이 이용될 수 있다. 그리고, 열처리 공정을 수행함에 따라, 금속막이 제 1 영역(100)의 Si 에피택셜층(160)들과, 제 1 및 제 2 게이트 전극들(121, 221), 그리고 제 2 영역(200)의 불순물 영역들(243)과 반응한다. 이에 따라, 제 1 영역(100)의 SiGe 에피택셜층(150)과 제 2 영역(200)의 불순물 영역들(243) 상에 실리사이드막들(171, 173, 271, 273)이 형성될 수 있으며, 제 1 및 제 2 게이트 전극들(121, 221) 상에 게이트 실리사이드막들(173, 273)이 형성될 수 있다. 또한, 열처리 공정 후에는 실리콘과 반응하지 않은 미반응 금속막을 제거하는 공정이 수행된다.
도 21 및 도 27을 참조하면, 실리사이드막들(171, 173, 271, 273)과 연결되는 콘택 플러그들(190, 290)이 형성될 수 있다.
구체적으로, 실리사이드막들(171, 173, 271, 273)을 형성한 후, 도 9를 참조하여 설명한 것처럼, 반도체 기판(10) 전면에 식각 정지막(180) 및 층간 절연막(185)이 순차적으로 형성될 수 있다. 한편, 다른 실시예에 따르면, 층간 절연막(185)을 형성하기 전에 NMOS 트랜지스터를 포함하는 반도체 기판(10) 상에 스트레스막이 형성될 수도 있으며, 스트레스막은 NMOS 트랜지스터의 채널 영역에 인장 스트레스를 인가할 수 있다.
식각 정지막(180) 및 층간 절연막(185)을 패터닝하여 실리사이드막(171, 173, 271, 273)을 노출시키는 콘택 홀들(186)이 형성될 수 있다. 여기서, 콘택 홀은 SiGe 에피택셜층의 상면(150t)에 형성된 실리사이드막(171)의 일부분을 노출시킬 수 있다. 이후, 콘택 홀 내에 도전 물질을 매립하여 콘택 플러그들(190, 290)을 형성한다. 이 실시예에서, 콘택 플러그들(190, 290)이 게이트 실리사이드막(173, 273)과 실리사이드막들(171, 271) 각각에 연결되는 것을 도시하였으나, 콘택 플러그들(190, 290)의 연결은 선택적으로 달라질 수 있다.
또한, 다른 실시예에서, SiGe 에피택셜층(150) 상에 형성된 실리사이드막(171)을 노출시키는 콘택 홀을 형성할 때, 도 20에 도시된 것처럼, 콘택 홀은 SiGe 에피택셜층의 경사면(150s) 상부에 형성될 수 있다. 이와 같이 콘택 홀이 SiGe 에피택셜층의 경사면(150s) 상부에 형성될 때, SiGe 에피택셜층의 경사면(150s)이 실리사이드막(171)에 의해 덮여 있으므로, 콘택 홀 내에 채워지는 콘택 플러그가 SiGe 에피택셜층(150)과 직접 접촉하여 콘택 저항이 증가되는 것을 방지할 수 있다.
한편, 다른 실시예에 따르면, 도 17 내지 도 19를 참조하여 설명한 것처럼, 실리사이드 공정은 콘택 홀이 정의된 층간 절연막(185)을 형성한 후에 진행될 수도 있다. 즉, 이 실시예에서, 층간 절연막(185)에는 제 1 영역(100)의 Si 에피택셜층(160) 또는 제 2 영역(200)의 불순물 영역을 노출시키는 콘택 홀들(186)이 형성될 수 있다. 그리고 나서, 콘택 홀에 노출된 Si 에피택셜층(160)과 n형 고농도 불순물 영역(243)을 금속 물질과 반응시켜 실리사이드막들(171, 173, 271, 273)을 형성할 수 있다. 이러한 경우, 콘택 홀에 노출된 Si 에피택셜층(160)의 일부분만 금속막과 반응하여 실리사이드막이 국소적으로 형성될 수 있다. 즉, SiGe 에피택셜층의 상면(150t)과, n형 고농도 불순물 영역(143) 상에 국소적으로 실리사이드막(271, 273)이 형성되며, SiGe 에피택셜층의 경사면(150s) 상에는 Si 에피택셜층(164)이 잔류할 수 있다.
도 28은 본 발명의 제 5 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다. 도 29는 제 5 실시예에 따른 반도체 소자의 제조 방법에 의해 제조된 반도체 소자의 단면도이다. 도 28 및 도 29에서, 도 1 내지 도 10과 실질적으로 동일한 구성 요소에 대해서는 동일한 도면 부호를 사용하며, 해당 구성 요소에 대한 상세한 설명은 생략하기로 한다.
도 28에 도시된 제 5 실시예에 따른 제조 방법은, NMOS 트랜지스터의 N형 소오스/드레인 영역에 SiC 에피택셜층을 형성하는 것을 제외하고, 제1 실시예와 동일하므로 SiC 에피택셜층을 형성하는 단계만을 설명한다.
상세하게, 도 28 및 도 29를 참조하면, 제 1 영역(100)에 SiGe 에피택셜층(150)을 형성하기 전에 제 2 영역(200)의 제 2 게이트 전극(221) 양측에 SiC 에피택셜층(250)이 형성될 수 있다(S65).
NMOS 트랜지스터가 형성되는 제 2 영역(200)의 반도체 기판(10)에 SiC 에피택셜층(250)이 형성되면, 탄소의 격자상수가 실리콘의 격자 상수보다 작기 때문에 실리콘 격자가 수축될 수 있다. 그리고, 제 2 게이트 전극(221) 양측에서 실리콘 격자의 수축은 제 2 게이트 전극(221) 하부의 채널 영역에 인장 스트레스를 발생시킬 수 있다. 이에 따라 제 2 게이트 전극(221) 하부에서 전자의 이동도가 향상될 수 있다.
이와 같이, 제 2 게이트 전극(221) 양측에 SiC 에피택셜층(250)을 형성하는 것은, 제 2 게이트 전극(221) 양측의 반도체 기판(10)에 트렌치를 형성하는 것과, 선택적 에피택셜 성장 공정을 수행하여 트렌치 내에 SiC 에피택셜층(250)을 성장시키는 것을 포함한다.
트렌치는 제 2 게이트 전극(221) 및 스페이서를 식각 마스크로 이용하여, 이방성 식각함으로써 형성될 수 있다.
SiC 에피택셜층(250)을 형성하기 위핸 선택적 에피택셜 성장 공정은 실리콘 소스 가스, 탄소 소스 가스 및 선택적 식각 가스를 동시에 공급하여 수행될 수 있다. 여기서, 실리콘 소스 가스로는 DCS(DiChloroSilane), TCS(TriChloroSilane), HCS(HexaChloroSilane), SiH4, Si2H6 또는 이들의 조합을 사용할 수 있고, 탄소 소스 가스는 SiH3CH3, CH4, C2H4 또는 이들의 조합을 사용할 수 있다. 또한, 선택적 식각 가스는 HCl, Cl2 또는 이들의 조합을 사용할 수 있다.
도 30은 본 발명의 제 6 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다. 도 31 내지 도 34는 본 발명의 제 6 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다. 도 31 내지 도 34에서 도 1 내지 도 10과 실질적으로 동일한 구성 요소에 대해서는 동일한 도면 부호를 사용하며, 해당 구성 요소에 대한 상세한 설명은 생략하기로 한다.
도 31 내지 도 34에 도시된 제 6 실시예에 따른 제조 방법은, 소오스/드레인 전극들에 실리사이드막들(171, 271)을 형성한 후에 금속 게이트 전극(187, 287)을 형성하는 것을 제외하고, 제1 실시예와 동일하므로, 제 1 실시예와의 차이점에 대해서만 설명한다.
도 30 및 도 31을 참조하면, 제 1 및 제 2 영역들(100, 200) 전면에 금속막을 컨포말하게 형성하고, 열처리 공정을 수행한다. 이 때, 금속막은 Si 에피택셜층(160)과, n형 불순물 영역들과 반응할 수 있다. 그리고, 제 1 및 제 2 게이트 전극들(121, 221) 상부에는 제 1 및 제 2 캡핑 패턴들(123, 223)이 형성되어 있으므로 실리사이드막은 형성되지 않는다. 이에 따라, PMOS 트랜지스터 및 NMOS 트랜지스터의 소오스/드레인 영역들 상에 실리사이드막들이 형성될 수 있다.
이후, 도 9를 참조하여 설명한 것처럼, 식각 정지막(180) 및 층간 절연막(185)을 순차적으로 형성한다. 이 실시예에서, 제 1 및 제 2 게이트 전극들(121, 221)을 덮는 층간 절연막(185)을 증착한 후, 평탄화 공정을 수행하여 제 1 및 제 2 게이트 전극들(121, 221) 상의 제 1 및 제 2 캡핑 패턴들(123, 223)을 노출시킬 수 있다.
이어서, 도 32를 참조하면, 제 1 및 제 2 캡핑 패턴들(123, 223)과 제 1 및 제 2 게이트 전극들(121, 221)을 선택적으로 제거하여, 제 1 및 제 2 영역들(100, 200)의 제 1 및 제 2 게이트 절연막들(111, 211)을 노출시키는 개구부들(186)을 형성한다. 제 1 및 제 2 게이트 전극들(121, 221)은 제 1 스페이서(130a, 230a) 및 제 1 및 제 2 게이트 절연막들(123, 223)에 대한 식각 선택성을 갖는 식각액을 이용한 습식 식각 공정을 수행하여 제거될 수 있다.
다음으로, 도 33을 참조하면, 개구부(186) 내에 금속 게이트 전극들(187, 287)을 형성한다. 금속 게이트 전극(187, 287)을 형성하는 것은, 단차 도포성이 우수한 증착 방법을 이용하여 층간 절연막(185) 상에 개구부(186)를 채우는 금속막을 형성하고, 층간 절연막(185)이 노출될 때까지 금속막을 평탄화하는 것을 포함할 수 있다. 여기서, 금속 게이트 전극들(187, 287)은 알루미늄, 텅스텐 또는 몰리브덴등의 금속막과, 티타늄질화막, 탄탈늄질화막, 텅스텐질화막 및 티타늄알루미늄질화막등의 도전성 금속질화막 중에서 선택된 적어도 어느 하나로 형성될 수 있다. 또한, 금속막을 형성하기 전에 개구부의 내벽에 금속 물질의 확산을 방지하기 위한 금속 배리어막이 형성될 수 있다. 예를 들어, 금속 배리어막은 텅스텐질화막(WN), 탄탈늄질화막(TiN) 또는 티타늄질화막(TaN) 등의 도전성 금속 질화막으로 이루어질 수 있다.
이어서, 도 34에 도시된 바와 같이, 실리사이드막(171, 173, 271, 273)과 연결되는 콘택 플러그들(190, 290)이 형성될 수 있다.
한편, 실리사이드 공정은 콘택 홀들을 형성한 후에 진행될 수 있다. 이에 따라, 도 35에 도시된 것처럼, 콘택홀에 노출된 Si 에피택셜층(160)의 일부분만 금속막과 반응하여 실리사이드막(175, 275)이 형성될 수 있다. 즉, SiGe 에피택셜층의 상면(150t)과, n형 고농도 불순물 영역(143) 상에 국소적으로 실리사이드막(275)이 형성되며, SiGe 에피택셜층의 경사면(150s) 상에는 Si 에피택셜층(164)이 잔류할 수 있다.
본 발명의 실시예들에 따른 PMOS 트랜지스터는 로직 회로에 이용될 수 있다. 예를 들어, PMOS 트랜지스터는 CMOS 인버터 또는 SRAM 장치를 구성할 수 있다.
도 36은 본 발명의 실시예들에 따른 CMOS 트랜지스터를 포함하는 인버터의 회로도이다.
상세하게, CMOS 인버터는 PMOS 트랜지스터(P3)와 NMOS 트랜지스터(N3)로 구성된다. PMOS 및 NMOS 트랜지스터들은 구동전압(Vdd)과 접지전압(GND) 사이에 직렬 연결되며, PMOS 및 NMOS 트랜지스터의 게이트들에는 입력 신호가 공통으로 입력된다. 그리고, PMOS 및 NMOS 트랜지스터의 드레인들에서 출력 신호가 공통으로 출력된다. 또한, PMOS 트랜지스터의 소오스에는 구동전압이 인가되며, NMOS 트랜지스터의 소오스에는 접지전압이 인가된다. 이러한 CMOS 인버터는 입력 신호(IN)를 인버팅하여 출력 신호(OUT)로 출력한다. 다시 말해, 인버터의 입력 신호로 로직 레벨 '1'이 입력될 때, 출력신호로서 로직 레벨 '0'이 출력되며, 인버터의 입력 신호로 로직 레벨 '0'이 입력될 때, 출력신호로서 로직 레벨 '1'이 출력된다.
도 37은 본 발명의 실시예들에 따른 CMOS 트랜지스터를 포함하는 SRAM 장치의 회로도이다.
SRAM 소자에서 하나의 셀은 제 1 및 제 2 액세스 트랜지스터(Q1, Q2), 제 1 및 제 2 구동 트랜지스터(Q3, Q4) 및 제 1 및 제 2 부하 트랜지스터(Q5, Q6)로 구성된다. 이 때, 제 1 및 제 2 구동(풀-업) 트랜지스터(Q3, Q4)의 소스는 접지 라인(VSS)에 연결되며, 제 1 및 제 2 부하(드라이버) 트랜지스터(Q5, Q6)의 소스는 전원 라인(VDD)에 연결된다.
그리고, NMOS 트랜지스터로 이루어진 제 1 구동 트랜지스터(Q3)와 PMOS 트랜지스터로 이루어진 제 1 부하 트랜지스터(Q5)가 제 1 인버터(inverter)를 구성하며, NMOS 트랜지스터로 이루어진 제 2 구동 트랜지스터(Q4)와 PMOS 트랜지스터로 이루어진 제 2 부하 트랜지스터(Q6)가 제 2 인버터(inverter)를 구성한다.
제 1 및 제 2 인버터의 출력단은 제 1 액세스 트랜지스터(Q1)과 제 2 액세스 트랜지스터(Q2)의 소스와 연결된다. 또한 제 1 및 제 2 인버터는 하나의 래치(latch) 회로를 구성하기 위해 입력단과 출력단이 서로 교차되어 연결된다. 그리고, 제 1 및 제 2 액세스 트랜지스터(Q1, Q2)의 드레인은 각각 제 1 및 제 2 비트 라인(BL, /BL)이 연결된다.
이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.

Claims (30)

  1. 소자분리막에 의해 활성 영역이 정의된 반도체 기판을 제공하고,
    상기 활성 영역 상에 게이트 절연막을 개재하여 게이트 전극을 형성하고,
    상기 게이트 전극 양측의 상기 활성 영역 내에, 제 1 및 제 2 에피택셜 영역들을 형성하되, 상기 제 1 및 제 2 에피택셜 영역들 각각은 상기 활성 영역의 상부면에 대해 평행한 상면과 상기 상면으로부터 아래로 경사진 경사면을 갖고,
    상기 반도체 기판이 배치된 공정 챔버로 실리콘 소스 가스 및 식각 가스를 번갈아 공급하는 선택 에피택셜 성장 공정을 반복적으로 수행함으로써, 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면들 및 경사면들을 덮는 실리콘층을 형성하고,
    상기 실리콘층과 금속 물질을 반응시켜 실리사이드층을 형성하되, 상기 실리콘층의 일 부분은 잔류하는 것을 포함하는 반도체 소자의 제조 방법.
  2. 제 1 항에 있어서,
    상기 실리사이드층은 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면들 상에 형성되고,
    상기 실리콘층의 상기 일 부분은 상기 제 1 및 제 2 에피택셜 영역들의 상기 경사면들 상에 잔류하는 반도체 소자의 제조 방법.
  3. 제 2 항에 있어서,
    상기 실리콘층을 형성하는 것은,
    상기 실리콘 소스 가스 공급 단계, 제 1 퍼지 단계, 상기 식각 가스 공급 단계, 및 제 2 퍼지 단계를 순서대로 그리고 반복적으로 수행하여, 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면 및 상기 경사면 상에 선택적으로 성장시키는 것을 포함하는 반도체 소자의 제조 방법.
  4. 제 1 항에 있어서,
    상기 실리콘층은 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면을 덮는 수평 영역과 상기 제 1 및 제 2 에피택셜 영역들의 경사면을 덮는 측벽 영역을 포함하되, 상기 측벽 영역은 상기 수평 영역보다 얇은 반도체 소자의 제조 방법.
  5. 삭제
  6. 제 1 항에 있어서,
    상기 실리콘층은 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면을 덮는 수평 영역과 상기 제 1 및 제 2 에피택셜 영역들의 상기 경사면을 덮는 측벽 영역을 포함하되,
    상기 실리콘층의 상기 수평 영역의 상면은 상기 반도체 기판 표면의 결정면과 동일한 제 1 결정 면을 가지며, 상기 실리콘층의 상기 측벽 영역의 경사면은 상기 제 1 결정 면과 다른 제 2 결정 면을 갖는 반도체 소자의 제조 방법.
  7. 제 6 항에 있어서,
    상기 제 1 결정면은 (100)이고, 상기 제 2 결정면은 (111), (110) 및 (311) 중에서 적어도 어느 하나인 반도체 소자의 제조 방법.
  8. 제 1 항에 있어서,
    상기 실리콘층은 상기제 1 및 제 2 에피택셜 영역들의 상기 상면을 덮는 수평 영역과 상기 제 1 및 제 2 에피택셜 영역들의 상기 경사면을 덮는 측벽 영역을 포함하되,
    상기 실리사이드층을 형성하기 전에, 상기 소자 분리막 상에 상기 실리콘층의 상기 측벽 영역을 덮는 절연 스페이서를 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
  9. 제 1 항에 있어서,
    상기 제 1 및 제 2 에피택셜 영역들은 상기 반도체 기판의 표면 위로 융기된 상부 영역을 포함하되, 상기 상부 영역은 상기 상면 및 상기 경사면을 갖는 반도체 소자의 제조 방법.
  10. 제 1 항에 있어서,
    상기 실리사이드층은 실리콘 원소 및 금속 원소로 이루어지는 반도체 소자의 제조 방법.
  11. 제 1 항에 있어서,
    상기 실리사이드층은 NixSi1-x (0<X<1)의 조성을 갖는 반도체 소자의 제조 방법.
  12. 삭제
  13. 제 1 항에 있어서,
    상기 실리사이드층을 형성하는 것은,
    상기 실리콘층을 컨포말하게 덮는 니켈막을 형성하고,
    열처리 공정을 수행하여 상기 니켈막과 상기 실리콘층을 반응시키고,
    상기 실리콘층과 미반응된 상기 니켈막을 제거하여 니켈 실리사이드막을 형성하는 것을 포함하되,
    상기 제 1 및 제 2 에피택셜 영역들과 상기 니켈막 사이에 상기 실리콘층이 개재되어, 상기 니켈막이 상기 제 1 및 제 2 에피택셜 영역들로부터 이격되는 반도체 소자의 제조 방법.
  14. 제 13 항에 있어서,
    상기 니켈 실리사이드막을 형성하는 것은 상기 실리사이드층과 상기 제 1 및 제 2 에피택셜 영역들 사이에 실리콘층의 일부분을 잔류시키는 것을 포함하는 반도체 소자의 제조 방법.
  15. 삭제
  16. 삭제
  17. 제 1 항에 있어서,
    상기 제 1 및 제 2 에피택셜 영역들을 형성하는 것은,
    상기 게이트 전극 양측의 상기 반도체 기판을 식각하여 리세스 영역들을 형성하고,
    상기 리세스 영역들 내에 실리콘 게르마늄 에피택셜층을 선택적으로 성장시키는 것을 포함하며, 상기 제 1 및 제 2 에피택셜 영역들은 상기 반도체 기판의 상부면으로부터 돌출되는 반도체 소자의 제조 방법.
  18. 제 17 항에 있어서,
    상기 리세스 영역은 상기 반도체 기판의 상면에 대해 서로 다른 각도를 갖는 제 1 및 제 2 경사면들에 의해 정의된 가장자리를 갖는 반도체 소자의 제조 방법.
  19. 제 17 항에 있어서,
    상기 제 1 및 제 2 에피택셜 영역들을 형성하는 것은,
    실리콘 소스 가스, 게르마늄 소스 가스 및 식각 가스를 동시에 공급하여 선택적 에피택셜 성장 공정을 수행하는 것을 포함하는 반도체 소자의 제조 방법.
  20. 제 1 항에 있어서,
    상기 실리사이드층을 형성한 후에,
    상기 게이트 전극을 노출시키는 층간 절연막을 형성하고,
    상기 게이트 전극을 제거하여 게이트 절연막을 노출시키는 개구부를 형성하고,
    상기 개구부 내에 금속 게이트 전극을 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
  21. 삭제
  22. 소자 분리막에 의해 활성 영역이 정의된 반도체 기판;
    게이트 절연막을 개재하여 상기 활성 영역 상에 적층된 게이트 전극;
    상기 게이트 전극의 양측에서 상기 활성 영역 내에 각각 배치되는 제 1 및 제 2 에피택셜 영역들로서, 상기 제 1 및 제 2 에피택셜 영역들 각각은 상기 반도체 기판의 상면과 평행한 상면 및 상기 상면에 대해 경사진 경사면들을 갖는 것;
    상기 게이트 전극 및 상기 제 1 및 제 2 에피택셜 영역들을 덮으며, 콘택 홀들을 갖는 층간 절연막;
    상기 제 1 및 제 2 에피택셜 영역들과 상기 층간 절연막 사이에 개재되고, 상기 제 1 및 제 2 에피택셜 영역들의 상기 경사면들과 접촉하는 실리콘층; 및
    상기 콘택 홀들 내에 배치되며, 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면들과 접촉하는 제 1 및 제 2 실리사이드층들을 포함하되,
    상기 제 1 및 제 2 에피택셜 영역들은 Si-X로 이루어지되, 여기서 X는 게르마늄 또는 탄소이고,
    상기 제 1 및 제 2 실리사이드층들은 Si-Y로 이루어지되, 여기서 Y는 금속인 반도체 소자.
  23. 삭제
  24. 삭제
  25. 삭제
  26. 제 22 항에 있어서,
    상기 소자 분리막 상에서 상기 실리콘층의 일측을 덮는 절연 스페이서를 더 포함하는 반도체 소자.
  27. 삭제
  28. 삭제
  29. 제 22 항에 있어서,
    상기 Y는 니켈이고, 상기 제 1 및 제 2 실리사이드층들은 NixSi1-x (0<X<1)의 조성을 갖는 반도체 소자.
  30. 제 22 항에 있어서,
    상기 X는 게르마늄이고, 상기 반도체 소자는 PMOS 트랜지스터인 반도체 소자.
KR1020100087618A 2010-09-07 2010-09-07 반도체 소자 및 그 제조 방법 KR101776926B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020100087618A KR101776926B1 (ko) 2010-09-07 2010-09-07 반도체 소자 및 그 제조 방법
US13/155,483 US8835995B2 (en) 2010-09-07 2011-06-08 Semiconductor devices including silicide regions and methods of fabricating the same
US14/485,035 US20150031183A1 (en) 2010-09-07 2014-09-12 Semiconductor devices including silicide regions and methods of fabricating the same
US14/995,215 US10263109B2 (en) 2010-09-07 2016-01-14 Semiconductor devices including silicide regions and methods of fabricating the same
US15/619,882 US10170622B2 (en) 2010-09-07 2017-06-12 Semiconductor device including MOS transistor having silicided source/drain region and method of fabricating the same
US16/351,328 US11004976B2 (en) 2010-09-07 2019-03-12 Semiconductor device including MOS transistor having silicided source/drain region and method of fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100087618A KR101776926B1 (ko) 2010-09-07 2010-09-07 반도체 소자 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20120025314A KR20120025314A (ko) 2012-03-15
KR101776926B1 true KR101776926B1 (ko) 2017-09-08

Family

ID=45770064

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100087618A KR101776926B1 (ko) 2010-09-07 2010-09-07 반도체 소자 및 그 제조 방법

Country Status (2)

Country Link
US (5) US8835995B2 (ko)
KR (1) KR101776926B1 (ko)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5444694B2 (ja) * 2008-11-12 2014-03-19 ソニー株式会社 固体撮像装置、その製造方法および撮像装置
US8816409B2 (en) * 2010-07-15 2014-08-26 United Microelectronics Corp. Metal-oxide semiconductor transistor
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101716113B1 (ko) * 2010-11-03 2017-03-15 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
DE102011076695B4 (de) * 2011-05-30 2013-05-08 Globalfoundries Inc. Transistoren mit eingebettetem verformungsinduzierenden Material, das in durch einen Oxidationsätzprozess erzeugten Aussparungen ausgebildet ist
US20120315734A1 (en) * 2011-06-09 2012-12-13 Chan-Lon Yang Method for fabricating semiconductor device
US9847225B2 (en) * 2011-11-15 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US8629038B2 (en) * 2012-01-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with vertical fins and methods for forming the same
US8716765B2 (en) * 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
CN102655081B (zh) * 2012-04-16 2015-08-19 上海华力微电子有限公司 一种无定形碳牺牲栅极结构的浅结和侧墙的制备方法
US20130270614A1 (en) * 2012-04-17 2013-10-17 Toshiba America Electronic Components, Inc. Formation of a trench silicide
US20130292774A1 (en) * 2012-05-07 2013-11-07 Globalfoundries Inc. Method for forming a semiconductor device having raised drain and source regions and corresponding semiconductor device
CN103390558B (zh) * 2012-05-08 2016-09-07 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US8936977B2 (en) * 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8759920B2 (en) * 2012-06-01 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9012310B2 (en) * 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US9748338B2 (en) * 2012-06-29 2017-08-29 Intel Corporation Preventing isolation leakage in III-V devices
KR20140006370A (ko) * 2012-07-04 2014-01-16 삼성전자주식회사 반도체 소자
US9281246B2 (en) * 2012-07-17 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Strain adjustment in the formation of MOS devices
US8969163B2 (en) * 2012-07-24 2015-03-03 International Business Machines Corporation Forming facet-less epitaxy with self-aligned isolation
KR20140038826A (ko) 2012-09-21 2014-03-31 삼성전자주식회사 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
US9171762B2 (en) 2012-11-01 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9029919B2 (en) * 2013-02-01 2015-05-12 Globalfoundries Inc. Methods of forming silicon/germanium protection layer above source/drain regions of a transistor and a device having such a protection layer
KR20140108960A (ko) * 2013-03-04 2014-09-15 삼성전자주식회사 듀얼 금속 실리사이드층을 갖는 반도체 장치의 제조 방법
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US9076815B2 (en) * 2013-05-31 2015-07-07 Globalfoundries Inc. Spacer stress relaxation
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9209175B2 (en) * 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
US9093555B2 (en) * 2013-07-25 2015-07-28 Texas Instruments Incorporated Method of CMOS manufacturing utilizing multi-layer epitaxial hardmask films for improved EPI profile
US9012964B2 (en) 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Modulating germanium percentage in MOS devices
US9401365B2 (en) * 2013-12-19 2016-07-26 Texas Instruments Incorporated Epitaxial source/drain differential spacers
US9202916B2 (en) 2013-12-27 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure
WO2015110527A1 (en) * 2014-01-22 2015-07-30 Mapper Lithography Ip B.V. Electrical charge regulation for a semiconductor substrate during charged particle beam processing
US10008383B2 (en) * 2014-03-10 2018-06-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US9496149B2 (en) * 2014-04-14 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods for manufacturing the same
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
KR20150144192A (ko) * 2014-06-16 2015-12-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
US9837533B2 (en) * 2014-07-01 2017-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
TWI575748B (zh) * 2014-09-01 2017-03-21 聯華電子股份有限公司 P型場效電晶體及包含該p型場效電晶體的互補式金屬氧化半導體電晶體
US9685364B2 (en) * 2014-09-05 2017-06-20 Globalfoundries Singapore Pte. Ltd. Silicon-on-insulator integrated circuit devices with body contact structures and methods for fabricating the same
US9748232B2 (en) * 2014-12-31 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9496264B2 (en) * 2015-02-13 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of FinFET device
KR102468781B1 (ko) * 2015-07-01 2022-11-22 삼성전자주식회사 반도체 소자의 제조방법
US9812571B2 (en) 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
CN105261567B (zh) * 2015-10-27 2018-11-09 上海华力微电子有限公司 嵌入式外延锗硅层的盖帽层的制作方法
CN105374665B (zh) * 2015-10-27 2019-01-22 上海华力微电子有限公司 嵌入式外延锗硅层的盖帽层的制作方法
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
US10134872B2 (en) * 2015-12-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9947788B2 (en) * 2016-02-09 2018-04-17 Globalfoundries Inc. Device with diffusion blocking layer in source/drain region
CN107104051B (zh) * 2016-02-22 2021-06-29 联华电子股份有限公司 半导体元件以及其制作方法
CN107369709B (zh) * 2016-05-12 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107369615A (zh) * 2016-05-12 2017-11-21 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9997631B2 (en) * 2016-06-03 2018-06-12 Taiwan Semiconductor Manufacturing Company Methods for reducing contact resistance in semiconductors manufacturing process
US9847398B1 (en) * 2016-07-13 2017-12-19 United Microelectronics Corp. Semiconductor device with gate structure having dielectric layer on one side and contact plug on the other side
US9685535B1 (en) 2016-09-09 2017-06-20 International Business Machines Corporation Conductive contacts in semiconductor on insulator substrate
US10026840B2 (en) * 2016-10-13 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
CN108074814B (zh) * 2016-11-11 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN108122976B (zh) * 2016-11-29 2020-11-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、以及sram
US10269906B2 (en) * 2016-11-30 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having two spacers
CN106783965A (zh) * 2016-12-01 2017-05-31 上海华力微电子有限公司 一种锗硅源漏极及制备方法
US10269646B2 (en) 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
JP6716450B2 (ja) * 2016-12-28 2020-07-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
EP3568873B1 (en) * 2017-01-13 2023-11-08 Massachusetts Institute of Technology A method of forming a multilayer structure for a pixelated display and a multilayer structure for a pixelated display
JP6867188B2 (ja) * 2017-02-27 2021-04-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN108573872B (zh) * 2017-03-07 2021-05-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109087862B (zh) * 2017-06-14 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
FR3069370B1 (fr) * 2017-07-21 2021-10-22 St Microelectronics Rousset Circuit integre contenant une structure de leurre
CN109427880B (zh) * 2017-08-22 2021-12-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN109671674A (zh) * 2017-10-13 2019-04-23 联华电子股份有限公司 半导体装置的制作方法
US10468530B2 (en) * 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with source/drain multi-layer structure and method for forming the same
US10714475B2 (en) * 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11189730B2 (en) * 2017-12-26 2021-11-30 Intel Corporation Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nMOS transistors
US11489058B2 (en) 2018-07-27 2022-11-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and associated manufacturing method
US10693004B2 (en) * 2018-08-14 2020-06-23 Taiwan Semiconductor Manufactruing Co., Ltd. Via structure with low resistivity and method for forming the same
TWI825065B (zh) 2019-01-30 2023-12-11 聯華電子股份有限公司 半導體元件的製作方法
US11289604B2 (en) * 2019-08-02 2022-03-29 United Microelectronics Corp. Method for fabricating a semiconductor device
CN113130516A (zh) 2020-01-15 2021-07-16 联华电子股份有限公司 半导体影像感测元件及其制作方法
US11257712B2 (en) 2020-05-13 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact formation methods and devices
US20220238651A1 (en) * 2021-01-22 2022-07-28 Renesas Electronics Corporation Semiconductor device and semiconductor package
US20240113235A1 (en) * 2022-09-30 2024-04-04 Wolfspeed, Inc. Silicon carbide device with single metallization process for ohmic and schottky contacts

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050253200A1 (en) * 2003-12-08 2005-11-17 Anand Murthy Method for improving transistor performance through reducing the salicide interface resistance
US20060270133A1 (en) * 2005-05-26 2006-11-30 Kabushiki Kaisha Toshiba Semiconductor device and its manufacturing method

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
US5201995A (en) * 1992-03-16 1993-04-13 Mcnc Alternating cyclic pressure modulation process for selective area deposition
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US6013319A (en) * 1998-04-28 2000-01-11 Dietze; Gerald R. Method and apparatus for increasing deposition quality of a chemical vapor deposition system
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US7391087B2 (en) * 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
TW497120B (en) * 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
US6663787B1 (en) * 2001-02-06 2003-12-16 Advanced Micro Devices, Inc. Use of ta/tan for preventing copper contamination of low-k dielectric layers
US6445016B1 (en) 2001-02-28 2002-09-03 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation
US6489206B2 (en) * 2001-03-22 2002-12-03 United Microelectronics Corp. Method for forming self-aligned local-halo metal-oxide-semiconductor device
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US6797652B1 (en) * 2002-03-15 2004-09-28 Advanced Micro Devices, Inc. Copper damascene with low-k capping layer and improved electromigration reliability
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
KR20040016696A (ko) * 2002-08-19 2004-02-25 삼성전자주식회사 반도체장치의 전극형성방법 및 장치
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6657223B1 (en) 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
KR100499159B1 (ko) * 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
US20040188684A1 (en) * 2003-03-31 2004-09-30 Glass Glenn A. Selective deposition of smooth silicon, germanium, and silicon-germanium alloy epitaxial films
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
JP4292969B2 (ja) * 2003-12-09 2009-07-08 セイコーエプソン株式会社 半導体装置及びその製造方法
US6875694B1 (en) * 2004-02-10 2005-04-05 Advanced Micro Devices, Inc. Method of treating inlaid copper for improved capping layer adhesion without damaging porous low-k materials
JP4837902B2 (ja) * 2004-06-24 2011-12-14 富士通セミコンダクター株式会社 半導体装置
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
JP4369359B2 (ja) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7465972B2 (en) * 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US7696537B2 (en) 2005-04-18 2010-04-13 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
JP2006351581A (ja) * 2005-06-13 2006-12-28 Fujitsu Ltd 半導体装置の製造方法
JP4984665B2 (ja) 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7579617B2 (en) 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
US7405131B2 (en) * 2005-07-16 2008-07-29 Chartered Semiconductor Manufacturing, Ltd. Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
US7452759B2 (en) * 2005-11-29 2008-11-18 Micron Technology, Inc. Carbon nanotube field effect transistor and methods for making same
US7939413B2 (en) * 2005-12-08 2011-05-10 Samsung Electronics Co., Ltd. Embedded stressor structure and process
US7718500B2 (en) * 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
JP5145672B2 (ja) 2006-02-27 2013-02-20 富士通セミコンダクター株式会社 半導体装置の製造方法
US7579248B2 (en) * 2006-02-13 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
US7355262B2 (en) * 2006-03-17 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion topography engineering for high performance CMOS fabrication
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
US7288822B1 (en) * 2006-04-07 2007-10-30 United Microelectronics Corp. Semiconductor structure and fabricating method thereof
JP5081394B2 (ja) * 2006-05-19 2012-11-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7678631B2 (en) * 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7485524B2 (en) * 2006-06-21 2009-02-03 International Business Machines Corporation MOSFETs comprising source/drain regions with slanted upper surfaces, and method for fabricating the same
JP5076388B2 (ja) 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
JP2008071890A (ja) 2006-09-13 2008-03-27 Toshiba Corp 半導体装置及びその製造方法
JP5114919B2 (ja) * 2006-10-26 2013-01-09 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
US7750338B2 (en) * 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
US7719062B2 (en) * 2006-12-29 2010-05-18 Intel Corporation Tuned tensile stress low resistivity slot contact structure for n-type transistor performance enhancement
US8217423B2 (en) * 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
JP5211503B2 (ja) * 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 半導体装置の製造方法
US8282733B2 (en) * 2007-04-02 2012-10-09 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor apparatus
US8124473B2 (en) * 2007-04-12 2012-02-28 Advanced Micro Devices, Inc. Strain enhanced semiconductor devices and methods for their fabrication
AT506562B1 (de) * 2007-04-30 2011-05-15 Miba Sinter Austria Gmbh Zahnriemenrad
WO2008139509A1 (ja) * 2007-05-14 2008-11-20 Fujitsu Microelectronics Limited 半導体装置の製造方法
US20080303060A1 (en) * 2007-06-06 2008-12-11 Jin-Ping Han Semiconductor devices and methods of manufacturing thereof
JP5286701B2 (ja) * 2007-06-27 2013-09-11 ソニー株式会社 半導体装置および半導体装置の製造方法
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
KR20090033788A (ko) * 2007-10-01 2009-04-06 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법과 기판 처리 장치
US7776698B2 (en) * 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
JP2009111200A (ja) * 2007-10-31 2009-05-21 Panasonic Corp 半導体装置及びその製造方法
US20090152590A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Method and structure for semiconductor devices with silicon-germanium deposits
CN101925986B (zh) * 2008-01-25 2012-09-05 富士通半导体股份有限公司 半导体器件及其制造方法
JP2009228078A (ja) * 2008-03-24 2009-10-08 Fujitsu Ltd 電解メッキ液、電解メッキ方法、および半導体装置の製造方法
JP5120448B2 (ja) * 2008-03-31 2013-01-16 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7838887B2 (en) * 2008-04-30 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain carbon implant and RTA anneal, pre-SiGe deposition
JP5446126B2 (ja) * 2008-05-13 2014-03-19 富士通セミコンダクター株式会社 電解メッキ方法および半導体装置の製造方法
JP2009302317A (ja) 2008-06-13 2009-12-24 Renesas Technology Corp 半導体装置およびその製造方法
KR20100006009A (ko) * 2008-07-08 2010-01-18 주성엔지니어링(주) 반도체 제조 장치
US7736982B2 (en) * 2008-10-14 2010-06-15 United Microelectronics Corp. Method for forming a semiconductor device
US20100109044A1 (en) * 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
KR101552938B1 (ko) * 2009-02-02 2015-09-14 삼성전자주식회사 스트레스 생성층을 갖는 반도체 소자의 제조방법
US8026539B2 (en) * 2009-02-18 2011-09-27 Globalfoundries Inc. Metal oxide semiconductor devices having doped silicon-compromising capping layers and methods for fabricating the same
JP5381382B2 (ja) * 2009-06-19 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP2011009412A (ja) * 2009-06-25 2011-01-13 Toshiba Corp 半導体装置およびその製造方法
JP2011014762A (ja) * 2009-07-03 2011-01-20 Toshiba Corp 半導体装置及び半導体装置の製造方法
US20110031503A1 (en) * 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
US8174074B2 (en) * 2009-09-01 2012-05-08 International Business Machines Corporation Asymmetric embedded silicon germanium field effect transistor
US7994062B2 (en) * 2009-10-30 2011-08-09 Sachem, Inc. Selective silicon etch process
US8445340B2 (en) * 2009-11-19 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial offset protection film for a FinFET device
US8227890B2 (en) * 2009-12-18 2012-07-24 United Microelectronics Corporation Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US7989298B1 (en) * 2010-01-25 2011-08-02 International Business Machines Corporation Transistor having V-shaped embedded stressor
KR101668097B1 (ko) * 2010-03-12 2016-10-24 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법
KR101714003B1 (ko) * 2010-03-19 2017-03-09 삼성전자 주식회사 패시티드 반도체패턴을 갖는 반도체소자 형성방법 및 관련된 소자
US8377784B2 (en) * 2010-04-22 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a semiconductor device
KR101676818B1 (ko) * 2010-05-19 2016-11-17 삼성전자주식회사 게이트 구조를 포함하는 반도체 소자들 및 그 제조 방법
DE102010029532B4 (de) * 2010-05-31 2012-01-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Transistor mit eingebettetem verformungsinduzierenden Material, das in diamantförmigen Aussparungen auf der Grundlage einer Voramorphisierung hergestellt ist
US8236659B2 (en) * 2010-06-16 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain feature profile for improving device performance and method of manufacturing same
US8492234B2 (en) * 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device
US8216906B2 (en) * 2010-06-30 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing integrated circuit device with well controlled surface proximity
US8928094B2 (en) * 2010-09-03 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Strained asymmetric source/drain
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8405155B2 (en) * 2010-09-23 2013-03-26 United Microelectronics Corp. Semiconductor structure with gate structure, source/drain region and recess filling with epitaxial layer
KR101811796B1 (ko) * 2010-10-06 2018-01-25 삼성전자주식회사 급경사 접합 프로파일을 갖는 소스/드레인 영역들을 구비하는 반도체 소자 및 그 제조방법
KR20120042301A (ko) * 2010-10-25 2012-05-03 삼성전자주식회사 반도체 장치의 제조방법
US8569139B2 (en) * 2010-10-27 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained source/drain structures
CN102468326B (zh) * 2010-10-29 2015-01-07 中国科学院微电子研究所 接触电极制造方法和半导体器件
US8420491B2 (en) * 2010-11-09 2013-04-16 International Business Machines Corporation Structure and method for replacement metal gate field effect transistors
US8835982B2 (en) * 2011-02-14 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained source/drain structures
US20120241816A1 (en) * 2011-03-21 2012-09-27 GLOBALFOUNDRIES Dresden Module One Limited Liability Company & Co., KG Stabilization of Metal Silicides in PFET Transistors by Incorporation of Stabilizing Species in a Si/Ge Semiconductor Material
US20120292637A1 (en) * 2011-05-17 2012-11-22 Globalfoundries Inc. Dual Cavity Etch for Embedded Stressor Regions
US8431460B2 (en) * 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8482079B2 (en) * 2011-06-15 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US8999794B2 (en) * 2011-07-14 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned source and drain structures and method of manufacturing same
US8609518B2 (en) * 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
KR20130020221A (ko) * 2011-08-19 2013-02-27 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101868806B1 (ko) * 2011-11-04 2018-06-22 삼성전자주식회사 반도체 소자 제조 방법
US9847225B2 (en) * 2011-11-15 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US8647953B2 (en) * 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
KR20130074353A (ko) * 2011-12-26 2013-07-04 삼성전자주식회사 트랜지스터를 포함하는 반도체 소자
US20130316252A1 (en) 2012-05-22 2013-11-28 Lg Chem, Ltd. Non-Aqueous Electrolyte Solution For Lithium Secondary Battery And Lithium Secondary Battery Comprising The Same
KR101929384B1 (ko) * 2012-05-24 2018-12-14 삼성전자주식회사 선택적으로 질화처리된 게이트 절연막을 갖는 반도체 장치의 제조 방법
KR101908451B1 (ko) * 2012-06-04 2018-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101986534B1 (ko) * 2012-06-04 2019-06-07 삼성전자주식회사 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
US8927422B2 (en) * 2012-06-18 2015-01-06 International Business Machines Corporation Raised silicide contact
KR20140016008A (ko) * 2012-07-30 2014-02-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8835305B2 (en) * 2012-07-31 2014-09-16 International Business Machines Corporation Method of fabricating a profile control in interconnect structures
US20140054710A1 (en) * 2012-08-22 2014-02-27 Texas Instruments Incorporated Reduction of Proximity Effects in Field-Effect Transistors with Embedded Silicon-Germanium Source and Drain Regions
CN103632973B (zh) * 2012-08-23 2017-01-25 中国科学院微电子研究所 半导体器件及其制造方法
US8741759B2 (en) * 2012-11-08 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a semiconductor device
US8653599B1 (en) * 2012-11-16 2014-02-18 International Business Machines Corporation Strained SiGe nanowire having (111)-oriented sidewalls
KR102059526B1 (ko) 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
TWI643346B (zh) * 2012-11-22 2018-12-01 三星電子股份有限公司 在凹處包括一應力件的半導體裝置及其形成方法(三)
US20140159052A1 (en) * 2012-12-11 2014-06-12 Globalfoundries Inc. Method and structure for transistor with reduced drain-induced barrier lowering and on resistance
US9059041B2 (en) * 2013-07-02 2015-06-16 International Business Machines Corporation Dual channel hybrid semiconductor-on-insulator semiconductor devices
JP6244699B2 (ja) 2013-07-08 2017-12-13 富士通セミコンダクター株式会社 半導体装置
US9209175B2 (en) * 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102102815B1 (ko) * 2013-09-26 2020-04-22 인텔 코포레이션 Nmos 구조체들에서 전위가 높아진 변형을 형성하는 방법
CN104701164A (zh) * 2013-12-04 2015-06-10 中芯国际集成电路制造(上海)有限公司 半导体器件和半导体器件的制作方法
US9214551B2 (en) * 2014-02-19 2015-12-15 United Microelectronics Corp. Method for fabricating semiconductor device, and semiconductor device made thereby
KR102171023B1 (ko) * 2014-07-21 2020-10-29 삼성전자주식회사 반도체 소자 제조방법
KR102259080B1 (ko) * 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
CN104821336B (zh) * 2015-04-20 2017-12-12 上海华力微电子有限公司 用于使用保形填充层改善器件表面均匀性的方法和系统
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
KR102530671B1 (ko) 2015-12-31 2023-05-10 삼성전자주식회사 반도체 소자 및 이를 제조하는 방법
US10347748B2 (en) * 2016-04-06 2019-07-09 Globalfoundries Inc. Methods of forming source/drain regions on FinFET devices
KR102570269B1 (ko) * 2016-07-22 2023-08-25 삼성전자주식회사 전세정 장치 및 기판 처리 시스템
KR102579874B1 (ko) 2016-12-27 2023-09-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050253200A1 (en) * 2003-12-08 2005-11-17 Anand Murthy Method for improving transistor performance through reducing the salicide interface resistance
US20060270133A1 (en) * 2005-05-26 2006-11-30 Kabushiki Kaisha Toshiba Semiconductor device and its manufacturing method

Also Published As

Publication number Publication date
US11004976B2 (en) 2021-05-11
US20170278967A1 (en) 2017-09-28
US20160133748A1 (en) 2016-05-12
US20120056245A1 (en) 2012-03-08
US8835995B2 (en) 2014-09-16
US10170622B2 (en) 2019-01-01
US20150031183A1 (en) 2015-01-29
US10263109B2 (en) 2019-04-16
KR20120025314A (ko) 2012-03-15
US20190214498A1 (en) 2019-07-11

Similar Documents

Publication Publication Date Title
KR101776926B1 (ko) 반도체 소자 및 그 제조 방법
US7592214B2 (en) Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
US7985641B2 (en) Semiconductor device with strained transistors and its manufacture
EP1639636B1 (en) Optimization of mechanical strain in channels of p-mos and n-mos transistors
US9659823B2 (en) Highly scaled tunnel FET with tight pitch and method to fabricate same
US9178034B2 (en) Fabrication method of semiconductor device and fabrication method of dynamic threshold transistor
US10714474B2 (en) High voltage CMOS with triple gate oxide
JP5915181B2 (ja) 半導体装置およびその製造方法
KR20120058962A (ko) 반도체 장치의 제조 방법
CN101295733A (zh) 半导体元件
JPWO2007034553A1 (ja) 半導体装置およびその製造方法
US20100327329A1 (en) Semiconductor device and method of fabricating the same
TWI677095B (zh) 積體電路及其製造方法
US20070228417A1 (en) Semiconductor device and method of fabricating the same
TW202133327A (zh) 半導體裝置
KR101675388B1 (ko) 반도체 장치의 제조 방법
TW202236529A (zh) 半導體裝置及其製造方法
TWI485783B (zh) 具有封裝的壓力源區域的半導體裝置及製作方法
TW202217985A (zh) 形成半導體元件的方法
CN105206576B (zh) 用于形成嵌入式锗硅源/漏结构的方法
US20220384436A1 (en) Semiconductor Device and Method of Forming Same
KR20080070252A (ko) 반도체 집적 회로 장치 및 그 제조 방법
JP2010003990A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant