JP5211503B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP5211503B2
JP5211503B2 JP2007036377A JP2007036377A JP5211503B2 JP 5211503 B2 JP5211503 B2 JP 5211503B2 JP 2007036377 A JP2007036377 A JP 2007036377A JP 2007036377 A JP2007036377 A JP 2007036377A JP 5211503 B2 JP5211503 B2 JP 5211503B2
Authority
JP
Japan
Prior art keywords
layer
forming
semiconductor device
silicide
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007036377A
Other languages
English (en)
Other versions
JP2008205010A (ja
Inventor
和郎 川村
深一 秋山
敏 竹迫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2007036377A priority Critical patent/JP5211503B2/ja
Priority to KR1020080013816A priority patent/KR100971922B1/ko
Priority to US12/031,826 priority patent/US8076239B2/en
Priority to TW097105318A priority patent/TWI353037B/zh
Publication of JP2008205010A publication Critical patent/JP2008205010A/ja
Application granted granted Critical
Publication of JP5211503B2 publication Critical patent/JP5211503B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

本発明は、半導体装置及びその製造方法に関し、特に、銅プラグを有する半導体装置及びその製造方法に関する。
従来、シリコン基板上の絶縁膜に形成されたコンタクトホール内にタングステンよりなるプラグを埋め込むプロセスは、まず、絶縁膜にコンタクトホールを形成し、次に、逆スパッタによりコンタクトホール内をクリーニングし、さらに物理気相成長(PVD)により絶縁膜の上面とコンタクトホール内面にチタン(Ti)膜を形成し、さらにTi膜上に窒化チタン(TiN)膜を有機金属気相成長(MOCVD)法により成長し、タングステン(W)をコンタクトホール内に埋込んだ後に、絶縁膜上のタングステン、TiN膜及びTi膜を化学機械研磨(CPM)により除去する工程を有している。コンタクトホール内に埋め込まれたタングステンはプラグとなる。
直径0.2μmのコンタクトホール内にタングステン或いはアルミニウムを成長する前にTi膜を形成するプロセスとして、水素(H2)リッチにした四塩化チタン(TiCl4)を含む反応ガスを成長雰囲気に流し、これによりシリコン基板上の自然酸化膜を除去した後に、H2の流量を下げてTi膜を形成する工程が、特開平8−186383号公報(特許文献1)に記載されている。
また、直径0.2μmのコンタクトホール内にタングステン或いはアルミニウムを成長する前にTi膜を形成するプロセスとして、H2リッチな状態でTiCl4を流してTi膜中の塩素量を減らすことが、特開平8−213343号公報(特許文献2)に記載されている。
また、特開2001−326227号公報(特許文献3)には、ある層上の絶縁膜にホールを形成し、そのホールの内面と絶縁膜の上にバリア層を形成し、さらにバリア層の上に銅シード層を気相堆積し、ついで、銅シード層上に銅のバルク層をメッキにより形成してホール内を埋め込むプロセスが記載されている。銅の比抵抗は、1.7μオームcmであってタングステンの比抵抗5.0μオームcmよりも低く、コンタクト抵抗を下げる材料として期待されている。
銅は、特許文献3に記載の構造の他に、シリコン基板内の不純物拡散領域とその上方の配線を接続するプラグにも使用される。銅のプラグについては、直径160nmのコンタクトに形成されることが非特許文献1に記載されている。
特開平8−176823号公報 特開平8−213343号公報 特開2001−326227号公報 S. Demuynck, et al. International Interconnect Technology Conference 2006, p.178
銅のプラグは、例えば図16に示すようなプロセスにより形成される。
まず、図16(a)において、シリコン基板100内に形成された素子分離絶縁膜101に囲まれた領域にはMOSトランジスタ102が形成されている。また、MOSトランジスタ102とシリコン基板100は層間絶縁膜103に覆われている。
MOSトランジスタ102は、シリコン基板100上にゲート絶縁膜104を介して形成されたゲート電極105と、ゲート電極105の側壁に形成された絶縁性サイドウォール106と、ゲート電極105の両側のシリコン基板100に形成されたソース/ドレイン領域107,108等から構成されている。この場合、ゲート電極105は例えばシリコンから構成されている。また、ゲート電極105、ソース/ドレイン領域107,108の表面にはシリサイド109,110が形成されている。
そのような状態において、まず、層間絶縁膜103のうちソース/ドレイン領域107,108の上にコンタクトホール111,112を形成する。次に、図16(b)に示すように、コンタクトホール111,112内面と層間絶縁膜103上面にスパッタ等のPVD法により例えばTaバリア層114を形成する。
次に、図16(c)に示すように、Taバリア層114上にCuシード層115をスパッタにより形成する。その後に、Cu層116をメッキでコンタクトホール111,112内に埋め込んだ後に、層間絶縁膜103上のCu層116、Cuシード層115、Taバリア層114を化学機械研磨により除去する。これにより、コンタクトホール111,112内に残された銅膜をプラグ(不図示)とする。
ところで、コンタクトホール111,112の直径が80nm以下になり、しかもアスペクト比が3以上と深くなると、コンタクトホール111,112内でのTaバリア層114の埋め込みが難しくなる。後述するが、シャロートレンチ素子分離(以下STI)を有するシリコン基板101上に達するコンタクトホール111,112の底部には、段差が生じ易く、Taバリア層114の形成の際にコンタクトホール111,112の底部にボイド120が生じたり、その底部の一部からシリコン基板100又はシリサイド110が露出したりしやすくなる。
これにより、図16(c)に示すように、コンタクトホール111,112内でCuシード層115が、Taバリア層114を貫通してシリコン基板110又はシリサイド111,112に接触し易くなり、その後の加熱処理の際に、Cuがシリコン基板100内に拡散して接合リークが増加するおそれがある。
また、コンタクトホール111,112内でCu層116とシリサイド110の間にボイド120が存在するとそれらの接触不良を招き、Cu層116とソース/ドレイン領域107,108のコンタクト抵抗が下がりにくくなる。さらに、コンタクトホール111,112内で、Taバリア層114がシリサイド110の全体を覆ったとしても、Taバリア層114とシリサイド層110の界面には酸化膜が存在して、それらのコンタクト抵抗を下げる妨げとなっており、シリサイドにダメージを与えることなく、かつシリサイド表面の酸化膜を除去する必要がある。また、シリサイドの耐熱性によりCuプラグ形成プロセスの温度が制限されるなど、多くの課題を有している。
本発明の目的は、ホール内に形成される銅プラグとホールの下のシリサイド層との良好なコンタクトを達成できる半導体装置及びその製造方法を提供することにある。
本発明の特徴によれば、半導体基板に絶縁材が埋め込まれた素子分離構造により分離され、前記半導体基板に形成されたMOSトランジスタのソース/ドレイン領域の表面に、シリサイド層を形成し、シリサイド層上に絶縁膜を形成し、シリサイド層及びシリサイド層に隣接する素子分離構造上に底部が位置し、シリサイド層に達するホールを、その絶縁膜に形成し、ホール内面にチタン層を化学気相成長法によって形成し、Ta、TaN、Ru、WN、W−N−C、Ti−Si−Nx(0≦x<1)、Ta−Si−Nx(0≦x<1)、W−Si−Nx(0≦x<1)のいずれかを有するバリア層をホール内のチタン層上に形成し、銅層をホール内を埋め込工程を有している。
本発明によれば、絶縁膜のホールの内面にカバレッジの良いチタン層をグルー層として例えば化学気相成長法により形成した後に、チタン層上にバリア層を形成している。化学気相成長法により形成されたチタン層は、ホールの底部で凹凸が無く又は低減された表面状態となる。これにより、バリア層は、ボイドが発生しないようにカバレッジ良く形成されて、ホールの底部を良好に覆うことができる。従って、ホール内に埋め込まれる銅のシリサイド層への拡散やシリサイド層との接続不良の発生が防止される。
しかも、チタン層を形成する反応ガスとして還元性を有するガスを用いることにより、シリサイド層表面はクリーニングされ、シリサイド層とチタン層とバリア層の接続を良好にしてコンタクト抵抗の増加を抑制することができる。
以下に本発明の実施の形態を図面に基づいて詳細に説明する。
図1〜図14は、本発明の実施形態に係る半導体装置の形成工程を示す断面図である。
まず、半導体基板であるシリコン基板に素子分離層とウェルを形成する工程について説明する。
図1(a)に示すように、例えばp型のシリコン(Si)基板1の主面である(100)面をアンモニア、過酸化水素を用いて洗浄する。その後に、図1(b)に示すようにシリコン基板1の主面を熱酸化してシリコン酸化膜2を例えば50nmの厚さに形成する。
続いて、図1(c)に示すように、シリコン酸化膜2上にフォトレジスト3を塗布し、これを露光、現像してウェル形成領域に開口3aを形成する。さらに、フォトレジスト3の開口3aを通してシリコン酸化膜2を例えばフッ酸によりエッチングして開口2aを形成する。
次に、図1(d)に示すように、開口3a,2aを通してシリコン基板1にドーパントをイオン注入して第1のウェルを形成する。第1のウェルはNウェル、Pウェルのいずれかであるが、ここではNウェル4とする。Nウェル4を形成するためのn型ドーパント導入は、燐(P+)を加速エネルギー300keV、ドーズ量1×1013/cm2の条件でシリコン基板1内に導入する条件とする。
さらに、図2(a)に示すように、フォトレジスト3を除去した後に、シリコン酸化膜2を例えばフッ酸により除去する。
次に、図2(b)に示すように、シリコン基板1に第2のウェルとしてPウェル5を形成する。Pウェル5の形成方法は、第1のウェル4の形成と同様に、シリコン基板1上にシリコン酸化膜、フォトレジスト、開口を形成し、さらに開口を通してシリコン基板1の所定領域にp型ドーパントを導入する工程を有している。p型ドーパントの導入は、例えば、例えば、ホウ素(B+)を加速エネルギー120keV、ドーズ量1×1013/cm2の条件でシリコン基板1内に導入する条件とする。
次に、図2(c)に示すように、シリコン基板1の主面上にシリコン窒化膜(SiN膜)6を化学気相成長(CVD)法により50nmの厚さに形成する。続いて、図2(d)に示すように、フォトレジスト(不図示)を用いてフォトリソグラフィーによりシリコン窒化膜6をパターニングし、素子分離領域に開口6aを形成する。
さらに、図3(a)に示すように、シリコン窒化膜6の開口6aを通してシリコン基板1を例えば反応性イオンエッチング(RIE)法によりエッチングしてSTI埋込用溝1aを形成する。
その後に図3(b)に示すように、リン酸によりシリコン窒化膜6を除去する。ついで、シリコン酸化膜をCVD法により形成して、STI埋込用溝1a内を埋め込む。その後に、図3(c)に示すように、シリコン基板1の主面上に成長したシリコン酸化膜をCMPにより除去する。そして、STI埋込用溝1a内に残されたシリコン酸化膜をSTI7とする。
次に、図3(c)において波線で囲まれたPウェル5とNウェル4のそれぞれの領域に、MOSトランジスタを形成するプロセスと、MOSトランジスタに接続されるプラグ、配線を形成するプロセスについて説明する。
図4(a)は、図3(c)の波線部分で示すシリコン基板1のPウェル5とNウェル4の一部を拡大して示している。そして、シリコン基板1の主面にはフォトレジスト11が塗布されている。フォトレジスト11のうちSTI7に囲まれたPウェル5のチャネル領域の上には、露光、現像により、開口11aが形成されている。
さらに、図4(b)に示すように、フォトレジスト11の開口11aを通してPウェル5にB+をイオン注入する。B+の注入条件は、例えば、加速エネルギー15keV、ドーズ量1×1013/cm2とする。
次に、図4(c)に示すように、フォトレジスト11を除去した後に、別のフォトレジスト12をシリコン基板1上に塗布し、これを露光、現像してNウェル4のチャネル領域の上に開口12aを形成し、開口12aを通してNウェル4に砒素イオン(As+)を注入する。As+の注入条件は、例えば、加速エネルギー80keV、ドーズ量1×1013/cm2とする。
フォトレジスト12を除去した後に、Nウェル4、Pウェル5にそれぞれ導入されたB、Asを活性化するために、シリコン基板1を950℃、10秒の条件でアニールする。続いて、図4(d)に示すように、ゲート絶縁膜13となるシリコン酸化膜をCVD法により例えば2nmの厚さに形成する。
さらに、図5(a)に示すように、ゲート絶縁膜13上にポリシリコン膜14をCVD法により例えば100nmの厚さに成長する。そして、ポリシリコン膜14上にフォトレジスト15を塗布し、これを露光、現像して、ポリシリコン膜14のうちPウェル5上を開口する。これに続いて、フォトレジスト16から露出されるPウェル5の上のポリシリコン膜14に、加速エネルギー10keV、ドーズ量1×1016/cm2の条件で燐(P)をイオン注入してポリシリコン膜14を部分的にn型にする。
フォトレジスト15を除去した後に、さらに別なフォトレジスト16をポリシリコン膜14上に塗布し、これを露光、現像してNウェル4上を開口する。これに続いて、図5(b)に示すように、フォトレジスト16から露出されるNウェル4上のポリシリコン膜14に、加速エネルギー5keV、ドーズ量5×1015/cm2の条件でホウ素(B)をイオン注入してポリシリコン膜14を部分的にp型にする。
次に、フォトレジスト16を除去した後に、図5(c)に示すように、さらに別のフォトレジストをポリシリコン膜14上に塗布し、これを露光、現像することにより、Nウェル4、Pウェル5のそれぞれのゲート領域を覆うレジストパターン17を形成する。
次に、図6(a)に示すように、レジストパターン17に覆われない領域のポリシリコン膜14をエッチングして除去し、これによりレジストパターン17の下の残されたポリシリコン膜14をゲート電極14gとする。なお、ゲート絶縁膜に高誘電体を用いてもよく、ゲート電極には金属を用いたメタルゲートとしてもよい。
この後に、図6(b)に示すように、Nウェル4上の領域をフォトレジスト18により覆った状態で、フォトレジスト18から露出したPウェル5にAsをイオン注入する。これにより、ゲート電極14gの両側のPウェル5にn型のエクステンション領域21aを形成する。Asのイオン注入は、例えば加速エネルギー1keV、ドーズ量1×1015/cm2の条件とする。
フォトレジスト18を除去した後に、図6(c)に示すように、Pウェル5上の領域を別のフォトレジスト19により覆った状態で、フォトレジスト19から露出したNウェル4にBをイオン注入する。これにより、ゲート電極14gの両側のNウェル4にp型のエクステンション領域22aを形成する。Bのイオン注入は、例えば加速エネルギー0.5keV、ドーズ量1×1015/cm2の条件とする。
フォトレジスト19を除去した後に、図7(a)に示すように、ゲート電極14g以外の領域にあるゲート絶縁膜13を例えばフッ酸により除去する。続いて、図7(b)に示すように、厚さ10nmのシリコン酸化膜23aと厚さ80nmのシリコン窒化膜23bをCVD法によりシリコン基板1の上に順に形成した後に、シリコン窒化膜23b、シリコン酸化膜23aをエッチバックしてゲート電極14gの側部にサイドウォール25として残す。
さらに、厚さ30nmのシリコン酸化膜24をCVD法によりシリコン基板1上に成長した後に、これをエッチバックして、ゲート電極14gの側方に残すことにより、サイドウォール25の幅を広くする。
次に、図7(c)に示すように、シリコン基板1の上にフォトレジスト26を塗布し、これを露光、現像することにより、Nウェル4を覆う一方、Pウェル5表面のエクステンション領域21aを露出させる。続いて、Pウェル5にAsをイオン注入することにより、ゲート電極14gの両側にn型のソース/ドレイン領域21を形成する。この場合、Asのイオン注入は、例えば加速エネルギー35keV、ドーズ量2×1015/cm2の条件とする。
フォトレジスト26を除去した後に、サイドウォール25のうち最外層のシリコン酸化膜24をフッ酸により除去する。
続いて、図8(a)に示すように、Pウェル5の領域をフォトレジスト(不図示)で覆った状態で、Nウェル4にBをイオン注入してゲート電極14gの両側にp型のソース/ドレイン領域22を形成する。この場合、Bのイオン注入は、例えば加速エネルギー6keV、ドーズ量6×1015/cm2の条件とする。
さらに、フォトレジスト(不図示)によりPウェル5、Nウェル4を交互に覆う。そして、フォトレジストから露出するNウェル4には、Bをイオン注入することにより、エクステンション領域21aを低抵抗化するための低抵抗化領域21bを形成する。また、フォトレジストから露出するPウェル5には、Asをイオン注入することにより、エクステンション22aを低抵抗化するための低抵抗化領域22bを形成する。
なお、低抵抗化領域22bの形成工程は、図7(a)において、エクステンション22aのイオン注入の前、もしくは後に行なってもよい。さらに、サイドウォール25のうち最外層のシリコン酸化膜24を形成し、除去する工程は本発明には必須の工程ではなく、図7(b)の状態でソース/ドレイン領域21、22を形成するイオン注入を行なってもよい。
この後に、シリコン基板1をアニールすることにより、Nウェル4、Pウェル5にそれぞれ注入されたドーパントを活性化する。
次に、シリコン基板1の上にシリコン酸化膜27をCVD法により形成した後に、フォトレジスト(不図示)をシリコン基板1上に塗布し、これを露光、現像してpウェル5上のソース/ドレイン領域21を覆うとともに、Nウェル4上のソース/ドレイン領域22を露出させる。続いて、図8(b)に示すように、フォトレジスト(不図示)をマスクにして、Nウェル4上のシリコン酸化膜27をエッチングして除去する。これにより、Nウェル4とその上のゲート電極14g、サイドウォール25及びソース/ドレイン領域22が露出する。
フォトレジスト(不図示)を除去した後に、塩素及び希釈ガスを用いてNウェル4のうちゲート電極14gの両側を選択エッチングして例えば30nm程度の深さの凹部28を形成する。この場合、シリコン酸化膜27、サイドウォール25から露出しているポリシリコンからなるゲート電極14gの上部もエッチングされる。
続いて、図8(c)に示すように、Nウェル4の凹部28内とゲート電極14gの上部にシリコンゲルマニウム(SiGe)層29を選択成長する。SiGe層29は、SiH4とGeH4を含む反応ガスを使用してCVD法により成長する。シリコン基板1のNウェル4のうちゲート電極14gの下では、その両側のSiGe層29の成長により生じる圧縮応力によって正孔の移動度が高くなる。これにより、Nウェル4に形成されるPMOSトランジスタの特性が向上する。
なお、SiGe層29の形成は省略してもよい。また、Pウェル5の表面に炭化シリコン(SiCx)を成長して、Pウェル5の電子の移動度を高くする構造としてもよい。
次に、図9(a)に示すように、シリコン酸化膜27をフッ酸により除去する。その後に、図9(b)に示すように、ニッケル(Ni)ターゲットを用いて、Ni膜30をスパッタにより20nmの厚さに形成し、これによりゲート電極14g、Nウェル4、Pウェル5及びSiGe層29を覆う。
なお、プラチナ(Pt)を1〜10原子%含有するニッケルプラチナ(NiPt)ターゲットを使用して、Ni膜30の代わりにNiPt膜を形成してもよい。Ni膜30又はNiPt膜は、8nm以上あればよいが、厚くても200nmとする。
さらに、Ni膜30上に窒化チタン(TiN)からなる保護膜31をスパッタにより形成する。TiNは、Tiターゲットと窒素ガスを使用して形成される。なお、保護膜31としてTiNの代わりにTiを形成してもよい。また、保護膜31を形成しなくてもよい。
なお、Ni膜30を形成する前に、シリコン基板1の表面を緩衝フッ酸(BHF)によりクリーニングする。クリーニングは、熱酸化膜に換算して5nmの厚さをエッチングする条件とする。
次に、図9(c)に示すように、220℃〜280℃(例えば260℃)の温度で、シリコン基板1を30秒間アニールすることによりニッケルとシリコンを反応させて、Nウェル4では、ソース/ドレイン領域22及びゲート電極14gのSiGe層29の上層にシリサイド層32,33を形成する。シリサイド層32,33は、ニッケルシリサイド層又はニッケルプラチナシリサイド層である。SiGe層29上のシリサイド層32にはゲルマニウムが含まれている。また、Pウェル5では、ソース/ドレイン領域22及びゲート電極14gの上層にシリサイド層34,35が形成される。
続いて、図10(a)に示すように、硫酸と過酸化水素水の溶液を用いて保護膜31と未反応のNi膜30を除去する。この溶液では、例えば硫酸:過酸化水素水=3:1の混合比とする。なお、硫酸と過酸化水素水の溶液の代わりに塩酸と過酸化水素水の溶液、或いは塩酸、硝酸と過酸化水素水の溶液を使用してもよい。その後、300℃〜500℃の温度帯でシリサイド層32〜35をアニールしてさらにニッケルとシリコンを反応させる。
シリサイド層32〜35の上には、保護膜として厚さ5nm〜10nm程度の酸化シリコン(SiOx(x;組成比))をプラズマCVD法により形成してもよい。シリサイド層32〜35の形成の後の工程では、シリサイド層32〜35を構成するNiSiが凝集しないように500℃以下のプロセスを行う。
なお、シリサイド層34,35として、ニッケルシリサイドやニッケル合金シリサイドに限られるものではなく、Ni膜30の代わりにコバルト(Co)膜やTi膜を用いて、コバルトシリサイド層(CoSi2)やチタンシリサイド(TiSi2)を形成してもよい。
以上により、Nウェル4には、ゲート電極14g、ソース/ドレイン領域21等を有するPMOSトランジスタT1が形成され、また、Pウェル5には、ゲート電極14g、ソース/ドレイン領域22等を有するNMOSトランジスタT2が形成される。
次に、図10(b)に示すように、シリコン基板1の上にプラズマCVD法によりシリコン窒化膜(SiN膜(y;組成比))37、シリコン酸化膜38をそれぞれ50nm、600nmの厚さに形成する。この場合、シリコン窒化膜37とシリコン酸化膜38の成長温度を例えば400℃とする。シリコン窒化膜37とシリコン酸化膜38は層間絶縁膜となる。シリコン窒化膜37は、チャネル部分のストレス制御を目的として、引っ張り応力を有する窒化膜や圧縮応力を有する窒化膜を用いてもよい。
さらに、図10(c)に示すように、シリコン酸化膜38をCMPにより研磨してその上面を平坦化する。
次に、フォトレジスト(不図示)をシリコン酸化膜38上に塗布し、これを露光、現像してゲート電極14g、ソース/ドレイン領域21,22の上のシリサイド層33〜35の上にレジスト開口部(不図示)を形成する。そして、レジスト開口部を通してシリコン酸化膜38、シリコン窒化膜37をエッチングすることにより、図11(a)に示すようにコンタクトホール38a〜38fをシリコン酸化膜38及びシリコン窒化膜37に形成する。コンタクトホール38a〜38fは、ソース/ドレイン領域21,22の底で45〜80nmとなる直径を有している。
この工程において、シリコン酸化膜38はCx1y1/Ar/O2係のガスを用いてドライエッチングによりエッチングされ、また、シリコン窒化膜37のエッチングはCHx2y2/O2/Ar係のガスを用いてドライエッチングによりエッチングされる。
コンタクトホール38a,38c,38d,38fは、その一部がSTI7に重なる位置に形成される。したがって、コンタクトホール38a,38c,38d,38fの形成の際に、シリコン酸化膜よりなるSTI7もわずかにエッチングされる。これにより、コンタクトホール38a,38c,38d,38fの実質的なアスペクト比が大きくなるし、コンタクトホール38a,38c,38d,38fの底部で凹凸が生じる。
コンタクトホール38a〜38fの形成に使用したフォトレジストを除去した後に、Ar+逆スパッタによりコンタクトホール38a〜38f内をクリーニングする。逆スパッタは、熱酸化膜に換算して5nmをエッチングする条件として、コンタクトホール38a〜38f底部や側壁をクリーニングする。クリーニング処理として、NF3などのフッ素化合物ガスを用いて化学的な反応を用いても良い。逆スパッタは物理的にコンタクトホール38a〜38f底部のシリサイド表面を除去するため、薄いシリサイドに対してはフッ化物ガスを用いた化学的クリーニングが有利な場合もある。また、アスペクト比の高いコンタクトホール38a〜38fである場合に、コンタクトホール38a〜38f底部を十分にクリーニングするためには、逆スパッタよりも化学的クリーニングの方が効果的である。
その後に、大気に曝すことなく、図11(b)に示すように、コンタクトホール38a〜38fの内壁面及び底面とシリコン酸化膜38上に、グルー(密着)層としてチタン(Ti)層41をプラズマ化学気相成長(CVD)法により形成する。Ti層41は、2nm〜8nm、例えば5nmの厚さに形成される。
Ti層41は、四塩化チタン(TiCl)ベースとし、反応・希釈ガスとしてAr、H2、He等の不活性ガスを含む反応ガスを用いて成長される。Ti層41を成長する際の基板温度は、例えば150℃〜700℃、好ましくは300℃〜650℃、さらに好ましくは400℃〜600℃程度に設定される。シリサイドの耐熱性の観点からは、600℃以下が望ましい。なお、TiCl代わりに四臭化チタン(TiBr)を用いてもよい。
Ti層41の成長雰囲気の圧力は4.5Paとし、成長雰囲気に導入される反応ガスのうちのTiCl4と希釈ガスとの流量比を1:250程度、又、TiBr4と希釈ガスとの流量比を1:150程度とする。
TiCl4、TiBr4を構成する塩素や臭素は還元性があるので、コンタクトホール38a〜38fの下のシリサイド層32〜35表面の酸化膜はTi層41を形成する際に除去される。
Ti層41表面をクリーニングするために水素(H2)雰囲気でアニールした後に、図11(c)に示すように、Ti層41上にバリアメタル層42としてタンタル(Ta)層をスパッタにより1nm〜20nm、例えば15nmの厚さに形成する。さらに、バリアメタル層42上にCuのシード層43をスパッタにより例えば50nmの厚さに形成する。
なお、Ti層41の形成からH2雰囲気でのアニールまでの間は、Ti層41が大気に暴露されないことが望ましいが、条件によっては大気に暴露されてもよい。
バリアメタル層42としては、Ta層に限られず、Ta、TiN、窒化タンタル(TaN)、ルテニウム(Ru)、窒化タングステン(WN)、W−N−C、Ti−Si−Nx(0≦x<1)、Ta−Si−Nx(0≦x<1)、W−Si−Nx(0≦x<1)のいずれか一つ或いはそれらの組み合わせを用いてもよい。
また、シード層43としてはCuに限られるものではなく、Ru、銅マンガン(CuMn)、銅アルミニウム(CuAl)、銅マグネシウム(CuMg)、銅錫(CuSn)、その他の銅合金のいずれか1つ或いはその組み合わせを採用してもよい。CuMnをシード層43に用いた場合には、Mnが自己整合的にバリア層を形成するため、バリアメタル層42の形成を省略してもよい。
バリアメタル層42の厚さは、1nm〜25nm程度であり、好ましくは400℃で長時間、例えば数時間以上の環境でCuの拡散を抑制できる最低限の値に決定される。バリアメタル層42の材料により異なるが、Ta層であればコンタクトホール38a〜38fの側壁で1nm以上の厚さに堆積されることが望ましい。バリアメタル層42があまり厚くなると、その後にコンタクトホール38a〜38f内に形成されるプラグ材料のCu量が減少してコンタクト抵抗が増加するので、バリアメタル層42の最大膜厚は20nm以下が望ましい。
なお、バリアメタル層42とTi層41の間には、バリア性を向上させるために、厚さ1nm〜5nm程度のTiN層をスパッタにより形成してもよい。
次に、図12(a)に示すように、Cu層44をメッキにより形成してコンタクトホール38a〜38f内に埋め込む。Cu層44の厚さは、シリコン酸化膜38の上面上で400nm程度とする。
その後に、図12(b)に示すように、バリアメタル層42をエンドポイント検出層として、CMPによりCu層44をシリコン酸化膜38の上面上から除去する。その後に、バリアメタル層42とTi層41を研磨してシリコン酸化膜38の上面上から除去する。これによりコンタクトホール38a〜38f内に残されたCu層44、シード層43、バリアメタル層42及びTi層41は、銅プラグ44a〜44fとなる。
次に、図13、図14に示すように、シリコン酸化膜38の上に第2の層間絶縁膜51を形成した後に、第2の層間絶縁膜51をパターニングして一層目の銅プラグ44a〜44fにそれぞれ接続される配線溝を形成し、その中に銅配線52a〜52fを形成する。銅配線52a〜52fの形成プロセスは、まず、第2の層間絶縁膜51に配線溝を形成し、その中にTaバリアメタル、銅膜を順に形成し、その後に、第2の層間絶縁膜51の上面からTaバリアメタル、銅膜をCMP等により除去する工程を有している。
さらに、第2の層間絶縁膜51と銅配線52a〜52fの上に第3の層間絶縁膜53を形成した後に、第3の層間絶縁膜53をパターニングして銅配線52a〜52fにそれぞれ接続されるコンタクトホールを形成し、その中に二層目の銅プラグ54a〜54fを形成する。銅プラグ54a〜54fの形成プロセスは、まず、第3の層間絶縁膜53にコンタクトホールを形成し、その中にTaバリアメタル、銅膜を順に形成し、その後に、第3の層間絶縁膜53の上面からTaバリアメタル、銅膜をCMP等により除去する工程を有している。
さらに、第3の層間絶縁膜53内の銅プラグ54a〜54fは、第3の層間絶縁膜53上に形成されるアルミニウム配線55a〜55fに接続される。なお、第2、第3の層間絶縁膜51,53の上面は、それぞれCMPにより平坦化される。
以上のような半導体装置の製造方法において、コンタクトホール38a〜38fの内面にグルー層としてTi層41をCVD法によりカバレッジ良く形成している。これにより、コンタクトホール38a〜38fの底部において、シリサイド層32〜35をTi層41により良好に覆うことができる。
しかも、図11(a)〜(c)に示すように、コンタクトホール38d,38f内面にCVD法によりTi層41を形成することにより、その底部において例えばシリサイド層32とSTI7の間に生じている段差、凹凸をTi層41により埋め込んで、コンタクトホール38d,38fの底部を平坦化することができる。
これにより、Ti層41上にバリア層42を形成する際のコンタクトホール38d,38f内の実質的なアスペクト比を減少させて、バリア層42をカバレッジ良くコンタクトホール38d,38f内面に成長させることができる。
また、コンタクトホール38d,38f内の底の全体をバリア層42で覆うことにより、銅層44とシリサイド層32〜35の接触が阻止される。さらに、カバレッジ良くバリア層42を形成することにより、コンタクトホール38a〜38f内でのボイドの発生が阻止される。
従って、コンタクトホール38a〜38f内に埋め込まれる銅層44とシリサイド層32〜35の接触は、バリア層42により良好に防止される。
また、コンタクトホール38a〜38fの下のシリサイド層32〜35の表面の酸化膜は、Ti層41を形成する前のクリーニング処理、又は、Ti層41を形成する際の還元作用により除去されるので、Ti層41とシリサイド層32〜35のコンタクト抵抗の増加が防止される。
以上により、ソース/ドレイン領域21,22上で、コンタクトホール38a,38c,38d,38f内に形成される銅プラグ44a,44c,44d,44fとシリサイド層32,34の接合リークが抑制される。しかも、コンタクトホール38a〜38fの直径が80nm以下と狭くなっても、コンタクトホール38a,38c,38d,38f内でのボイドの発生の防止、及びシリサイド層32,34表面の酸化膜除去により、それらのコンタクト抵抗を下げることができる。コンタクトホール38a〜38fの直径が80nm以下に適用される半導体装置として例えばSRAMがある。
図15は、コンタクトホール内面にTi層をCVD法により形成した場合と形成しなかった場合の銅プラグと、従来のタングステンプラグのそれぞれのコンタクト抵抗の測定結果を示している。
図15によれば、銅プラグのグルー層としてTi層をCVD法で形成することによりコンタクト抵抗が1/3程度に低下することがわかる。また、銅プラグのバリア層としてTa層を形成した場合とTaSiN層を形成した場合を比較すると、Ta層の方がコンタクト抵抗は低くなった。
以下に、本発明の特徴を付記する。
(付記1)半導体基板上にシリサイド層を形成する工程と、前記シリサイド層上に絶縁膜を形成する工程と、前記絶縁膜に、前記シリサイド層に達するホールを形成する工程と、前記ホールの底面及び内壁面にチタン層を形成する工程と、銅拡散防止用のバリア層を前記ホール内の前記チタン層上に形成する工程と、銅層を前記ホール内に埋め込む工程とを有することを特徴とする半導体装置の製造方法。
(付記2)前記チタン層は、化学気相成長法により形成されることを特徴とする付記1に記載の半導体装置の製造方法。
(付記3)前記化学気相成長法は、ソースガスがTiCl4、TiBr4のいずれかを含むことを特徴とする付記2に記載の半導体装置の製造方法。
(付記4)前記化学気相成長法は、さらに不活性ガスを含んで行なわれることを特徴とする付記3に記載の半導体装置の製造方法。
(付記5)前記半導体基板を150℃以上、700℃以下の範囲の温度で加熱しながら、前記チタン層を成長することを特徴とする付記2乃至付記4のいずれかに記載の半導体装置の製造方法。
(付記6)前記チタン層は、前記ホールの側壁での厚さが1nm〜10nmで成長されることを特徴とする付記1乃至付記5のいずれかに記載の半導体装置の製造方法。
(付記7)前記チタン層を形成する前に、前記ホール内と前記シリサイド層の表面をクリーニングする工程を有することを特徴とする付記1乃至付記6のいずれかに記載の半導体装置の製造方法。
(付記8)前記ホール内と前記シリサイド層の前記表面をクリーニングする工程は、アルゴンイオンによる物理的スパッタクリーニングか、フッ素化合物ガスを用いた化学的クリーニングのいずれかであることを特徴とする付記7に記載の半導体装置の製造方法。
(付記9)前記チタン層を形成した後に、前記半導体基板を水素雰囲気でアニールすることを特徴とする付記1乃至付記8のいずれかに記載の半導体装置の製造方法。
(付記10)前記バリア層は、Ta、TiN、TaN、Ru、WN、W−N−C、Ti−Si−Nx(0≦x<1)、Ta−Si−Nx(0≦x<1)、W−Si−Nx(0≦x<1)のうち少なくとも1つ選択される膜であることを特徴とする付記1乃至付記9のいずれかに記載の半導体装置の製造方法。
(付記11)前記バリア層の厚さは、1nm以上、20nm以下であることを特徴とする付記1乃至付記10のいずれかに記載の半導体装置の製造方法。
(付記12)前記ホール内での前記銅層の埋め込みは、前記ホール内で前記バリア層上にシード層を形成する工程を有することを特徴とする付記1乃至付記11のいずれかに記載の半導体装置の製造方法。
(付記13)前記シード層は、Cu、Ru、Cu合金のいずれかであることを特徴とする付記12に記載の半導体装置の製造方法。
(付記14)前記絶縁膜の上面上の前記銅層、前記バリア層、前記チタン層は、化学機械研磨により除去されることを特徴とする付記1乃至付記13に記載の半導体装置の製造方法。
(付記15)前記シリサイド層は、ニッケルシリサイド、コバルトシリサイド、ニッケル合金のいずれか1つであることを特徴とする付記1乃至付記14のいずれかに記載の半導体装置の製造方法。
(付記16)前記ニッケル合金は、ニッケルとプラチナの合金であることを特徴とする付記15に記載の半導体装置の製造方法。
(付記17)前記シリサイド層は、前記半導体基板に形成されたMOSトランジスタのソース/ドレイン領域の表面に形成され、前記ソース/ドレイン領域は、前記半導体基板に絶縁材が埋め込まれた素子分離構造により分離され、前記ホールの底部は、前記シリサイド層及び前記シリサイド層に隣接する前記素子分離構造上に形成されていることを特徴とする付記1乃至付記16のいずれかに記載の半導体装置の製造方法。
(付記18)前記半導体基板に形成されたPMOSトランジスタのソース/ドレイン領域の表面にシリコンゲルマニウム層を形成する工程と、前記シリコンゲルマニウム層と金属の反応により前記シリサイド層を形成する工程とを有することを特徴とする付記1乃至付記17のいずれかに記載の半導体装置の製造方法。
(付記19)半導体基板と、前記半導体基板上に形成されたシリサイド層と、前記シリサイド層上に形成された絶縁膜と、前記絶縁膜に形成され、前記シリサイド層に達するホールと、前記ホールの内壁面及び底面に形成されたチタン層と、前記チタン層上に形成された銅拡散防止用のバリア層と、前記バリア層上に形成され、前記ホールを埋め込む銅層とを有することを特徴とする半導体装置。
(付記20)前記バリア層はTa、TiN、TaN、Ru、WN、W−N−C、Ti−Si−Nx(0≦x<1)、Ta−Si−Nx(0≦x<1)、W−Si−Nx(0≦x<1)のうち少なくとも1つ選択される膜であることを特徴とする付記19に記載の半導体装置。
図1は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その1)を示している。 図2は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その2)を示している。 図3は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その3)を示している。 図4は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その4)を示している。 図5は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その5)を示している。 図6は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その6)を示している。 図7は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その7)を示している。 図8は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その8)を示している。 図9は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その9)を示している。 図10は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その10)を示している。 図11は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その11)を示している。 図12は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その12)を示している。 図13は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その13)を示している。 図14は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その14)を示している。 図15は、本発明の実施形態に係るプラグと従来技術のプラグのコンタクト抵抗の累積確率分布図である。 図16は、リファレンスの半導体装置の製造工程を示す断面図である。
符号の説明
1 シリコン(半導体)基板
4 Nウェル
5 Pウェル
7 STI
14g ゲート電極
21a,22a エクステンション領域
21、22 ソース/ドレイン領域
25 サイドウォール
28 凹部
29 SiGe層
32,34 シリサイド層
37 シリコン窒化膜
38 シリコン酸化膜
38a〜38f コンタクトホール
41 Ti層
42 バリア層
43 シード層
44 Cu層
44a〜44f プラグ

Claims (8)

  1. 半導体基板に絶縁材が埋め込まれた素子分離構造により分離され、前記半導体基板に形成されたMOSトランジスタのソース/ドレイン領域の表面に、シリサイド層を形成する工程と、
    前記シリサイド層上に絶縁膜を形成する工程と、
    前記シリサイド層及び前記シリサイド層に隣接する前記素子分離構造上に底部が位置し、前記シリサイド層に達するホールを、前記絶縁膜に形成する工程と、
    前記ホールの底面及び内壁面にチタン層を化学気相成長法によって形成する工程と、
    Ta、TaN、Ru、WN、W−N−C、Ti−Si−Nx(0≦x<1)、Ta−Si−Nx(0≦x<1)、W−Si−Nx(0≦x<1)のいずれかを有するバリア層を前記ホール内の前記チタン層上に形成する工程と、
    銅層を前記ホール内に埋め込む工程と
    を有することを特徴とする半導体装置の製造方法。
  2. 前記化学気相成長法は、ソースガスがTiCl4、TiBr4のいずれかを含むことを特徴とする請求項に記載の半導体装置の製造方法。
  3. 前記半導体基板を150℃以上、700℃以下の範囲の温度で加熱しながら、前記チタン層を成長することを特徴とする請求項又は請求項に記載の半導体装置の製造方法。
  4. 前記チタン層は、前記ホールの側壁での厚さが1nm〜10nmで成長されることを特徴とする請求項1乃至請求項のいずれかに記載の半導体装置の製造方法。
  5. 前記チタン層を形成する前に、前記ホール内と前記シリサイド層の表面をクリーニングする工程を有することを特徴とする請求項1乃至請求項のいずれかに記載の半導体装置の製造方法。
  6. 前記チタン層を形成した後に、前記半導体基板を水素雰囲気でアニールすることを特徴とする請求項1乃至請求項のいずれかに記載の半導体装置の製造方法。
  7. 前記シリサイド層は、ニッケルシリサイド、コバルトシリサイド、ニッケル合金のいずれか1つであることを特徴とする請求項1乃至請求項のいずれかに記載の半導体装置の製造方法。
  8. 前記チタン層を形成する工程の後であって前記バリア層を形成する工程の前に、前記チタン層上にTiN層を形成する工程を更に有することを特徴とする請求項1乃至のいずれかに記載の半導体装置の製造方法。
JP2007036377A 2007-02-16 2007-02-16 半導体装置の製造方法 Expired - Fee Related JP5211503B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2007036377A JP5211503B2 (ja) 2007-02-16 2007-02-16 半導体装置の製造方法
KR1020080013816A KR100971922B1 (ko) 2007-02-16 2008-02-15 반도체 장치 및 그 제조 방법
US12/031,826 US8076239B2 (en) 2007-02-16 2008-02-15 Semiconductor device and method of manufacturing the same
TW097105318A TWI353037B (en) 2007-02-16 2008-02-15 Semiconductor device and method of manufacturing t

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007036377A JP5211503B2 (ja) 2007-02-16 2007-02-16 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2008205010A JP2008205010A (ja) 2008-09-04
JP5211503B2 true JP5211503B2 (ja) 2013-06-12

Family

ID=39782244

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007036377A Expired - Fee Related JP5211503B2 (ja) 2007-02-16 2007-02-16 半導体装置の製造方法

Country Status (4)

Country Link
US (1) US8076239B2 (ja)
JP (1) JP5211503B2 (ja)
KR (1) KR100971922B1 (ja)
TW (1) TWI353037B (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8258057B2 (en) * 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
JP5343417B2 (ja) * 2008-06-25 2013-11-13 富士通セミコンダクター株式会社 半導体装置およびその製造方法
JP2010186877A (ja) 2009-02-12 2010-08-26 Renesas Electronics Corp 半導体装置およびその製造方法
US8507988B2 (en) * 2009-10-02 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage devices, systems, and methods for forming the high voltage devices
US8610283B2 (en) * 2009-10-05 2013-12-17 International Business Machines Corporation Semiconductor device having a copper plug
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8852674B2 (en) 2010-11-12 2014-10-07 Applied Materials, Inc. Method for segregating the alloying elements and reducing the residue resistivity of copper alloy layers
JP2013165224A (ja) * 2012-02-13 2013-08-22 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
US8716765B2 (en) * 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
US8835309B2 (en) 2012-09-13 2014-09-16 International Business Machines Corporation Forming nickel—platinum alloy self-aligned silicide contacts
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
DE112016005330T5 (de) * 2015-11-20 2018-08-23 Semiconductor Energy Laboratory Co., Ltd. Halbleitervorrichtung, Herstellungsverfahren der Halbleitervorrichtung oder Anzeigevorrichtung, die die Halbleitervorrichtung beinhaltet
US10269646B2 (en) * 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI742137B (zh) * 2016-12-15 2021-10-11 台灣積體電路製造股份有限公司 半導體裝置的製造方法
US10186456B2 (en) * 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US10840189B2 (en) * 2018-07-30 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit devices having raised via contacts and methods of fabricating the same
US11257755B2 (en) * 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
US11776901B2 (en) * 2021-03-10 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Via landing on first and second barrier layers to reduce cleaning time of conductive structure

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08176823A (ja) 1994-12-26 1996-07-09 Sony Corp 高融点金属薄膜の成膜方法
JPH08213343A (ja) * 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
JPH08330424A (ja) * 1995-06-02 1996-12-13 Hitachi Ltd 半導体集積回路装置およびその製造方法ならびにそれに用いる製造装置
JPH08335641A (ja) * 1995-06-06 1996-12-17 Texas Instr Inc <Ti> チタン・ポリサイドcmos回路にオーミック・コンタクトを設ける方法及びそのオーミック・コンタクトを有する集積回路
JP3129232B2 (ja) * 1997-05-08 2001-01-29 日本電気株式会社 半導体装置の製造方法
KR19990010041A (ko) * 1997-07-14 1999-02-05 문정환 반도체소자의 확산방지막 형성방법
US5976976A (en) * 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
JP3189771B2 (ja) * 1997-11-26 2001-07-16 日本電気株式会社 半導体装置の製造方法
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6284052B2 (en) * 1998-08-19 2001-09-04 Sharp Laboratories Of America, Inc. In-situ method of cleaning a metal-organic chemical vapor deposition chamber
US6338880B1 (en) * 1998-09-04 2002-01-15 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound
US6146991A (en) * 1999-09-03 2000-11-14 Taiwan Semiconductor Manufacturing Company Barrier metal composite layer featuring a thin plasma vapor deposited titanium nitride capping layer
US6184138B1 (en) * 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
US6277744B1 (en) * 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
TW584921B (en) 2000-02-18 2004-04-21 Applied Materials Inc Method and apparatus for annealing copper films
KR100762863B1 (ko) * 2000-06-30 2007-10-08 주식회사 하이닉스반도체 확산방지 티타늄-실리콘-질소 막을 이용한 구리금속배선방법
US20020020476A1 (en) * 2000-07-12 2002-02-21 Eiichi Ishii Method of surface treatment of titanium metal
GB2366338B (en) * 2000-08-22 2003-11-19 Draftex Ind Ltd Protective bellows
DE10056871B4 (de) * 2000-11-16 2007-07-12 Advanced Micro Devices, Inc., Sunnyvale Feldeffekttransistor mit verbessertem Gatekontakt und Verfahren zur Herstellung desselben
KR100462759B1 (ko) * 2002-05-06 2004-12-20 동부전자 주식회사 확산 장벽층을 갖는 금속 배선 및 그 제조 방법
JP2004111479A (ja) * 2002-09-13 2004-04-08 Toshiba Corp 半導体装置及びその製造方法
KR100528446B1 (ko) 2003-12-30 2005-11-15 주식회사 하이닉스반도체 반도체 소자의 콘택 형성방법
US20050221612A1 (en) * 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
CN100474517C (zh) * 2004-04-09 2009-04-01 东京毅力科创株式会社 Ti膜及TiN膜的成膜方法
KR100695887B1 (ko) * 2004-12-09 2007-03-20 삼성전자주식회사 티타늄질화막 형성 방법 및 상기 티타늄질화막을 이용한금속-절연체-금속 커패시터의 하부전극 형성 방법
JP2006165469A (ja) * 2004-12-10 2006-06-22 Fujitsu Ltd 半導体装置及びその製造方法
KR100645207B1 (ko) * 2005-02-23 2006-11-10 주식회사 하이닉스반도체 반도체 소자의 배선 형성 방법
US7485556B2 (en) * 2005-03-18 2009-02-03 Applied Materials, Inc. Forming metal silicide on silicon-containing features of a substrate
US7365009B2 (en) * 2006-01-04 2008-04-29 United Microelectronics Corp. Structure of metal interconnect and fabrication method thereof
JP2007201054A (ja) * 2006-01-25 2007-08-09 Matsushita Electric Ind Co Ltd 接続部構造及びその製造方法
US7407875B2 (en) * 2006-09-06 2008-08-05 International Business Machines Corporation Low resistance contact structure and fabrication thereof

Also Published As

Publication number Publication date
US20080265417A1 (en) 2008-10-30
KR100971922B1 (ko) 2010-07-22
TWI353037B (en) 2011-11-21
KR20080076821A (ko) 2008-08-20
US8076239B2 (en) 2011-12-13
JP2008205010A (ja) 2008-09-04
TW200847331A (en) 2008-12-01

Similar Documents

Publication Publication Date Title
JP5211503B2 (ja) 半導体装置の製造方法
US7229871B2 (en) Integrated circuit containing polysilicon gate transistors and fully silicidized metal gate transistors
JP4653949B2 (ja) 半導体装置の製造方法および半導体装置
TW201935649A (zh) 半導體裝置及其形成方法
US8058728B2 (en) Diffusion barrier and adhesion layer for an interconnect structure
US7727883B2 (en) Method of forming a diffusion barrier and adhesion layer for an interconnect structure
JP2007027680A (ja) 半導体装置の製造方法および半導体装置
JP2007214538A (ja) 半導体装置およびその製造方法
JP5549458B2 (ja) 半導体装置の製造方法
US6531749B1 (en) Field effect transistor having a two layered gate electrode
TWI827712B (zh) 半導體裝置與其形成方法
JP5598145B2 (ja) 半導体装置の製造方法及び半導体装置
TW201926556A (zh) 半導體製作方法
TW202107567A (zh) 積體電路結構及其製造方法
US8642477B2 (en) Method for clearing native oxide
US20090075477A1 (en) Method of manufacturing semiconductor device
JP2010186877A (ja) 半導体装置およびその製造方法
JPH08111527A (ja) 自己整合シリサイド領域を有する半導体デバイスの製造方法
US20070202695A1 (en) Method for fabricating a semiconductor device
US20230420565A1 (en) Method for forming dual silicide in manufacturing process of semiconductor structure
JP2008192661A (ja) 半導体装置の製造方法
JP5119696B2 (ja) 半導体装置の製造方法
JP4457884B2 (ja) 半導体装置
TW202306158A (zh) 半導體裝置結構及其形成方法
JP2009099611A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080731

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091007

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120717

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120918

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121009

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130211

R150 Certificate of patent or registration of utility model

Ref document number: 5211503

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160308

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees