JP5114919B2 - 半導体装置とその製造方法 - Google Patents

半導体装置とその製造方法 Download PDF

Info

Publication number
JP5114919B2
JP5114919B2 JP2006290773A JP2006290773A JP5114919B2 JP 5114919 B2 JP5114919 B2 JP 5114919B2 JP 2006290773 A JP2006290773 A JP 2006290773A JP 2006290773 A JP2006290773 A JP 2006290773A JP 5114919 B2 JP5114919 B2 JP 5114919B2
Authority
JP
Japan
Prior art keywords
stress
region
gate electrode
conductivity type
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006290773A
Other languages
English (en)
Other versions
JP2008108929A (ja
Inventor
裕之 大田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2006290773A priority Critical patent/JP5114919B2/ja
Priority to US11/797,253 priority patent/US7592214B2/en
Priority to CN2007101042073A priority patent/CN101170112B/zh
Publication of JP2008108929A publication Critical patent/JP2008108929A/ja
Priority to US12/541,279 priority patent/US8258576B2/en
Application granted granted Critical
Publication of JP5114919B2 publication Critical patent/JP5114919B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本発明は、半導体装置とその製造方法に関し、特に応力印加機構を有するMOSトランジスタを含む半導体装置とその製造方法に関する。なお、半導体活性領域上に、ゲート絶縁膜と導電体の積層を含むゲート電極を有する電界効果トランジスタをMOSトランジスタと呼ぶ。
シリコン半導体集積回路の集積度向上、動作速度向上のために微細化が進められてきた。微細化と共にMOSトランジスタのゲート長は短縮化されている。ゲート長65nm以下では、微細化で性能向上を期待することに限界が見えてきた。
微細化以外で、MOSトランジスタの性能向上を行う技術として、歪(応力印加)によってキャリアの移動度を向上する歪トランジスタが注目されている。MOSトランジスタのチャネル領域に応力を印加して歪を発生させ、電子やホールの移動度を上げることにより、オン電流を向上する。
nチャネル(N)MOSトランジスタは引っ張り応力により電子の移動度が向上する。pチャネル(P)MOSトランジスタは、圧縮応力によりホールの移動度が向上する。
NMOSトランジスタの場合、ソース/ドレイン領域をSi基板より格子定数の小さいシリコン−カーボン(Si−C)混晶(Cを添加したSi)で形成すると、チャネルのSi結晶に引っ張り応力が印加され、電子の移動度が大きくなる。
K. Ang etal:IEDM Tech. Dig., 2004, p.1069 PMOSトランジスタの場合、ソース/ドレイン領域をSi基板より格子定数の大きいシリコン−ゲルマニウム(Si−Ge)混晶で形成すると、チャネルのSi結晶に圧縮応力が印加され、ホールの移動度が大きくなる。 T. Ghani et al:IEDM Tech. Dig., 2003, p.978 Y. S. Kim et al:Proceedings of ESSDERC 2005, p.305 ゲート長がさらに短くなると、ショートチャネル効果を抑制するため、ソース/ドレイン領域の浅い接合深さを実現することがさらに難しくなる。Si基板上にSiの選択的エピタキシャル成長を行い、エクステンション領域、さらにはソース/ドレイン領域をエピタキシャル層で形成する提案もされている。 H. Wakabayashiet al: IEDM 2005, pp.151-154
MOSトランジスタのチャネルに応力を印加することにより、キャリアの移動度を増大し、MOSトランジスタの性能を向上させることができる。NMOSトランジスタは引っ張り応力で電子の移動度が増大し、PMOSトランジスタは圧縮応力でホールの移動度が増大する。
PMOSトランジスタのソース/ドレイン領域をエッチングで掘り下げて、Si−Ge結晶を成長し、NMOSトランジスタのソース/ドレイン領域をエッチングで掘り下げて、Si−C結晶を成長すれば、PMOS,NMOSそれぞれにおいて望ましい応力を印加できるが、製造プロセスは複雑化する。より簡便な製造プロセスが望まれる。
本発明の目的は、NMOSトランジスタおよびPMOSトランジスタを含む半導体装置において、応力利用により性能を向上すると共に、工程を簡略化できる技術を提供することである。
本発明の1観点によれば、
第1導電型の第1の活性領域、第2導電型の第2の活性領域を含む半導体基板と、
前記第1の活性領域上に形成された第1のゲート電極構造と、前記第1のゲート電極構造両側の前記第1の活性領域に形成された第2導電型の第1のソース/ドレイン領域と、前記第1のソース/ドレイン領域の表面から掘り下げられた凹部と、前記凹部に形成され、前記第1のゲート電極構造下方の第1のチャネルに第1の応力を印加する第2導電型の半導体埋め込み領域とを有する第1のMOSトランジスタと、
前記第2の活性領域上に形成された第2のゲート電極構造と、前記第2のゲート電極構造両側の前記第2の活性領域に形成され、平坦な上面を有する第1導電型の第2のソース/ドレイン領域と、前記第2のソース/ドレイン領域上に形成され、前記第2のゲート電極構造下方の第2のチャネルに前記第1の応力とは反対の第2の応力を印加する第1導電型の半導体エピタキシャル層とを有する第2のMOSトランジスタと、
を有し、
前記第1導電型がn型、前記第2導電型がp型であるときは、前記第1の応力は圧縮応力、前記第2の応力は引張応力であり、
前記第1の導電型がp型、前記第2の導電型がn型であるときは、前記第1の応力は引張応力、前記第2の応力は圧縮応力である半導体装置
が提供される。
本発明の他の観点によれば、
(a)半導体基板に、第1導電型の第1の活性領域、第2導電型の第2の活性領域を形成する工程と、
(b)前記第1、第2の活性領域上に、第1、第2のゲート電極構造をそれぞれ形成する工程と、
(c)前記第1のゲート電極構造両側の前記第1の活性領域、及び前記第2のゲート電極構造両側の第2の活性領域の平坦な上面上に、第1導電型の半導体エピタキシャル層を形成する工程と、
(d)前記エピタキシャル層を介して、前記第1の活性領域に第2導電型の不純物、前記第2の活性領域に第1導電型の不純物をイオン注入して、前記第1の活性領域に第1のソース/ドレイン領域、前記第2の活性領域に第2のソース/ドレイン領域を形成する工程と、
(e)前記第1のソース/ドレイン領域及び前記第2のソースドレイン領域を形成する工程の後、前記第1の活性領域に形成された前記エピタキシャル層及び前記第1のソース/ドレイン領域の少なくとも一部を除去して、凹部を形成する工程と、
(f)前記凹部に、前記第1のゲート電極構造下方の第1のチャネルに第1の応力を印加する第2導電型の半導体埋め込み領域を形成する工程と、
を有し、
前記半導体エピタキシャル層は、前記第2のゲート電極構造下方の第2のチャネルに前記第1の応力とは反対の第2の応力を印加し、
前記第1導電型がn型、前記第2導電型がp型であるときは、前記第1の応力は圧縮応力、前記第2の応力は引張応力であり、
前記第1導電型がp型、前記第2導電型がn型であるときは、前記第1の応力は引張応力、前記第2の応力は圧縮応力である半導体装置の製造方法
が提供される。
NMOSトランジスタ、PMOSトランジスタの一方においてはソース/ドレイン領域をエッチングで掘り下げ、応力印加物質を埋め込み、他方においては、エッチングは行なわず、エピタキシャル成長を行なうことにより、製造プロセスが簡略化できる。
エピタキシャル成長した状態で、イオン注入を行なうことにより、イオン注入条件は緩和できる。
以下、図面を参照して本発明の実施例を説明する。
図1A,1Bは、第1、第2の実施例による半導体装置の構成を概略的に示す断面図である。
図1Aにおいて、Si基板1の表面には素子分離領域2によって画定された活性領域にp型ウェルPW,n型ウェルNWが形成されている。素子分離領域2は、例えばシャロートレンチアイソレーション(STI)で形成され、酸化シリコン膜ライナ2a、窒化シリコン膜ライナ2b、高密度プラズマ気相堆積(HDP−CVD)で堆積され、緻密化処理された酸化シリコン膜2cで形成される。p型ウェルPWにNMOSトランジスタが形成され、n型ウェルNWにPMOSトランジスタが形成される。
活性領域を横断して、ゲート絶縁膜4、ポリシリコン層5、シリサイド層16の積層で形成されたゲート電極が形成されている。ゲート電極の側壁上には、酸化シリコン膜7、窒化シリコン膜8の積層で第1サイドウォールスペーサが形成されている。ゲート電極両側の活性領域中に第1エクステンション領域Exn1,Exp1が形成され、第1サイドウォールスペーサ両側の活性領域中に第2エクステンション領域Exn2,Exp2が形成されている。
NMOSトランジスタの第1サイドウォールスペーサ外側の活性領域表面上にSi−Cエピタキシャル層9が成長されている。Siより格子定数の小さいSi−Cエピタキシャル層9は、チャネルのゲート長方向に引張応力を印加し、電子の移動度を向上する。NMOSトランジスタのエピタキシャル層9の上、かつ第1サイドウォールスペーサの側壁上にさらに酸化シリコン膜11、窒化シリコン膜14で形成された第2サイドウォールスペーサが形成され、その外側の活性領域中にソース/ドレイン領域S/Dが形成されている。第2サイドウォールスペーサ外側のSi−Cエピタキシャル層9上にNi−Siシリサイド層16が形成されている。
PMOSトランジスタの第1サイドウォールスペーサ外側の活性領域にはソース/ドレイン領域S/Dが形成され、ソース/ドレイン領域S/Dの表面部がエッチングで掘り下げられ、凹部12を形成している。凹部12上にSiより格子定数の大きいSi−Ge混晶のエピタキシャル層13が成長されている。Si−Geエピタキシャル層13は、チャネルのゲート長方向に圧縮応力を印加し、正孔の移動度を向上する。PMOSトランジスタのエピタキシャル層13の上、かつ第1サイドウォールスペーサの側壁上にさらに窒化シリコン膜14で形成された第2サイドウォールスペーサが形成され、その外側のSi−Geエピタキシャル層13上にNi−Siシリサイド層16が形成されている。
図1Aの構成によれば、PMOSトランジスタのみソース/ドレイン領域の表面部がエッチングされて凹部が形成され、凹部にSi−Ge結晶が埋め込まれる。NMOSトランジスタにおいては、凹部を形成することなく、Si基板上にSi−Cエピタキシャル層が成長されている。PMOSトランジスタ.NMOSトランジスタそれぞれのソース/ドレイン領域を掘り下げ、Si−GeおよびSi−Cをエピタキシャル成長させる場合と比べ、工程が簡略化できる。NMOSトランジスタにおいては、シリコン基板上にSi−C結晶を成長することにより、ソース/ドレイン表面が基板表面からせり上がる。エピタキシャル層の厚さ分、Si基板中のイオン注入深さが浅くなり、イオン注入条件が緩和される。
図1Bの構成は、Si基板に形成したNMOSトランジスタのソース/ドレイン領域上に、図1AのSi−C層9に代え、Si層10をエピタキシャル成長している。その他の点は図1Aと同様である。エピタキシャル層10によるソース/ドレイン領域表面のせり上げによる、イオン注入条件の緩和は図1Aの場合と同様に得られる。Si基板1上のエピタキシャル層10がシリコンであるため、エピタキシャル層10から基板に実質的な応力は印加できない。
図2A〜2Lは、図1A,1Bに示す半導体装置の製造方法の主要工程を示す半導体基板の概略断面図である。
図2Aに示すように、シリコン基板1に、活性領域を画定する素子分離領域2を形成する。素子分離領域2は、例えばシャロートレンチアイソレーション(STI)により形成する。シリコン基板1表面上に、酸化シリコン膜を介して素子分離領域上に開口を有する窒化シリコン膜パターンを形成し、開口内のシリコン基板1をエッチングしてトレンチTを形成する。トレンチT内に露出したシリコン表面を熱酸化し、酸化シリコン膜2aの第1ライナを形成する。酸化シリコン膜2aを覆うように窒化シリコン膜2bの第2ライナを、例えば化学気相堆積(CVD)により形成する。このように2種類のライナを形成した後、高密度プラズマ(HDP)CVDによりトレンチ内を酸化シリコン膜2cで埋め込む。基板表面上に堆積した不要な酸化シリコン膜を化学機械研磨(CMP)により研磨、除去する。このCMPの際、窒化シリコン膜パターンがストッパとして機能する。CMP後、窒化シリコン膜を例えば熱燐酸により除去する。さらに、酸化シリコン膜を希フッ酸等により除去する。このようにして、図2Aに示すようなSTIによる素子分離領域2を形成することができる。
素子分離領域2を形成した後、レジストマスクにより領域を分け、p型不純物をイオン注入してNMOSトランジスタ領域にp型ウエルPW、n型不純物をイオン注入してPMOSトランジスタ領域にn型ウエルNWを形成する。
活性領域表面上の酸化シリコン膜を希フッ酸等により除去し、新たに熱酸化を行い、例えば厚さ1.2nmのゲート絶縁膜4を形成する。酸化シリコン膜に窒素を導入してもよい。酸化シリコン膜上に誘電率の高い他の絶縁体膜を積層してもよい。このゲート絶縁膜4の上に、例えば厚さ100nmのポリシリコン層5を形成し、ゲート電極層とする。
ゲート電極層5の上に、ゲート電極形状のホトレジストパターンPRを形成し、その下のポリシリコン層5、ゲート絶縁膜4を異方性エッチングによりパターニングする。その後ホトレジストパターンはアッシング等により除去する。
図2Bに示すように、PMOSトランジスタ領域を覆うホトレジストパターンPRを形成し、NMOSトランジスタ領域に対しp型不純物をイオン注入する。例えば、Inを加速エネルギ50keV、ドーズ量5×1013cm-2(以下5E13のように表記する)で、面法線から例えば28度傾いた4方向からイオン注入する。このp型不純物のイオン注入により、p型ウェルPWの表面領域にp型不純物濃度を高めたポケット領域Pknが形成される。n型不純物をイオン注入してn型第1エクステンション領域Exn1を形成する。例えば、Asを加速エネルギ5keV、ドーズ量1E15で垂直方向からイオン注入する。第1エクステンション領域Exn1は、その周囲をポケット領域Pknで包まれた形状となり、浅い接合深さが実現する。その後、PMOSトランジスタ領域を覆うホトレジストパターンPRは除去する。
図2Cに示すように、NMOSトランジスタ領域を覆うホトレジストパターンPRを形成し、PMOSトランジスタ領域に、n型不純物を斜めイオン注入してn型ポケット領域Pkpを形成し、p型不純物をイオン注入してp型第1エクステンション領域Exp1を形成する。例えば、n型不純物、Sb、を加速エネルギ60keV、ドーズ量5E13で4方向から斜めイオン注入し、n型ポケット領域Pkpを形成する。p型不純物、Bを加速エネルギ5keV、ドーズ量1E15でイオン注入し、p型第1エクステンション領域Exp1を形成する。その後NMOSトランジスタ領域を覆うホトレジストパターンPRは除去する。なお、ポケット領域はウェルと同導電型であるので、以後図示を省略する。
図2Dに示すように、ゲート電極を覆って、基板上に酸化シリコン膜7と窒化シリコン膜8の積層からなるサイドウォールスペーサSWを形成する。例えばテトラエトキシシラン(TEOS)と酸素をソースガスとし、温度550℃〜700℃の熱CVDにより厚さ5nm〜30nmの酸化シリコン膜7をゲート電極構造を覆って基板上に堆積する。酸化シリコン膜7の上に、ジクロルシランSiH2ClとアンモニアNHをソースガスとし、温度600℃〜800℃の熱CVDにより厚さ10nm〜60nmの窒化シリコン膜8を堆積する。ハイドロフルオロカーボンをエッチングガスとしたリアクティブイオンエッチング(RIE)により、窒化シリコン膜8、酸化シリコン膜7を異方性エッチングし、ゲート電極構造側壁上に第1サイドウォールスペーサSW1を残す。第1サイドウォールスペーサSW1は、例えば厚さ30nmとする。
図2Eに示すように、活性領域のシリコン表面上に選択的にSiにCを添加したSi−C膜9又はSi膜10を厚さ約20nmエピタキシャル成長する。ポリシリコンのゲート電極上には、多結晶が成長する。
Si−Cエピタキシャル層9を成長するには、成膜温度(基板温度)は、例えば600℃〜900℃とし、SiHCl(Siのソースガス)を流量50sccm〜300sccm、SiH(CH)(Cのソースガス)を流量2sccm〜50sccm、HClを流量30sccm〜300sccm、さらにHを流す。製膜室内の圧力は例えば100Pa〜5000Paとする。減圧気相堆積(LPCVD)の選択的エピタキシャル成長により、シリコン表面にSi−C結晶9が成長し、絶縁膜上には成長しない。なお、Siのソースガスとしては、SiH2Clの代わりにSiH、Si、Si、SiCl等を用いてもよい。HClの代わりにCl2を用いてもよい。Cのソースガスとしては、SiHCHを用いる。Si−CのC組成は0.1〜5.0at%とするのがよい。
Siエピタキシャル層10を成長するには、成膜温度を700℃〜800℃とし、SiHCl(Siのソースガス)を流量20sccm〜100sccm、HClを流量20sccm〜100sccm、Hを流量20slm〜40slm流す。製膜室内の圧力は例えば2.666×10Pa〜1.333×10Paとする。減圧気相堆積(LPCVD)の選択的エピタキシャル成長により、シリコン表面にSi結晶10が成長し、絶縁膜上には成長しない。
エピタキシャル層9(10)を成長した状態で、レジストマスクでNMOS領域を覆い、p型不純物、例えばBをイオン注入し、p型第2エクステンション領域Exp2を形成し、さらにp型不純物、例えばBをさらに深く、高濃度にイオン注入し、ソース/ドレイン領域S/Dを形成する。また、レジストマスクでPMOS領域を覆い、NMOSトランジスタ領域にn型不純物、例えばPをイオン注入し、第2エクステンション領域Exn2を形成する。なお、第2エクステンション領域Ex2は、第1エクステンション領域Ex1とソース/ドレイン領域S/Dの中間に不純物添加領域を形成して、抵抗値を下げる領域であるが、省略してもよい。
図2Fに示すように、例えば高密度プラズマ促進気相堆積(HDP−CVD)により酸化シリコン膜11を厚さ約40nm堆積する。NMOS領域を覆うレジストパターンを形成し、PMOS領域の酸化シリコン膜11をエッチング除去する。この酸化シリコン膜はSi−Cエピタキシャル層9またはSiエピタキシャル層10と、Si基板をエッチングする時のマスクとして機能するハードマスクであり、HDP以外の方法で形成してもよい。
図2Gに示すように、酸化シリコン膜11をエッチングマスクとし、PMOS領域で、成長したSi−C層9またはSi層10と、基板のSiをエッチングする。例えば、HBrをエッチングガスとし、RIEにより深さ約35nmのエッチングを行う。エピタキシャル層9(10)の厚さが20nmの場合、Si基板は深さ約15nmエッチされる。続いてHClを用いたケミカルエッチ等により、Si表面を清浄化する。
図2Hに示すように、減圧熱CVDにより、PMOSトランジスタ領域の露出したシリコン表面上にSi−Ge又はSi−Ge−Cのエピタキシャル成長を行い、エピタキシャル層13を形成する。例えば、成膜温度は500℃〜800℃とし、SiHCl(Siのソースガス)を流量50sccm〜300sccm、GeH(Geのソースガス)を流量50sccm〜300sccm、SiH(CH)(Cのソースガス)を流量2sccm〜50sccm、HClガスを流量30sccm〜300sccm、他にHガスを流す。成長時に、p型不純物Bもドープする。CVD成膜室内の圧力は、例えば100Pa〜5000Paとする。
Geの組成は5〜40at%とするのがよい。Cを少し添加すると、歪量は下がるが、熱安定性が上がる。バランスの良い組成のSi−Ge−Cを用いるのも有効である。
エピタキシャル成長は、Si表面にのみ生じ、絶縁物表面には生じない。初め凹部表面に沿って成長が進み、サイドウォールスペーサを回りこんで、隆起した表面を有するようにエピタキシャル層が成長する。
SiソースガスとしてSiHClの代わりに、SiH、Si、Si、SiClを用いてもよい。HClの代わりにClを用いてもよい。これらは、Si−Cのエピタキシャル成長の場合と同様である。GeHの代わりに、GeHClを用いてもよい。
なお、ソース/ドレイン領域のエッチング工程でPMOSトランジスタのポリシリコンゲート電極もエッチされるが、Si−Ge成長工程でポリシリコン上にも多結晶Si−Geが成長する。一旦形成された窪みは埋め戻される。
図2Iに示すように、基板上に窒化シリコン膜14をCVDで堆積する。NMOS領域においては、酸化シリコン膜11が窒化シリコン膜14で覆われる。
図2Jに示すように、NMOS領域では平坦部上の窒化シリコン膜14、その下の酸化シリコン膜11をRIEの異方性エッチングで除去し、ゲート電極5側壁上にのみ残し、第2サイドウォールスペーサSW2を形成する。PMOS領域においては、窒化シリコン膜14のみの第2サイドウォールスペーサSW2が形成される。
図2Kに示すように、NMOSトランジスタのソース・ドレイン領域のイオン注入を行なう。レジストマスクでPMOS領域を覆い、第2サイドウォールスペーサSW2外側に、エピタキシャル層9(10)を通してSi基板中に、n型不純物例えばPを高濃度にイオン注入し、ソース/ドレイン領域S/Dを形成する。
図2Lに示すように、基板表面上にNi又は微量のPtを添加したNiをスパッタリングし、シリサイド反応を行なって、NiSiシリサイド膜16を形成する。例えば、好ましくは厚さ5nm以上のNi膜を基板上にスパッタリングで堆積し、アニーリングを行うことにより、シリサイド化反応を生じさせる。未反応Ni層を除去した後さらにアニーリングを行ってもよい。このように、シリコン表面上にNiSi層16が形成される。Niシリサイドの代わりに他のシリサイド、例えばCoシリサイド、を形成してもよい。
このようにして、CMOS構造が形成される。PMOSトランジスタのソース/ドレイン領域にはSi−Ge混晶が埋め込まれ、チャネルのゲート長方向に圧縮応力を印加する。このため、PMOSトランジスタの移動度は向上する。
NMOSトランジスタ、PMOSトランジスタ共に、ソース/ドレイン領域のイオン注入時には、Si基板上にエピタキシャル層9(10)が存在し、表面がせり上げられている。このため、ソース/ドレイン領域のイオン注入条件が緩和される。
図3は、Pイオンを例にとり、イオン注入された不純物の深さ方向分布を示す。横軸は、シリコン基板表面からの深さを単位nmで示す。縦軸は不純物濃度を単位cm−3で示す。曲線S6,S8,S10はエピタキシャル層9(10)がない状態で、Si基板にPイオンを加速エネルギ6keV,8keV、10keVでイオン注入した時の不純物分布を示す。短チャネル効果を抑制する浅い接合を形成するには加速エネルギは6keVとなる。曲線E8,E10は、厚さ20nmのエピタキシャル層9(10)を成長した構成に、Pイオンを加速エネルギ8keV、10keVで注入した時の不純物分布を示す。10keVでイオン注入しても、エピタキシャル層なしの場合の6keVと同程度の接合深さが得られることが判る。
エピタキシャル層がSi−Cである場合は、NMOSトランジスタのチャネルのゲート長方向に引張応力を印加する。このため、NMOSトランジスタの移動度が向上する。
図4A,4Bは、本発明の第3、第4の実施例による半導体装置の構成を概略的に示す半導体基板の断面図である。
図4Aにおいて、図1Aと異なる点を主に、説明する。ゲート絶縁膜4、ポリシリコン層5、シリサイド層6の積層で形成されたゲート電極構造の側壁から微小距離離れて、Si−Cのエピタキシャル層9が形成されている。ゲート電極構造とSi−Cエピタキシャル層との間の間隙を埋めて、ゲート電極構造の側壁上に薄い酸化シリコン膜等の絶縁膜21が形成されている。
NMOSトランジスタにおいては、絶縁膜21の上に酸化シリコン等の他の絶縁膜22が形成され、絶縁膜21と共にサイドウォールスペーサSWを構成している。サイドウォールスペーサSW外側のSi−Cエピタキシャル層9の上には、シリサイド層16が形成されている。
PMOSトランジスタにおいては、エピタキシャル層9の外側で基板に凹部12が形成され、凹部12上にSi−Ge混晶のエピタキシャル層13が形成されている。絶縁膜21の上に酸化シリコン等の他の絶縁膜22が形成され、絶縁膜21と共にサイドウォールスペーサSWを構成し、サイドウォールスペーサSW外側のSi−Geエピタキシャル層13の上には、シリサイド層16が形成されている。半導体基板中の不純物濃度分布は第1の実施例と異なるが、本質的なものではない。その他の点は図1の実施例同様である。
図4Bにおいては、Si−Cエピタキシャル層の代わりに、Siエピタキシャル層10が形成される。第3と第4の実施例の差は、第1と第2の実施例の差と同様である。
図5A〜5Iを参照して、第3、第4の実施例による半導体装置の製造方法の主要工程を説明する。
図5Aに示すように、ゲート絶縁膜4、ポリシリコン層5、窒化シリコンのキャップ膜6の積層で構成されるゲート電極構造を形成する。図2Aの工程において、ポリシリコン層5の上に、CVDで窒化シリコン膜6を堆積し、その後ゲート電極構造にパターニングする。その他の点は、図2Aの工程と同様である。
図5Bに示すように、酸化シリコン膜7、窒化シリコン膜8の積層を堆積し、異方性エッチングを行なってゲート電極構造側壁上にのみ、サイドウォールスペーサ状に残す。但し、本実施例においては、酸化シリコン膜7、窒化シリコン膜8は、後に除去する。ゲート電極構造が窒化シリコンのキャップ膜6を有するため、酸化シリコン膜7の上端も窒化シリコン膜8で覆われる。
図5Cに示すように、希フッ酸で酸化シリコン膜7をコントロールエッチングする。エッチングがゲート電極構造まで達せず、ゲート電極構造の側壁上に酸化シリコン膜7を所定厚さ残し、窒化シリコン膜8下方に空所を形成する。
図5Dに示すように、Si−CまたはSiのエピタキシャル層9(10)を成長する。工程としては、図2Eの工程と同様である。窒化シリコン膜8下方の空所にエピタキシャル層9(10)が入り込む。Si−Cのエピタキシャル層9を成長する場合、引張応力を印加するSi−C層9がチャネルのより近くまで延在するので、応力印加がより効率的になる。
図5Eに示すように、図2Fの工程同様、MOS領域を酸化シリコン膜11で覆い、図2Gの工程同様PMOS領域でエピタキシャル層9(10)及びシリコン基板のエッチングを行って凹部12を形成し、図2Hの工程同様Si−Ge混晶のエピタキシャル層13を成長する。Si−Geエピタキシャル層13の内側にSi−CまたはSiのエピタキシャル層9(10)が残る。
図5Fに示すように、希フッ酸のエッチングで表面の酸化膜を除去し、熱リン酸のエッチングで窒化シリコン膜を除去し、希フッ酸のエッチングで酸化シリコン膜7を除去し、さらに熱リン酸のエッチングで残留する窒化シリコン膜を除去する。窒化シリコン膜6、酸化シリコン膜7、窒化シリコン膜8は除去される。ゲート電極構造とエピタキシャル層9(10)の間に空所が生じる。
図5Gに示すように、例えば厚さ10nm程度の薄い酸化シリコン膜21をCVDで基板上に堆積し、ゲート電極構造とエピタキシャル層9(10)の間の空所を埋め込む。異方性エッチングを行なって、ゲート電極構造側壁上にサイドウォール状に酸化シリコン膜21を残す。
図5Hに示すように、ポケット領域、エクステンション領域のイオン注入を行なう。エピタキシャル層9(10)下方にエクステンション領域Ex,ポケット領域Pkが形成される。
図5Iに示すように、基板上に酸化シリコン膜22をCVDで堆積し、異方性エッチングを行なって、平坦表面上の酸化シリコン膜22を除去する。酸化シリコン膜21,22がサイドウォールスペーサSWを構成する。なお、酸化シリコン膜22の代わりに、窒化シリコン膜や酸化シリコン膜と窒化シリコン膜の積層を堆積しても良い。その後、ソース・ドレイン領域のイオン注入を行なう。ソース・ドレイン領域表面はエピタキシャル層9(10)、13でせり上げられているので、イオン注入条件は緩和される。
以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば、実施例における導電型を全て反転してPMOSトランジスタをNMOSトランジスタ、NMOSトランジスタをPMOSトランジスタとし、NMOSトランジスタのソース/ドレイン領域をエッチングで掘り下げて凹部を形成し、凹部にSi−C結晶を埋め込み、PMOSトランジスタのソース/ドレイン領域には凹部を介することなく、Si−GeまたはSiをエピタキシャル成長してもよい。第3、第4の実施例におけるキャップ膜6は省略してもよい。酸化シリコン膜7が上方からもエッチングされるが、エピタキシャル成長の際、多結晶半導体膜で埋め込まれる。その他、種々の変更、改良、組み合わせが可能なことは当業者に自明であろう。
図1A、1Bは、第1、第2の実施例による半導体装置の構成を概略的に示す半導体基板の断面図である。 図2A〜2Lは、図1A,1Bの構成を作成する製造方法の主要工程を示す半導体基板の断面図である。 図3は、ソース/ドレイン領域のエピタキシャル層がイオン注入工程に与える影響を示す、不純物濃度対基板中深さのグラフである。 図4A、4Bは、第3、第4の実施例による半導体装置の構成を概略的に示す半導体基板の断面図である。 図5A〜5Iは、図4A,4Bの構成を作成する製造方法の主要工程を示す半導体基板の断面図である。
符号の説明
1 半導体(Si)基板
2 素子分離領域(STI)
4 ゲート絶縁膜
5 ポリシリコン層
6 窒化シリコン膜(キャップ膜)
7 酸化シリコン膜
8 窒化シリコン膜
9 Si−Cエピタキシャル層
10 Siエピタキシャル層
11 酸化シリコン膜
12 凹部
13 Si−Geエピタキシャル層
14 窒化シリコン膜
16 シリサイド層
21 酸化シリコン膜
22 酸化シリコン膜
PW p型ウェル
NW n型ウェル
Ex エクステンション領域
Pk ポケット領域
S/Dソース/ドレイン領域
SW サイドウォールスペーサ

Claims (10)

  1. 第1導電型の第1の活性領域、第2導電型の第2の活性領域を含む半導体基板と、
    前記第1の活性領域上に形成された第1のゲート電極構造と、前記第1のゲート電極構造両側の前記第1の活性領域に形成された第2導電型の第1のソース/ドレイン領域と、前記第1のソース/ドレイン領域の表面から掘り下げられた凹部と、前記凹部に形成され、前記第1のゲート電極構造下方の第1のチャネルに第1の応力を印加する第2導電型の半導体埋め込み領域とを有する第1のMOSトランジスタと、
    前記第2の活性領域上に形成された第2のゲート電極構造と、前記第2のゲート電極構造両側の前記第2の活性領域に形成され、平坦な上面を有する第1導電型の第2のソース/ドレイン領域と、前記第2のソース/ドレイン領域上に形成され、前記第2のゲート電極構造下方の第2のチャネルに前記第1の応力とは反対の第2の応力を印加する第1導電型の半導体エピタキシャル層とを有する第2のMOSトランジスタと、
    を有し、
    前記第1導電型がn型、前記第2導電型がp型であるときは、前記第1の応力は圧縮応力、前記第2の応力は引張応力であり、
    前記第1導電型がp型、前記第2導電型がn型であるときは、前記第1の応力は引張応力、前記第2の応力は圧縮応力である半導体装置。
  2. 前記半導体埋め込み領域が、Si−Ge,またはCを添加したSiである請求項1記載の半導体装置。
  3. 前記第1導電型がn型、前記第2導電型がp型であり、
    前記半導体埋め込み領域がSi−Geで形成され、
    前記半導体エピタキシャル層がCを添加したSiで形成され、
    前記第1の応力が圧縮応力であり、前記第2の応力が引張応力である請求項2記載の半導体装置。
  4. 前記第1導電型がp型、前記第2導電型がn型であり、
    前記半導体埋め込み領域がCを添加したSiで形成され、
    前記半導体エピタキシャル層がSi−Geで形成され、
    前記第1の応力が引張応力であり、前記第2の応力が圧縮応力である請求項2記載の半導体装置。
  5. 前記第1及び第2のゲート電極構造両側の活性領域中、かつ前記第1及び第2のソース/ドレイン領域の内側に、それぞれ形成されたソース/ドレインのエクステンション領域と、
    前記エクステンション領域の上方で、前記第1及び第2のゲート電極構造の側壁上に形成された第1サイドウォールスペーサと、
    をさらに有する請求項1〜4のいずれか1項記載の半導体装置。
  6. 前記半導体埋め込み領域及び前記半導体エピタキシャル層の上方、かつ前記第1サイドウォールスペーサの側壁上に形成された第2サイドウォールスペーサと、
    前記第2サイドウォールスペーサの外側の、前記半導体埋め込み領域及び前記半導体エピタキシャル層上に形成されたシリサイド領域と、
    をさらに有する請求項5記載の半導体装置。
  7. 前記半導体エピタキシャル層が、前記第2のゲート電極構造に近い領域に形成された第1の厚さを有する第1部分と、前記第2のゲート電極構造からより離れた領域に形成され、前記第1の厚さより厚い第2の厚さを有する第2の部分とを含み、
    前記半導体埋め込み領域の前記第1のゲート電極構造側で、前記第1の活性領域上に形成され、前記半導体エピタキシャル層と同じ組成を有し、前記第1の厚さと同じ厚さを有する付随半導体エピタキシャル層をさらに有する請求項1〜4のいずれか1項記載の半導体装置。
  8. (a)半導体基板に、第1導電型の第1の活性領域、第2導電型の第2の活性領域を形成する工程と、
    (b)前記第1、第2の活性領域上に、第1、第2のゲート電極構造をそれぞれ形成する工程と、
    (c)前記第1のゲート電極構造両側の前記第1の活性領域、及び前記第2のゲート電極構造両側の第2の活性領域の平坦な上面上に、第1導電型の半導体エピタキシャル層を形成する工程と、
    (d)前記エピタキシャル層を介して、前記第1の活性領域に第2導電型の不純物、前記第2の活性領域に第1導電型の不純物をイオン注入して、前記第1の活性領域に第1のソース/ドレイン領域、前記第2の活性領域に第2のソース/ドレイン領域を形成する工程と、
    (e)前記第1のソース/ドレイン領域及び前記第2のソースドレイン領域を形成する工程の後、前記第1の活性領域に形成された前記エピタキシャル層及び前記第1のソース/ドレイン領域の少なくとも一部を除去して、凹部を形成する工程と、
    (f)前記凹部に、前記第1のゲート電極構造下方の第1のチャネルに第1の応力を印加する第2導電型の半導体埋め込み領域を形成する工程と、
    を有し、
    前記半導体エピタキシャル層は、前記第2のゲート電極構造下方の第2のチャネルに前記第1の応力とは反対の第2の応力を印加し、
    前記第1導電型がn型、前記第2導電型がp型であるときは、前記第1の応力は圧縮応力、前記第2の応力は引張応力であり、
    前記第1導電型がp型、前記第2導電型がn型であるときは、前記第1の応力は引張応力、前記第2の応力は圧縮応力である半導体装置の製造方法。
  9. 前記工程(c)が、
    前記第1、第2のゲート電極構造の側壁上に第1のサイドウォールスペーサを形成する工程と、
    前記第1、第2の活性領域上に前記半導体エピタキシャル層を形成する工程と、
    を含む請求項8記載の半導体装置の製造方法。
  10. 前記工程(b)が、ゲート絶縁膜、ゲート電極層、絶縁性キャップ層の積層を含むゲート電極構造を形成し、
    前記工程(c)が、
    前記第1、第2のゲート電極構造を覆って、前記半導体基板の上に、エッチング特性の異なる第1の絶縁体膜、第2の絶縁体膜を積層する工程と、
    異方性エッチングして前記第1、第2のゲート電極構造側壁上に第1のサイドウォールスペーサを形成する工程と、
    前記第1のサイドウォールスペーサの下部で露出する前記第1の絶縁体膜の少なくとも一部を側方からエッチングする工程と、
    前記第1の絶縁体膜をエッチングする工程の後に、前記第1、第2の活性領域上に前記半導体エピタキシャル層を形成する工程と、
    を含む請求項8記載の半導体装置の製造方法。
JP2006290773A 2006-10-26 2006-10-26 半導体装置とその製造方法 Expired - Fee Related JP5114919B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2006290773A JP5114919B2 (ja) 2006-10-26 2006-10-26 半導体装置とその製造方法
US11/797,253 US7592214B2 (en) 2006-10-26 2007-05-02 Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
CN2007101042073A CN101170112B (zh) 2006-10-26 2007-05-23 半导体器件及其制造方法
US12/541,279 US8258576B2 (en) 2006-10-26 2009-08-14 Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006290773A JP5114919B2 (ja) 2006-10-26 2006-10-26 半導体装置とその製造方法

Publications (2)

Publication Number Publication Date
JP2008108929A JP2008108929A (ja) 2008-05-08
JP5114919B2 true JP5114919B2 (ja) 2013-01-09

Family

ID=39329103

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006290773A Expired - Fee Related JP5114919B2 (ja) 2006-10-26 2006-10-26 半導体装置とその製造方法

Country Status (3)

Country Link
US (2) US7592214B2 (ja)
JP (1) JP5114919B2 (ja)
CN (1) CN101170112B (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7816686B2 (en) * 2007-06-12 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming silicides with reduced tailing on silicon germanium and silicon
KR100924549B1 (ko) * 2007-11-14 2009-11-02 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
US7892932B2 (en) * 2008-03-25 2011-02-22 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US8106466B2 (en) * 2008-08-10 2012-01-31 United Microelectronics Corp. MOS transistor and method for fabricating the same
US8114727B2 (en) * 2008-08-29 2012-02-14 Texas Instruments Incorporated Disposable spacer integration with stress memorization technique and silicon-germanium
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP5278022B2 (ja) * 2009-02-17 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US8274116B2 (en) * 2009-11-16 2012-09-25 International Business Machines Corporation Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
US20110215376A1 (en) 2010-03-08 2011-09-08 International Business Machines Corporation Pre-gate, source/drain strain layer formation
US8546228B2 (en) * 2010-06-16 2013-10-01 International Business Machines Corporation Strained thin body CMOS device having vertically raised source/drain stressors with single spacer
KR101776926B1 (ko) 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101833849B1 (ko) 2010-10-13 2018-03-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8835266B2 (en) * 2011-04-13 2014-09-16 International Business Machines Corporation Method and structure for compound semiconductor contact
US10490459B2 (en) * 2017-08-25 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for source/drain contact formation in semiconductor devices
CN103367129B (zh) * 2012-04-10 2016-03-23 中芯国际集成电路制造(上海)有限公司 具有硅锗掺杂区的半导体器件的制作方法
CN103681258B (zh) * 2012-09-20 2016-08-31 中芯国际集成电路制造(上海)有限公司 一种源漏双外延层的形成方法
US20140084367A1 (en) * 2012-09-27 2014-03-27 Silicon Storage Technology, Inc. Extended Source-Drain MOS Transistors And Method Of Formation
CN103779276A (zh) * 2012-10-17 2014-05-07 中国科学院微电子研究所 Cmos制造方法
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
CN103107235B (zh) * 2012-12-06 2016-03-23 杭州赛昂电力有限公司 非晶硅薄膜太阳能电池及其制作方法
CN104183489B (zh) * 2013-05-21 2017-05-17 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN106486350B (zh) * 2015-08-26 2019-09-27 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
WO2022026768A1 (en) * 2020-07-29 2022-02-03 Hsu Fu Chang Transistor structures and associated processes
CN112928068B (zh) * 2021-03-24 2023-11-03 上海华虹宏力半导体制造有限公司 Cmos生产工艺中节省轻掺杂光罩数的方法
CN117637839A (zh) * 2022-08-12 2024-03-01 长鑫存储技术有限公司 半导体结构及其制作方法
CN116759462B (zh) * 2023-08-22 2023-11-28 合肥晶合集成电路股份有限公司 一种半导体器件及其制造方法
CN118231413B (zh) * 2024-05-24 2024-08-06 杭州积海半导体有限公司 Pdsoi晶体管及其制造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2626532B2 (ja) * 1993-12-27 1997-07-02 日本電気株式会社 半導体装置およびその製造方法
US5583059A (en) * 1994-06-01 1996-12-10 International Business Machines Corporation Fabrication of vertical SiGe base HBT with lateral collector contact on thin SOI
JP2685033B2 (ja) * 1995-07-28 1997-12-03 日本電気株式会社 Mis型fetおよびその製造方法
US6121100A (en) * 1997-12-31 2000-09-19 Intel Corporation Method of fabricating a MOS transistor with a raised source/drain extension
DE60210508T2 (de) * 2001-11-29 2007-05-10 Mikuni Corp. Verfahren zum antrieb einer kraftstoffeinspritzpumpe
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
SG152949A1 (en) * 2003-08-26 2009-06-29 Taiwan Semiconductor Mfg Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
JP4361880B2 (ja) * 2005-01-11 2009-11-11 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
JP2006253317A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd 半導体集積回路装置およびpチャネルMOSトランジスタ
JP4426988B2 (ja) * 2005-03-09 2010-03-03 富士通マイクロエレクトロニクス株式会社 pチャネルMOSトランジスタの製造方法
JP4561419B2 (ja) * 2005-03-16 2010-10-13 ソニー株式会社 半導体装置の製造方法
US7718500B2 (en) * 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US20070238236A1 (en) 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US7402496B2 (en) * 2006-09-11 2008-07-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device and fabricating method thereof

Also Published As

Publication number Publication date
CN101170112B (zh) 2010-07-21
US20080099846A1 (en) 2008-05-01
US20090302395A1 (en) 2009-12-10
US7592214B2 (en) 2009-09-22
CN101170112A (zh) 2008-04-30
US8258576B2 (en) 2012-09-04
JP2008108929A (ja) 2008-05-08

Similar Documents

Publication Publication Date Title
JP5114919B2 (ja) 半導体装置とその製造方法
JP4847152B2 (ja) 半導体装置とその製造方法
JP5286701B2 (ja) 半導体装置および半導体装置の製造方法
JP5283233B2 (ja) 応力強化mosトランジスタならびにその製造方法
TWI416632B (zh) 用於製造受應力之mos裝置之方法
JP4630728B2 (ja) 半導体装置及びその製造方法
US8071435B2 (en) Manufacture of semiconductor device with stress structure
KR101600553B1 (ko) 에피택셜 성장된 스트레스-유도 소오스 및 드레인 영역들을 가지는 mos 디바이스들의 제조 방법
US20080169490A1 (en) Semiconductor device and manufacturing method thereof
JP5915181B2 (ja) 半導体装置およびその製造方法
US7888194B2 (en) Method of fabricating semiconductor device
JP2005353675A (ja) 半導体装置とその製造方法
JP5389346B2 (ja) Mos電界効果トランジスタおよびその製造方法
JP2014041974A (ja) 半導体装置およびその製造方法
JP5223285B2 (ja) 半導体装置の製造方法
JP2012079745A (ja) 半導体装置の製造方法
JP4997752B2 (ja) 半導体装置の製造方法
JP2008263114A (ja) 半導体装置の製造方法および半導体装置
JP2005209980A (ja) 半導体装置の製造方法および半導体装置
CN105206576A (zh) 用于形成嵌入式锗硅源/漏结构的方法
JP2006024718A (ja) 半導体装置およびその製造方法
KR20070069368A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090724

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120328

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120417

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120713

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120831

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120918

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121001

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5114919

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151026

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees