JP5283233B2 - 応力強化mosトランジスタならびにその製造方法 - Google Patents

応力強化mosトランジスタならびにその製造方法 Download PDF

Info

Publication number
JP5283233B2
JP5283233B2 JP2009538390A JP2009538390A JP5283233B2 JP 5283233 B2 JP5283233 B2 JP 5283233B2 JP 2009538390 A JP2009538390 A JP 2009538390A JP 2009538390 A JP2009538390 A JP 2009538390A JP 5283233 B2 JP5283233 B2 JP 5283233B2
Authority
JP
Japan
Prior art keywords
trench
sige
layer
concentration
germanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009538390A
Other languages
English (en)
Other versions
JP2010510684A (ja
Inventor
パル ロヒト
ペイダス イゴール
ブラウン デイビッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2010510684A publication Critical patent/JP2010510684A/ja
Application granted granted Critical
Publication of JP5283233B2 publication Critical patent/JP5283233B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Description

本発明は、一般に、MOSトランジスタならびにその製造方法に関し、より詳細には、応力強化MOSトランジスタ、ならびにトランジスタチャネルの近くに埋め込み材料を有するこのようなトランジスタの製造方法に関する。
今日の集積回路(IC)の大半は、複数の相互接続された電界効果トランジスタ(FET)(金属酸化物半導体電界効果トランジスタ(MOSFET)または単にMOSトランジスタとも呼ばれる)を使用して実装されている。MOSトランジスタは、制御電極としてのゲート電極と、離れて設けられており、この間を電流が流れることができるソース電極およびドレイン電極を有する。ゲート電極に印加される制御電圧が、ソース電極とドレイン電極間のチャネルを流れる電流を制御する。
ICの複雑さとICに搭載されているデバイス数は絶えず上昇を続けている。IC内のデバイス数が増えるのに伴い、個々のデバイスのサイズが微細化している。IC内のデバイスのサイズは、通常は、最小フィーチャサイズによって表され、これは回路設計ルールによって許容される最小の線幅または最小間隔を指す。半導体業界が、45ナノメートル(nm)あるいはこれより小さい最小フィーチャサイズに移るにつれ、微細化の結果、個々のデバイスの性能が低下している。新しい世代の集積回路、およびこのような集積回路を実装するために用いるトランジスタの設計時には、技術者は、デバイスの性能を上げるために、従来使用されない要素(nonconventional element)に全面的に頼らなければならない。
MOSトランジスタの性能は、その電流運搬能力(current carrying capability)によって表され、トランジスタチャネルにおける多数キャリアの移動度に比例する。MOSトランジスタのチャネルに長手方向の応力をかけると移動度を上げることができることが公知であり、長手方向の圧縮応力により、多数キャリアのホールの移動度が上がり、長手方向の引張応力により、多数キャリアの電子の移動度が上がる。例えば、PチャネルMOS(PMOS)トランジスタのチャネルの近くの埋め込みシリコンゲルマニウム(eSiGe)によって、長手方向の圧縮応力が発生し、トランジスタ内のホールの移動度が上がることが公知である。このようなデバイスを製造するために、トランジスタのソース領域およびドレイン領域のシリコン基板内に、トレンチまたは凹部がエッチングにより形成され、SiGeの選択的エピタキシャル成長によりトレンチが埋め込まれる。しかし、応力を上げるために埋め込みSiGe(埋め込みSiGe)のゲルマニウム含有量を増やすだけでは、完全にうまくいくとは限らない。この理由は、ゲルマニウムの含有量を増やすと、ICの製造時にトランジスタに対して従来の工程が行われるに従って、埋め込み領域の表面から失われるSiGeが増え、埋め込み領域に形成される金属シリサイドが凝集し、ソース領域およびドレイン領域に対するコンタクト抵抗が低下し、埋め込み材料の応力緩和が大きくなるためである。
したがって、応力強化MOSトランジスタの製造方法を最適化することが望ましい。また、従来のトランジスタ製造に付随する問題を回避する、最適化された応力強化MOSトランジスタを提供することが望ましい。更に、本発明のほかの望ましい特徴および性質は、添付の図面と上記の技術分野と背景技術を併せて読めば、下記の詳細な説明と添付の特許請求の範囲から明らかとなるであろう。
多数キャリアの移動度が向上している応力強化MOSトランジスタが提供される。応力強化MOSトランジスタは、表面を有する半導体基板と、半導体基板の表面にあるチャネル領域とを備える。半導体基板内に第1のゲルマニウム濃度を有する第1のSiGe領域が埋め込まれる。第1の領域は、底部とチャネル領域の近くの側面部とを有する。第1の領域内に、第1のゲルマニウム濃度よりも低い第2のゲルマニウム濃度を有する第2のSiGe領域が、側面部の膜厚が底部よりも大きくなるように埋め込まれる。
応力強化MOSトランジスタの製造方法が提供される。本発明の一実施形態によれば、方法は、単結晶半導体基板内に、チャネル領域を覆い、これを画定するゲート電極を形成するステップを有する。チャネル領域の近くの単結晶半導体基板内に、チャネル領域に対向する側面を有するトレンチがエッチングにより形成される。トレンチに、第1の濃度の置換型原子を含む第2の単結晶半導体材料と、第2の濃度の置換型原子を含む第3の単結晶半導体材料とが埋め込まれる。第3の単結晶材料がトレンチに単独で埋め込まれたと仮定した場合に、第2の濃度の単結晶半導体材料が印加する応力よりも高い応力をチャネル領域に印加するのに十分な壁厚に、第2の単結晶半導体材料が側面にエピタキシャル成長される。
本発明の各種実施形態に係る応力印加MOSトランジスタ、ならびにその製造方法のステップを示す断面図。 本発明の各種実施形態に係る応力印加MOSトランジスタ、ならびにその製造方法のステップを示す断面図。 本発明の各種実施形態に係る応力印加MOSトランジスタ、ならびにその製造方法のステップを示す断面図。 本発明の各種実施形態に係る応力印加MOSトランジスタ、ならびにその製造方法のステップを示す断面図。 本発明の各種実施形態に係る応力印加MOSトランジスタ、ならびにその製造方法のステップを示す断面図。 本発明の各種実施形態に係る応力印加MOSトランジスタ、ならびにその製造方法のステップを示す断面図。 図1〜4と併せて、本発明の代替実施形態に係る応力印加MOSトランジスタ、ならびにその製造方法のステップを示す断面図。 図1〜4と併せて、本発明の代替実施形態に係る応力印加MOSトランジスタ、ならびにその製造方法のステップを示す断面図。 図1〜4と併せて、本発明の代替実施形態に係る応力印加MOSトランジスタ、ならびにその製造方法のステップを示す断面図。
以下、図面を参照して本発明を記載する。図面において同じ参照符号は類似する要素を参照している。
以下の詳細な説明は、性質上、例示的なものに過ぎず、本発明または本発明の用途および利用を限定することを意図するものではない。更に、上記の技術分野、背景技術、発明の開示、あるいは以下の詳細な説明に明示または暗示した理論のいずれかにより拘束されることを意図するものではない。
半導体デバイスおよび集積回路の製造のために、半導体産業において使用される最も一般的な半導体材料である単結晶シリコンは、シリコン結晶の大きさである格子定数によってその特徴が表される。結晶格子内でシリコン以外の原子を置換することによって、得られる結晶サイズおよび格子定数を変えることができる。ゲルマニウム原子などの大きな置換原子がシリコン格子に添加されると、格子定数が大きくなるが、この格子定数の増加は、置換原子の濃度に比例する。同様に、炭素原子などの小さな置換原子がシリコン格子に添加されると格子定数が小さくなる。ホストシリコン格子に大きな置換原子を局所的に添加すると、ホスト格子に圧縮応力が生み出され、ホストシリコン格子に小さな置換原子を添加すると、ホスト格子に引張応力が生み出される。
埋め込みSiGeのゲルマニウム含有量を増やすと、PMOSトランジスタのチャネルに印加される応力が大きくなり、これによりトランジスタ内の多数キャリアであるホールの移動度が上がることが知られている。また、埋め込みSiGe材料の表面のゲルマニウムの濃度を低くすれば、表面のゲルマニウム濃度が高いために引き起こされる問題の一部を回避できることも知られている。次のプロセスによって、埋め込みSiGeのバルク中のゲルマニウム濃度を上げると共に、表面のゲルマニウム濃度を低く押さえる試みがなされてきた。トランジスタのチャネルの両端のソース領域およびドレイン領域内に、トレンチをエッチングにより形成する。次に、シリコンゲルマニウムの選択的エピタキシャル成長プロセスによってこのトレンチを埋め込む。最初は、高ゲルマニウム濃度のSiGeを堆積させるために、反応物質のフロー中のゲルマニウムの濃度が高い値に設定される。エピタキシャル成長サイクルの中ほどで、反応物質のフロー中のゲルマニウムの濃度を下げ、トレンチが埋め込まれるまで、フロー中のこの低い濃度を保つ。この結果、ゲルマニウムの濃度の高いSiGe下層と、表面のゲルマニウムの濃度の低いSiGe層が形成される。このプロセスによって製造されるデバイスは、SiGeの表面のゲルマニウム濃度が高いことで発生する問題を回避するものの、移動度の増加が、トレンチに埋め込まれ、均一にゲルマニウム濃度の低い埋め込みSiGeの予想される移動度の増加を超えることはない。
エピタキシャル成長プロセスにおいては、成長中の材料層は、その下地の表面を実質的に引き継ぐ。しかし、高ゲルマニウム濃度のSiGeの選択的エピタキシャル成長は、トレンチの底部から優先的に成長し、このため、トレンチの側壁におけるSiGe膜の成長速度が低く、この結果、側壁には高ゲルマニウム濃度のSiGeの薄い層しか形成されないことが観察されている。すなわち、エピタキシャル成長では、側壁の結晶構造よりも、トレンチの底部の結晶構造に優先的に核形成される。トランジスタチャネルに対向する側壁を覆うSiGe膜の膜厚は、チャネルに応力を引加するうえで最も重要であり、従来のプロセスによって得られる膜厚は、望ましいチャネルの応力と望ましい移動度の増加を得るには不十分である。本発明の各種実施形態によれば、チャネルの近くの領域において高ゲルマニウム濃度のSiGeが十分な膜厚で形成され、チャネルの応力と移動度の増加を最適化するMOSトランジスタ、ならびにこのようなデバイスの製造方法が提供される。
図1〜6は、本発明の各種実施形態に係る応力印加MOSデバイス30、ならびにこのようなMOSデバイスの製造のための方法ステップを断面で示す。この説明のための実施形態では、応力が印加されたMOSデバイス30は、1つのPチャネルMOS(PMOS)トランジスタによって例示される。デバイス30などの応力印加(stressed)MOSデバイスから形成される集積回路は、このようなトランジスタを多数備え、応力非印加(unstressed)のPMOSトランジスタのほか、応力印加および応力非印加のNチャネルMOS(NMOS)トランジスタも備えてもよい。
MOSトランジスタの製造におけるさまざまな工程が公知であるため、簡潔を期するために、従来のステップの多くは、本明細書で簡潔に触れるにとどめるか、あるいは、公知のプロセスについては詳しく記載せずに完全に省略する。「MOSデバイス」という用語は、適切には、金属のゲート電極と酸化物のゲート絶縁物を有するデバイスを指すが、この用語は、半導体基板の上に設けられたゲート絶縁物(酸化物または他の絶縁体)の上に設けられた導電性のゲート電極(金属または他の導電性材料)を備える任意の半導体デバイスを指すものとして全体にわたって使用される。
本発明の実施形態に係る応力印加MOSトランジスタ30の製造は、その内部または上に、このようなトランジスタを製造する半導体基板36の提供から開始する。MOSトランジスタ30の製造における初期のステップは、従来どおりであり、詳細に記載することはしない。半導体基板は、好ましくは(100)の面結晶方位を有するシリコン基板であり、「シリコン基板」および「シリコン層」との用語は、本明細書では、半導体産業において一般に使用される比較的純粋な単結晶シリコン材料のほか、シリコンと、他の元素(ゲルマニウム、炭素など)との混合物を含むように用いられる。以降は、便宜上、半導体基板36を「シリコン基板」と呼ぶが、これに限定されず、半導体技術の当業者は、ほかの半導体材料も使用することができることを認めるであろう。シリコン基板36は、バルクシリコンウェハであってもよいが、好ましくは、キャリアウェハ42によって支持されている絶縁層40上にシリコンの薄膜層38が形成されたもの(一般に、シリコンオンインシュレータまたはSOIとして公知である)である。シリコン薄層38の膜厚は、一般に、実装される回路機能に応じて約200ナノメートル(nm)未満であってもよく、特定の用途では好ましくは約90nm未満である。シリコン薄層は、好ましくは少なくとも約5〜40オームセンチメートルの抵抗率を有する。シリコンは、N型またはP型のいずれに不純物ドープされてもよいが、好ましくはP型にドープされる。誘電絶縁層40(通常は二酸化シリコン)の膜厚は、好ましくは約50〜200nmである。
単結晶シリコン層38を貫通して誘電絶縁層40に延びる分離領域48が形成される。分離領域は、好ましくは、公知の浅部トレンチアイソレーション(STI)技術によって形成される。その際、単結晶シリコン層38にトレンチがエッチングにより形成され、このトレンチに、堆積させた二酸化シリコンなどの誘電材料が埋め込まれて、化学機械平坦化技術(CMP)によって余分な二酸化シリコンが除去される。STI領域48は、単結晶シリコン層38に後から形成される回路の各種デバイス同士を、必要に応じて電気的に分離する。STI領域の形成前に、あるいは、好ましくはその後に、シリコン層38の選択された部分が、例えばイオン注入によって不純物ドープされうる。例えば、PMOSトランジスタ30を製造するために、N型ウェル52がN形に不純物ドープされうる。
図2に示すように、シリコン層38の表面56にゲート絶縁物の層54が形成される。ゲート絶縁物は、酸化環境中でシリコン基板を加熱することによって形成される熱成長二酸化シリコンでも、酸化シリコン、窒化シリコン、高誘電率絶縁物(HfSi等)などの堆積させた絶縁物でもよい。堆積させた絶縁物は、例えば、化学気相成長法(CVD)、低圧化学気相成長法(LPCVD)、準常圧化学気相成長法(SACVD)、あるいはプラズマ促進化学気相成長法(PECVD)によって、公知の方法で堆積させることができる。ここでは、ゲート絶縁物54は、シリコン層38の表面56のみに熱成長した二酸化シリコン層として示す。ゲート絶縁材料の膜厚は、一般に1〜10nmであり、好ましくは膜厚は約1〜2nmである。本発明の一実施形態によれば、ゲート絶縁物層の上に、ゲート電極形成材料の層58(好ましくは多結晶シリコン)が堆積される。また、材料が、それ自体、あるいは材料に適切な不純物をドープしたときに、トランジスタの必要なスレッショルド電圧を設定できれば、金属および金属シリサイドなどの他の導電性ゲート電極形成材料が堆積されてもよい。以下、ゲート電極形成材料を多結晶シリコンと呼ぶが、当業者は、他の材料も使用できることを認めるであろう。ゲート電極材料が多結晶シリコンの場合、この材料は、一般に、シランの水素還元反応によるLPCVDによって、約50〜200nmの膜厚、好ましくは約100nmの膜厚に堆積される。多結晶シリコンの層は、好ましくはドープなしの多結晶シリコンとして堆積され、その後、イオン注入によって不純物がドープされる。多結晶シリコンのゲート電極形成材料の上に、窒化シリコンの層などのハードマスク材料60の層が堆積される。マスク材料の層は、窒化シリコンの場合、例えば、PECVDによって、ジクロロシランとアンモニアの反応により、約30〜50nmの膜厚に堆積されうる。当業者は、窒化シリコン以外のほかの誘電材料をハードマスク材料として堆積することができることを理解するであろう。
図3に示すように、ゲート電極形成材料58とハードマスク材料が、フォトリソグラフィによってパターニングされ、エッチングされて、ハードマスク材料によって覆われているゲート電極62が形成される。多結晶シリコンは、例えば、ClまたはHBr/Oの化学物質中のプラズマエッチングによって所望のパターンにエッチングされ、ハードマスクは、例えば、CHF、CFまたはSFの化学物質中のプラズマエッチングによってエッチングされうる本発明の一実施形態によれば、ゲート電極のパターニング後に、ゲート電極62の両側の(opposing)側壁65,66に、酸化シリコンの薄層64が熱成長される。酸化物の薄層の膜厚は、例えば、約2〜3nmなどである。ゲート電極62の形成により、チャネル領域68が、ゲート電極の下にあるシリコンの薄層38の表面の一部として画定される。好ましくは、トランジスタ内の電流の流れが[110]結晶方向と一致するように、チャネルが[110]結晶方向に配向される。酸化物の薄層64は、多結晶シリコンのゲート電極を、後から堆積するスペーサ形成材料から分離するためのライナとなる。
本発明の一実施形態に係る方法では、続いて、図4に示すように、窒化シリコンまたは他のスペーサ形成材料の層(図示なし)をブランケット堆積させ、この層を異方性エッチングして、両側の(opposing)側壁65,66に形成された酸化シリコンの薄層64を覆うスペーサ70が形成される。窒化シリコン層は、好ましくは、反応物質としてジクロロシランとアンモニアを使用するLPCVDによって、約80〜250nmの膜厚に堆積される。側壁スペーサは、例えば、CFまたはCHFの化学物質を使用する反応性イオンエッチング(RIE)によって、異方性エッチングされうる。スペーサ70、ゲート電極62およびSTI48をエッチングマスクとして使用して、シリコン薄層38に凹部72,74がエッチングにより形成される。側壁スペーサがエッチングマスクとして使用されるため、凹部は、ゲート電極62の側壁65,66と、チャネル68とに自己整合され、矢印69に示すように、側壁スペーサの膜厚と実質的に等しい距離だけゲート電極から離間される。凹部72,74は、例えば、HBr/Oの化学物質を使用する反応性イオンエッチング(RIE)によって、矢印75に示すように、約400〜600nmの深さに異方性エッチングされる。トレンチの底面76の下に、少なくともシリコン層38の薄い一部分が残される。
トレンチ72,74は、表面チャネル68に対向する側面78,80をそれぞれ有する。底面76は、シリコン薄層38の表面56と実質的に平行であり、表面56と同じ結晶方位を有する。このため、底面76は、(100)結晶面に配向している。チャネル68が[110]結晶方向に配向し、側面78,80が表面56と実質的に垂直であり、側面が(011)結晶面に向いている。本発明の実施形態によれば、(100)結晶面における成長速度よりも(011)結晶面における成長速度のほうが高い選択的エピタキシャル成長プロセスによって、トレンチ72,74に埋め込みSiGe82が埋め込まれる。この選択的エピタキシャル成長では、側面のほか底面にも核形成されるが、エピタキシャル成長中の、反応物質の流量、成長温度、成長圧力などの成長条件を調整することによって、公知の方法により、(011)平面において高い成長速度を得ることができる。このような成長条件は、例えば、Rai-Choudhury, P. Schroder, D. K.、SELECTIVE SILICON EPITAXY AND ORIENTATION DEPENDENCE OF GROWTH、Journal of the Electrochemical Society、第120巻、第5号、1973年5月、p 664-668に記載されている。図5に示すように、続いて、トレンチ72,74の一部を埋めるために、埋め込みSiGe82のエピタキシャル成長が行われる。埋め込みSiGe82は、ゲルマニウムを高い濃度で含み、好ましくは約25〜40原子百分率のゲルマニウムを含むように成長される。このようにして成長させた埋め込みSiGe82は、ゲルマニウムを高濃度で含むSiGeの層84が、底面76に成長する層86よりも、側面78,80のほうに厚く成長する。好ましくは、側面78,80の高ゲルマニウム含有SiGeの膜厚は、少なくとも10〜30nmである。
選択的エピタキシャル成長の条件が、ゲルマニウム含有量が低くなるように変更され、図6に示すように、トレンチ72,74の残りの部分に、低濃度の埋め込みSiGe88が埋め込まれる。好ましくは、埋め込みSiGe88のゲルマニウム濃度は、約0〜20原子百分率ゲルマニウムである。このため、チャネル68に対向する側面に高ゲルマニウム濃度の厚い壁を有し、表面のゲルマニウム濃度の低い埋め込みSiGeが、トレンチ72,74に埋め込まれる。
本発明の更に別の実施形態によれば、図5,6に示す構造は、垂直(すなわち、表面56に実質的に垂直)なポテンシャルバイアスを印加したプラズマ環境中で、高ゲルマニウム濃度の埋め込みSiGeをエピタキシャル成長させることによって得られる。垂直方向のエピタキシャル成長速度(すなわち底面76における成長速度)は、プラズマエッチング成分によって低下する。高ゲルマニウム濃度のSiGeを、所望の膜厚まで側面に成長させた後に、トレンチ埋め込みの低ゲルマニウム濃度部分中のゲルマニウム濃度を下げるように、エピタキシャル成長の条件が変更されうる。低ゲルマニウム含有部分の成長は、プラズマ環境の有無を問わず行うことができる。
本発明の更に別の実施形態によれば、低ゲルマニウム濃度のSiGeが単独で印加するよりも高い応力をチャネルに与えるための、表面ではSiGeのゲルマニウムの濃度が低く、トランジスタチャネルに対向するトレンチの側面に高ゲルマニウム濃度のSiGeの十分な厚さの壁が形成されている所望の最終目的(end result)は、図1〜4と併せて図7〜9に示すように得られる。本発明の本実施形態に係る方法は、図1〜4に図示したものと同じステップから始まる。図7に示すように、選択的エピタキシャル成長によって、トレンチ72,74に、高ゲルマニウム濃度の、好ましくは約25〜40原子百分率ゲルマニウムのSiGe層90が埋め込まれる。
この方法では、続いて、ゲート電極62、側壁スペーサ70および埋め込みSiGe90を覆って、窒化シリコンまたはその他のスペーサ形成材料の層(図示なし)のブランケット堆積が行われる。スペーサ材料の層は、例えば、LPCVDによって少なくとも10〜30nmの膜厚に堆積されうる。スペーサ材の層が、例えば、RIEによって異方性エッチングされ、側壁スペーサ70を覆っている側壁スペーサ92が形成される。代替実施形態(図示なし)では、スペーサ材料層の堆積前に側壁スペーサ70が除去され、スペーサ材料が約30〜40nmの膜厚に堆積されて、膜厚30〜40nmの1層の側壁スペーサが形成されてもよい。2層の側壁スペーサ、1層の厚い側壁スペーサのいずれを使用する場合でも、図8に示すように、側壁スペーサ、ゲート電極およびSTIがエッチングマスクとして使用され、埋め込みSiGe90にトレンチ94,96がエッチングされる。トレンチ94,96は、反応性イオンエッチングによって、矢印95に示すように約15〜25nmの深さにエッチングされうる。トレンチ94,96は、チャネル68に自己整合され、矢印97に示すように、スペーサの幅だけチャネルから離間される。
図9に示すように、トレンチ94,96に、好ましくは、ゲルマニウム濃度が約0〜20原子百分率の、選択的に成長させた低ゲルマニウム濃度のエピタキシャルSiGe100が埋め込まれる。上で説明した実施形態と同様に、トランジスタは、低ゲルマニウム濃度のSiGeの表面98と、チャネル68に対向する高ゲルマニウム濃度のSiGeの厚い壁とを有する。高ゲルマニウム濃度のSiGeは、低ゲルマニウム濃度のSiGeが単独で印加するよりも、トランジスタのチャネルに高い応力を印加するのに十分な膜厚を有する。
図には示していないが、図6および図9に示す構造は、従来の方法によって完成させることができる。従来のステップでは、例えば、側壁スペーサ70,92を除去し、これらの代わりに1層の恒久的な側壁スペーサを形成する。この恒久的な側壁スペーサはイオン注入マスクとして使用され、ゲート電極の両側のシリコンまたはSiGe内に導電性決定イオンが注入され、ソース領域およびドレイン領域が形成される。PMOSトランジスタでは、導電性イオンはボロンイオンであってもよい。ソース拡張部およびドレイン拡張部の形成、ハロ注入部の形成、スレッショルド電圧の設定などを行うために、複数組の側壁スペーサを使用しても、複数のイオン注入を実施してもよいことを、当業者は理解するであろう。また、側壁スペーサを使用して、自己整合された、ソース領域とドレイン領域への金属シリサイドコンタクトが形成されてもよい。シリサイド形成金属の層が堆積され、加熱されて、露出されたシリコンまたはSiGeと金属を反応させ、金属シリサイドが形成される。側壁スペーサまたはSTIに堆積された金属など、露出されたシリコンと接触していない金属は反応せず、H/HSOまたはHNO/HClの溶液中でのエッチングによって除去されうる。応力印加MOSトランジスタを形成する際に、ゲート電極および金属シリサイドコンタクトの上に、例えば、応力印加窒化シリコンの応力ライナ層が堆積されうる。応力ライナの堆積後は、誘電層が堆積され、誘電層が平坦化されて、誘電層を貫通する金属シリサイドコンタクトへのコンタクト開口がエッチングにより形成される。次に、コンタクト開口内にコンタクトプラグが形成され、相互接続金属が堆積およびパターニングされて、ソース領域およびドレイン領域への電気的コンタクトが形成されうる。
上で説明した実施形態は、応力強化PMOSトランジスタの作製方法である。同じような方法を、応力強化NMOSトランジスタの製造にも使用することができ、このいずれかの構造または両方の構造の製造を、応力印加と応力非印加の両方のPMOSトランジスタおよびNMOSトランジスタを備えたCMOS集積回路の製造方法に取り入れることができる。応力強化NMOSトランジスタの製造は、上に記載した方法と同様であるが、シリコン薄層がP型に不純物ドープされ、ソース領域とドレイン領域に、N型の導電性決定イオンが不純物ドープされ、トランジスタチャネルに長軸方向の引張応力を作り出すために、ソース領域およびドレイン領域内にエピタキシャル成長させる埋め込み材料の格子定数が、ホスト材料の格子定数よりも小さくなるように、成長させる材料が炭素などの置換型原子を含むという点が異なる。
上記の詳細な説明において、少なくとも1つの代表的な実施形態を示したが、数多くの変形例が存在することを理解されたい。少なくとも1つの例示的な実施形態は例に過ぎず、本発明の範囲、利用可能性または構成をいかなる形であれ制限することを意図するものではないことが理解されるべきである。上記の詳細な説明は、当業者にとって、少なくとも1つの例示的な実施形態を実装するうえで有用な道標となる。添付の特許請求の範囲とその法的均等物に規定されている本発明の範囲から逸脱することなく、各種要素の機能および構成を様々に変更することができることを理解すべきである。

Claims (8)

  1. 半導体基板[38]の表面[56]にチャネル領域[68]を有する応力強化MOSデバイス[30]の製造方法であって、
    前記チャネル領域の近くの前記半導体基板内に、前記チャネル領域に対向する側面[78,80]および底面[76]をそれぞれ有するトレンチ[72,74]をエッチングにより形成するステップと、
    前記トレンチの一部を埋めるために、前記トレンチ内に、第1の濃度のゲルマニウムを含む第1のSiGe層[82]を、前記側面において第1の成長速度で、前記底面において前記第1の成長速度よりも遅い第2の成長速度で、エピタキシャル成長させるステップと、
    前記トレンチを埋めるために、前記第1の濃度よりも低い第2の濃度のゲルマニウムを含む第2のSiGe層[88]をエピタキシャル成長させるステップと、を含む方法。
  2. 前記半導体基板[38]は、(100)結晶面方位を有するシリコンを含む基板であり、前記チャネル領域[68]は[110]結晶方向に配向し、前記側面[78,80]は(011)結晶面方位を有し、第1の層をエピタキシャル成長させる前記ステップは、(100)結晶面におけるエピタキシャル成長速度よりも(011)結晶面におけるエピタキシャル成長速度を高くするように、エピタキシャル成長の条件を調整するステップを含む請求項1に記載の方法。
  3. 第1の層[82]をエピタキシャル成長させる前記ステップは、前記半導体基板に実質的に垂直なポテンシャルバイアスが印加されたプラズマ環境中で第1の層をエピタキシャル成長させるステップを含む請求項1に記載の方法。
  4. 応力強化MOSトランジスタ[30]の製造方法であって、
    半導体基板[38]を覆うゲート絶縁物[54]を形成するステップと、
    前記ゲート絶縁物を覆い、第1の端部[65]および第2の端部[66]を有するゲート電極[62]を形成するステップと、
    前記半導体基板内に、前記第1の端部と整合され、第1の距離[69]だけ前記第1の端部から離間された第1のトレンチ[72]と、前記第2の端部と整合され、前記第1の距離だけ前記第2の端部から離間された第2のトレンチ[74]とをエッチングにより形成するステップと、
    前記第1のトレンチおよび前記第2のトレンチ内に、第1の濃度のゲルマニウムを含み、前記第1のトレンチおよび前記第2のトレンチを埋めるのに十分な膜厚を有する第1のSiGe層[90]をエピタキシャル成長させるステップと、
    前記第1の層内に、前記第1の側面と整合され、前記第1の距離よりも大きな第2の距離[97]だけ第1の側面から離間された第3のトレンチ[94]と、前記第2の側面と整合され、前記第2の距離だけ第2の側面から離間された第4のトレンチ[96]とをエッチングにより形成するステップと、
    前記第3のトレンチおよび前記第4のトレンチ内に、前記第1の濃度よりも低い第2の濃度のゲルマニウムを含み、前記第3のトレンチおよび前記第4のトレンチを埋めるのに十分な第2の膜厚[95]を有する第2のSiGe層[100]をエピタキシャル成長させるステップと、を含む方法。
  5. 第1の層[90]をエピタキシャル成長させる前記ステップは、25〜40原子百分率のゲルマニウムを含むSiGeの層をエピタキシャル成長させるステップを含み、第2の層[100]をエピタキシャル成長させる前記ステップは、20原子百分率未満のゲルマニウムを含む第2のSiGe層をエピタキシャル成長させるステップを含む請求項4に記載の方法。
  6. 応力強化MOSトランジスタ[30]であって、
    表面[56]を有する半導体基板[38]と、
    前記半導体基板の前記表面にあるチャネル領域[68]と、
    第1のゲルマニウム濃度を有し、前記半導体基板内に埋め込まれた第1のSiGe領域[82]と、
    前記第1のゲルマニウム濃度よりも低い第2のゲルマニウム濃度を有し、前記第1のSiGe領域内に埋め込まれた第2のSiGe領域[88]と、を備え、
    前記第1のSiGe領域は、前記半導体基板の底面[76]に隣接する底部[86]と、前記チャネル領域に隣接するとともに前記底部よりも厚い側面部[84]とを有し、
    前記第2のSiGe領域は、前記第1のSiGe領域の前記底部[86]及び前記側面部[84]に隣接する、応力強化MOSトランジスタ。
  7. 前記第1のSiGe領域[82]は、25〜40原子百分率のゲルマニウム濃度を有する請求項6に記載の応力強化MOSトランジスタ。
  8. 前記第2のSiGe領域[88]は、20原子百分率未満のゲルマニウム濃度を有する請求項7に記載の応力強化MOSトランジスタ。
JP2009538390A 2006-11-21 2007-11-16 応力強化mosトランジスタならびにその製造方法 Expired - Fee Related JP5283233B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/562,209 2006-11-21
US11/562,209 US7534689B2 (en) 2006-11-21 2006-11-21 Stress enhanced MOS transistor and methods for its fabrication
PCT/US2007/024034 WO2008063543A2 (en) 2006-11-21 2007-11-16 Stress enhanced mos transistor and methods for its fabrication

Publications (2)

Publication Number Publication Date
JP2010510684A JP2010510684A (ja) 2010-04-02
JP5283233B2 true JP5283233B2 (ja) 2013-09-04

Family

ID=39327099

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009538390A Expired - Fee Related JP5283233B2 (ja) 2006-11-21 2007-11-16 応力強化mosトランジスタならびにその製造方法

Country Status (9)

Country Link
US (1) US7534689B2 (ja)
EP (1) EP2095408B1 (ja)
JP (1) JP5283233B2 (ja)
KR (1) KR101386711B1 (ja)
CN (1) CN101578690B (ja)
AT (1) ATE478434T1 (ja)
DE (1) DE602007008611D1 (ja)
TW (1) TWI440097B (ja)
WO (1) WO2008063543A2 (ja)

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7696019B2 (en) * 2006-03-09 2010-04-13 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7504301B2 (en) * 2006-09-28 2009-03-17 Advanced Micro Devices, Inc. Stressed field effect transistor and methods for its fabrication
US7544997B2 (en) * 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
JP4896789B2 (ja) * 2007-03-29 2012-03-14 株式会社東芝 半導体装置の製造方法
US20080237634A1 (en) * 2007-03-30 2008-10-02 International Business Machines Corporation Crystallographic recess etch for embedded semiconductor region
FR2914783A1 (fr) * 2007-04-03 2008-10-10 St Microelectronics Sa Procede de fabrication d'un dispositif a gradient de concentration et dispositif correspondant.
US8344447B2 (en) * 2007-04-05 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon layer for stopping dislocation propagation
US7989901B2 (en) * 2007-04-27 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with improved source/drain regions with SiGe
US20080303060A1 (en) * 2007-06-06 2008-12-11 Jin-Ping Han Semiconductor devices and methods of manufacturing thereof
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
KR101369907B1 (ko) * 2007-10-31 2014-03-04 주성엔지니어링(주) 트랜지스터 및 그 제조 방법
US7960229B2 (en) * 2008-04-10 2011-06-14 Globalfoundries Inc. Metal oxide semiconductor transistor with reduced gate height, and related fabrication methods
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
DE102008063427B4 (de) * 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
US20100207175A1 (en) * 2009-02-16 2010-08-19 Advanced Micro Devices, Inc. Semiconductor transistor device having an asymmetric embedded stressor configuration, and related manufacturing method
US8305829B2 (en) 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
DE102009015748B4 (de) * 2009-03-31 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8461015B2 (en) 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8482073B2 (en) 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US20110215376A1 (en) * 2010-03-08 2011-09-08 International Business Machines Corporation Pre-gate, source/drain strain layer formation
US9324866B2 (en) * 2012-01-23 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for transistor with line end extension
US8395213B2 (en) * 2010-08-27 2013-03-12 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US8377780B2 (en) 2010-09-21 2013-02-19 International Business Machines Corporation Transistors having stressed channel regions and methods of forming transistors having stressed channel regions
US9006052B2 (en) * 2010-10-11 2015-04-14 International Business Machines Corporation Self aligned device with enhanced stress and methods of manufacture
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8642407B2 (en) * 2010-11-04 2014-02-04 International Business Machines Corporation Devices having reduced susceptibility to soft-error effects and method for fabrication
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8741725B2 (en) 2010-11-10 2014-06-03 International Business Machines Corporation Butted SOI junction isolation structures and devices and method of fabrication
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US8361847B2 (en) * 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US9029227B2 (en) * 2011-03-01 2015-05-12 Globalfoundries Singapore Pte. Ltd. P-channel flash with enhanced band-to-band tunneling hot electron injection
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8754448B2 (en) * 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
CN103632969A (zh) * 2012-08-21 2014-03-12 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US20140057399A1 (en) * 2012-08-24 2014-02-27 International Business Machines Corporation Using Fast Anneal to Form Uniform Ni(Pt)Si(Ge) Contacts on SiGe Layer
KR20140042460A (ko) * 2012-09-28 2014-04-07 삼성전자주식회사 반도체 소자
CN103779213A (zh) * 2012-10-18 2014-05-07 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN102945793A (zh) * 2012-12-03 2013-02-27 上海集成电路研发中心有限公司 一种外延生长锗硅应力层的预清洗方法
US8906759B2 (en) * 2013-02-25 2014-12-09 International Business Machines Corporation Silicon nitride gate encapsulation by implantation
CN104241130B (zh) * 2013-06-09 2018-04-27 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其形成方法、半导体器件及其形成方法
US9012964B2 (en) * 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Modulating germanium percentage in MOS devices
CN104979207B (zh) * 2014-04-04 2019-04-26 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制作方法
US20150372100A1 (en) * 2014-06-19 2015-12-24 GlobalFoundries, Inc. Integrated circuits having improved contacts and methods for fabricating same
US9343300B1 (en) * 2015-04-15 2016-05-17 Globalfoundries Inc. Methods of forming source/drain regions for a PMOS transistor device with a germanium-containing channel region
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
JP6584348B2 (ja) * 2016-03-07 2019-10-02 東京エレクトロン株式会社 凹部の埋め込み方法および処理装置
CN108987399A (zh) * 2017-06-05 2018-12-11 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
WO2019066965A1 (en) * 2017-09-29 2019-04-04 Intel Corporation DEVICE, METHOD AND SYSTEM FOR FAVORING CHANNEL STRESS IN AN NMOS TRANSISTOR
US10461155B2 (en) * 2017-11-14 2019-10-29 Globalfoundries Inc. Epitaxial region for embedded source/drain region having uniform thickness

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5181089A (en) * 1989-08-15 1993-01-19 Matsushita Electric Industrial Co., Ltd. Semiconductor memory device and a method for producing the same
JP2877108B2 (ja) * 1996-12-04 1999-03-31 日本電気株式会社 半導体装置およびその製造方法
JPH10326837A (ja) * 1997-03-25 1998-12-08 Toshiba Corp 半導体集積回路装置の製造方法、半導体集積回路装置、半導体装置、及び、半導体装置の製造方法
US5970352A (en) * 1998-04-23 1999-10-19 Kabushiki Kaisha Toshiba Field effect transistor having elevated source and drain regions and methods for manufacturing the same
US6426532B1 (en) * 1998-06-30 2002-07-30 Sharp Kabushiki Kaisha Semiconductor device and method of manufacture thereof
JP2000243958A (ja) * 1999-02-24 2000-09-08 Toshiba Corp 半導体装置およびその製造方法
KR20000065719A (ko) * 1999-04-08 2000-11-15 김영환 반도체 소자 및 그 제조방법
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US6805962B2 (en) * 2002-01-23 2004-10-19 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
US7129488B2 (en) * 2003-12-23 2006-10-31 Sharp Laboratories Of America, Inc. Surface-normal optical path structure for infrared photodetection
KR100549005B1 (ko) * 2004-02-27 2006-02-02 삼성전자주식회사 선택적 에피성장층을 채택하여 비대칭 소오스/드레인트랜지스터를 제조하는 방법 및 그것에 의해 제조된비대칭 소오스/드레인 트랜지스터
JP4369359B2 (ja) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7238580B2 (en) * 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
WO2006083546A2 (en) 2005-01-31 2006-08-10 Advanced Micro Devices, Inc. In situ formed halo region in a transistor device
US7545023B2 (en) * 2005-03-22 2009-06-09 United Microelectronics Corp. Semiconductor transistor
US7226820B2 (en) * 2005-04-07 2007-06-05 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US7442589B2 (en) * 2006-01-17 2008-10-28 Honeywell International Inc. System and method for uniform multi-plane silicon oxide layer formation for optical applications

Also Published As

Publication number Publication date
TW200832566A (en) 2008-08-01
ATE478434T1 (de) 2010-09-15
JP2010510684A (ja) 2010-04-02
US7534689B2 (en) 2009-05-19
EP2095408B1 (en) 2010-08-18
CN101578690A (zh) 2009-11-11
US20080119031A1 (en) 2008-05-22
KR101386711B1 (ko) 2014-04-18
WO2008063543A2 (en) 2008-05-29
CN101578690B (zh) 2011-10-12
EP2095408A2 (en) 2009-09-02
KR20090091788A (ko) 2009-08-28
WO2008063543A3 (en) 2008-07-17
DE602007008611D1 (de) 2010-09-30
TWI440097B (zh) 2014-06-01

Similar Documents

Publication Publication Date Title
JP5283233B2 (ja) 応力強化mosトランジスタならびにその製造方法
KR101479291B1 (ko) 스트레인 강화형 반도체 디바이스 및 이를 제조하는 방법
JP4630728B2 (ja) 半導体装置及びその製造方法
US8912606B2 (en) Integrated circuits having protruding source and drain regions and methods for forming integrated circuits
US8148214B2 (en) Stressed field effect transistor and methods for its fabrication
US7592214B2 (en) Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
US7838372B2 (en) Methods of manufacturing semiconductor devices and structures thereof
JP4847152B2 (ja) 半導体装置とその製造方法
US7893496B2 (en) Stress enhanced transistor
US7601574B2 (en) Methods for fabricating a stress enhanced MOS transistor
US7442601B2 (en) Stress enhanced CMOS circuits and methods for their fabrication
US20070072380A1 (en) Methods for fabrication of a stressed MOS device
JP2009503892A (ja) 応力がかけられたmosデバイスの製造方法
JP2008532330A (ja) 集積回路およびその製造方法
KR20110123733A (ko) 에피택셜 성장된 스트레스-유도 소오스 및 드레인 영역들을 가지는 mos 디바이스들의 제조 방법
US20100297821A1 (en) Method of manufacturing semiconductor device
US20130175640A1 (en) Stress enhanced mos transistor and methods for fabrication

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130415

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130522

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130524

R150 Certificate of patent or registration of utility model

Ref document number: 5283233

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees