TWI509699B - 通道上工程應變之應變源 - Google Patents

通道上工程應變之應變源 Download PDF

Info

Publication number
TWI509699B
TWI509699B TW097133445A TW97133445A TWI509699B TW I509699 B TWI509699 B TW I509699B TW 097133445 A TW097133445 A TW 097133445A TW 97133445 A TW97133445 A TW 97133445A TW I509699 B TWI509699 B TW I509699B
Authority
TW
Taiwan
Prior art keywords
semiconductor material
layer
semiconductor
epitaxial
recess
Prior art date
Application number
TW097133445A
Other languages
English (en)
Other versions
TW200917377A (en
Inventor
Shawn Thomas
Pierre Tomasini
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW200917377A publication Critical patent/TW200917377A/zh
Application granted granted Critical
Publication of TWI509699B publication Critical patent/TWI509699B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • Y10T428/2462Composite web or sheet with partial filling of valleys on outer surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

通道上工程應變之應變源
本發明大體上是關於在半導體處理中沈積含矽材料,且更具體言之是關於在半導體基板之凹入源極以及汲極區中磊晶沈積含矽材料。
在形成積體電路時,通常在選定位置中,諸如場隔離區域(field isolation region)中的主動區台(active area mesa)中,或甚至更具體言之在經界定之源極以及汲極區域上需要磊晶層(epitaxial layer)。雖然非磊晶材料(其可為非晶形或多晶的)可在沈積之後選擇性地自場隔離區域上移除,但通常認為較有效的是同時提供化學氣相沈積(chemical vapor deposition,CVD)以及蝕刻化學劑,且調諧條件以在絕緣區域上產生零淨沈積(zero net deposition)並在暴露之半導體窗上產生淨磊晶沈積(net epitaxial deposition)。此過程(稱為選擇性磊晶CVD)利用典型半導體沈積過程在絕緣體(諸如氧化矽或氮化矽)上之緩慢晶核形成。此選擇性磊晶CVD亦利用非晶形以及多晶材料本質上對蝕刻劑之較大的易感性(與磊晶層之易感性相比)。
需要半導體層之選擇性磊晶形成的許多情形之實例包括用於產生應變之許多方案。材料經受應變之程度影響諸如矽、摻碳矽、鍺以及矽鍺合金之半導體材料之電學性質。舉例而言,半導體材料在拉伸應變下可展現增強之電子遷 移率(electron mobility),其對於NMOS元件特定需要;以及在壓縮應變下可展現增強之電洞遷移率(hole mobility),其對於PMOS元件特定需要。增強半導體材料之效能之方法具有顯著的重要性且在多種半導體處理應用中具有潛在的應用。半導體處理通常用於積體電路之製造(其需要特別嚴格的品質需求)中以及多種其他領域中。舉例而言,半導體處理技術亦用於使用多種技術之平板顯示器之製造中以及微機電系統(microelectromechanical system,MEMS)之製造中。
用於在含矽以及含鍺之材料中誘發應變之許多方法已聚焦於利用各種結晶材料(crystalline material)之間的晶格常數(lattice constant)的差異。舉例而言,結晶鍺之晶格常數為5.65,結晶矽之晶格常數為5.431,且金剛石碳之晶格常數為3.567。異質磊晶涉及將特定結晶材料之薄層沈積於一不同之結晶材料上,使得所沈積層採用下伏晶體材料之晶格常數。舉例而言,藉由使用此方法,應變矽鍺(silicon germanium)層可藉由異質磊晶沈積於單晶矽基板上而形成。因為鍺原子稍大於矽原子,且所沈積異質磊晶矽鍺約束於其下方之矽的較小晶格常數,所以矽鍺經受壓縮應變且經受壓縮應變之程度隨鍺含量而變。通常矽鍺層之能帶隙隨矽鍺中之鍺含量增加而自純矽的1.12 eV單調降低至純鍺的0.67 eV。在另一方法中,拉伸應變藉由將矽層異質磊晶沈積於一鬆弛的矽鍺層上而形成於薄的單一結晶矽層中。在此實例中,異質磊晶沈積之矽經受 應變,因為其晶格常數約束於其下方鬆弛的矽鍺之較大晶格常數。拉伸應變通道通常展現增加之電子遷移率,且壓縮應變通道展現增加之電洞遷移率。
在此等實例中,藉由將晶格結構中之矽原子用其他原子替換而將應變誘發於單一結晶含矽材料中。此技術通常稱作取代摻雜。舉例而言,在單一結晶矽之晶格結構中用鍺原子取代矽原子中之一些在所得取代摻雜之單一結晶矽材料中產生壓縮應變,因為鍺原子大於其所替換之矽原子。可能藉由用碳進行取代摻雜而將拉伸應變誘發於單一結晶矽中,因為碳原子小於其所替換之矽原子。在Judy L.Hoyt於Taylor and Francis(New York 2002)中第59-89頁的“Silicon-Germanium Carbon Alloy”第3章“Substitutional Carbon Incorporation and Electronic Characterization of Si1-y Cy /Si and Si1-x-y Gex Cy /Si Heterojunctions”中提供了額外的細節,所述參考在本文中稱為“Hoyt文章”。然而,非取代雜質將不誘發應變。
類似地,電學摻雜劑(electrical dopant)亦應取代地併入磊晶層中以便為電活性的。摻雜劑在沈積時併入,或基板應退火,以達成取代以及摻雜劑啟動之所要程度。用於剪裁晶格常數之雜質或電學摻雜劑之原位摻雜經常優於在進行外部摻雜之後退火以將摻雜劑併入晶格結構中,因為退火消耗熱預算。然而,實務上,原位取代摻雜由於在沈積期間將非取代地併入摻雜劑的趨勢而為複雜的,例如,藉由在矽內的晶疇或團簇中格隙地併入而非在晶格結 構中取代矽原子。非取代摻雜使(例如)矽之碳摻雜、矽鍺之碳摻雜以及半導體之以電活性摻雜劑之摻雜變複雜。如Hoyt文章第73頁之圖3.10所說明,先前沈積方法已用以使結晶矽具有高達2.3原子%之原位摻雜取代碳含量,其對應於超過5.4之晶格間距以及小於1.0 GPa之拉伸應變。
源極以及汲極凹區可用含矽合金填充作為“應變源(stressor)”,其施加壓縮或拉伸應變至源極與汲極之間的矽通道上。舉例而言,源極以及汲極凹區中之應變磊晶矽鍺(“SiGe”)可施加壓縮應變至矽通道上且提高電洞遷移率。類似地,源極/汲極凹區中拉伸應力下之摻碳矽(“Si:C”)磊晶合金可在通道上誘發拉伸應變且提高電子遷移率。一般地,通道上之應變與雜質(諸如C或Ge)之濃度有關。換言之,Ge或C含量愈高,則所產生的應變愈高。
根據本發明之態樣,提供用於選擇性形成半導體材料之方法。在化學氣相沈積腔室內提供基板。基板包括絕緣表面以及單晶半導體表面。單晶半導體表面包括凹區。半導體應變源選擇性形成於凹區中。半導體應變源經分級以使得凹區內半導體應變源之上部具有比下部高的應變量,且上部延伸至凹區之側壁。
根據本發明之另一態樣,提供用於選擇性形成異質磊晶半導體材料之方法。半導體材料沈積於基板之凹入單晶 半導體區域之底部以及側壁表面上。自凹入區域之側壁表面選擇性移除半導體材料之部分,同時在底部表面上留下半導體材料之異質磊晶層。重複沈積以及選擇性移除,其中半導體材料之後續沈積之異質磊晶層相比較半導體材料之先前沈積異質磊晶層而言包括不同濃度之應變誘發雜質。
根據本發明之另一態樣,提供用於在凹區中形成半導體材料之方法。提供其中形成有絕緣區域以及凹區之基板。異質磊晶含矽材料之襯墊層沈積於凹區中。襯墊層包括應變誘發雜質且部分填充凹區。藉由將填充劑沈積於襯墊層上而用包括含矽材料之填充劑填充凹區,所述含矽材料具有比襯墊層低的雜質濃度。
根據本發明之另一態樣,提供半導體元件,其包括基板中之凹區、異質磊晶襯墊、填充劑以及相鄰於凹區之電晶體通道。異質磊晶含矽襯墊實質上覆蓋凹區之所有單晶側壁表面。襯墊包括更改晶格常數之雜質。填充劑形成於襯墊上且填充凹區。填充劑包括含矽材料,其具有比上面形成填充劑之襯墊低的雜質濃度。
根據本發明之又一態樣,提供半導體基板,其包括凹區以及相鄰於凹區之電晶體通道。凹區填充有異質磊晶應變源材料。凹區內之應變源材料之上部具有第一雜質濃度,且凹區內之應變源材料之下部具有第二雜質濃度。第一雜質濃度高於第二雜質濃度,且上部延伸以接觸凹區之側壁。
術語“雜質”在本文中用以指代添加劑(例如鍺或碳),其更改相對於單獨矽之半導體晶格常數,所得半導體化合物經常稱作合金或簡稱為異質磊晶層。“摻雜劑”可指代雜質或電學摻雜劑(諸如磷、砷、硼等)。術語“含矽材料”以及類似術語在本文中用以指代多種含矽材料,包括但不限於:矽(包括結晶矽)、摻碳矽(“Si:C”)、矽鍺(“SiGe”)以及摻碳矽鍺(“SiGe:C”)。如本文所使用,“摻碳矽”、“Si:C”、“矽鍺”、“SiGe”、“摻碳矽鍺”、“SiGe:C”以及類似術語指代包括以各種比例之所指示化學元素以及(視情況)少量其他元素的材料。舉例而言,“矽鍺”為包括矽、鍺以及(視情況)其他元素(例如,諸如碳以及電活性摻雜劑之摻雜劑)的材料。諸如“Si:C”以及“SiGe:C”之簡寫術語本身並非化學計量之化學式,且因此並不限於包括特定比率之所指示元素之材料。此外,諸如Si:C以及SiGe:C之術語並不意欲排除其他摻雜劑之存在,使得摻磷以及摻碳之矽材料包括在術語Si:C以及術語Si:C:P中。除非另有說明,否則諸如碳或鍺之摻雜劑在含矽膜中之百分比在本文中用基於整個膜或子膜之原子百分比表示。應瞭解,諸如碳或鍺之雜質摻雜劑(但排除其他元素,諸如電學摻雜劑)在本文所述之含矽膜中之濃度為至少約0.3原子%。然而,熟習此項技術者將瞭解,電學摻雜劑可在層中誘發應變且因此亦可包括在此些層中。
可能藉由以下方法來確定取代摻雜於含矽材料中之諸如鍺或碳之雜質的量,例如:藉由x射線繞射來量測所摻雜含矽材料之垂直晶格間距,接著對於SiGe合金藉由在單晶矽與單晶鍺之間執行線性內插來應用魏加式定律(Vegard’s Law)或對於Si:C合金內之碳應用Kelires/Berti關係。在Hoyt文章中提供了關於此技術之額外細節。次級離子質譜分析(Secondary ion mass spectrometry,SIMS)可用以確定所摻雜矽中之總雜質含量。可能藉由自總雜質含量減去取代雜質含量而確定非取代或格隙雜質含量。可以類似方式來確定取代摻雜於其他含矽材料中之其他元素的量。
“基板”(在此術語用於本文中時)指代其上需要沈積之工件或暴露至一或多種沈積氣體之表面。舉例而言,在某些實施例中,基板為單晶矽晶圓、絕緣體上半導體(semiconductor-on-insulator,SOI)基板或磊晶矽表面、矽鍺表面或沈積於晶圓上之III-V族材料。工件並不限於晶圓,但亦包括玻璃、塑膠或半導體處理中所使用之其他基板。在所說明之實施例中,基板已經圖案化以具有兩種或兩種以上不同類型之表面。在某些實施例中,當最小化且較佳地避免在相鄰介電質或絕緣體上沈積時,含矽層選擇性地形成於單晶半導體材料上。在其他實施例中,當在相鄰絕緣體上沈積非晶形或多晶材料時,沈積磊晶發生在單晶半導體表面上。介電質或絕緣體材料之實例包括二氧化矽(包括低介電常數形式),諸如矽之摻碳以及摻氟氧化 物、氮化矽、金屬氧化物以及金屬矽化物。
術語“磊晶”、“異質磊晶”以及類似術語在本文中用以指代將結晶含矽材料沈積於結晶基板上,使得所沈積層採用或遵循下伏層或基板之晶格常數。當所沈積層之組合物不同於下伏層或基板之組合物時,磊晶沈積為異質磊晶。當所沈積層之組合物與下伏層或基板之組合物相同時,磊晶沈積為同質磊晶。
在某些應用中,圖案化基板具有具第一表面形態之第一表面以及具有第二表面形態之第二表面。即使表面由相同元素製造,若表面之形態或結晶性不同,則認為表面是不同的。非晶形以及結晶是不同形態之實例。多晶形態為由有序晶體之無序配置組成之結晶結構,且因此具有中間有序度(intermediate degree of order)。多晶材料中之原子在晶體中之每一者中是有序的,但晶體本身相對於彼此缺少長程順序(long range order)。單晶形態是具有高程度長程順序之結晶結構。磊晶膜之特徵在於與其所成長之基板(通常為單晶)相同之平面內晶體結構及定向。此等材料中之原子配置於晶格狀結構中,其持續在原子標度之相對長距離上。非晶形形態為具有低有序度之非結晶結構,因為原子缺少確定之週期配置。其他形態包括微晶以及非晶形與結晶材料之混合物。“非磊晶”因此包括非晶形、多晶、微晶以及其混合物。如本文所使用,“單晶”或“磊晶”用以描述其中具有容許錯誤數之相當大的晶體結構,如一般用於電晶體製造中的晶體結構。層之結晶性通常沿連續 區自非晶形降至多晶降至單晶;晶體結構通常被認為是單晶或磊晶(不管低密度錯誤)。無論是否由於不同的形態及/或不同的材料,具有兩個以上不同類型之表面的混合基板之特定實例包括但不限於:單晶/多晶、單晶/非晶形、磊晶/多晶、磊晶/非晶形、單晶/介電質、磊晶/介電質、導體/介電質以及半導體/介電質。本文所述之用於將含矽膜沈積於具有兩種類型表面之混合基板上的方法亦適用於具有三種或三種以上不同類型表面之混合基板。
當在凹入源極/汲極區中成長至低於其臨界厚度之厚度時,拉伸應變含矽材料將單軸拉伸應變誘發至相鄰於凹入源極/汲極區之矽通道中。此拉伸應變材料包括(但不限於)摻碳矽膜(Si:C膜)以及摻碳矽鍺膜(SiGe:C膜),其中鍺濃度小於碳濃度之約8-10倍,從而導致增強之電子遷移率,其對於NMOS元件尤其有益。此消除了提供鬆弛矽鍺緩衝層以支撐應變矽層之需要。在此些應用中,電活性摻雜劑藉由使用摻雜劑源或摻雜劑前驅體進行原位摻雜而併入。典型n 型摻雜劑源包括砷蒸氣以及摻雜劑、氫化物,諸如磷化氫以及砷化氫。磷化氫甲矽烷(Silylphosphine)(例如(H3 Si)3-x PRx )以及砷化氫甲矽烷(silylarsine)(例如(H3 Si)3-x AsRx )(其中x=0、1或2,且Rx =H及/或氘(D))為磷以及砷摻雜劑之替代前驅體。磷以及砷尤其有用於摻雜NMOS元件之源極以及汲極區。SbH3 以及三甲基銦分別為銻以及銦之替代源。此摻雜劑前驅體有用於如下所述膜之製備,較佳為摻磷、摻銻、摻銦 以及摻砷矽、Si:C以及SiGe:C膜與合金。
當在凹入源極/汲極區中成長至低於臨界厚度之厚度時,壓縮應變含矽材料將單軸壓縮應變誘發至相鄰於凹入源極/汲極區之矽通道中,從而導致增強之電洞遷移率,其對於PMOS元件尤其有益。此壓縮應變材料包括(但不限於)矽鍺膜(“SiGe膜”)以及摻碳矽鍺膜(“SiGe:C膜”),其中鍺濃度大於碳濃度之約8-10倍。在此些應用中,電活性摻雜劑藉由使用摻雜劑源或摻雜劑前驅體進行原位摻雜而併入。典型p 型摻雜劑前驅體包括用於硼摻雜之乙硼烷(B2 H6 )以及三氯化硼(BCl3 )。用於Si之其他p型摻雜劑包括Al、Ga、In以及蒙德列夫(Mendeleiev)元素週期表中Si之左側的任何金屬。此摻雜劑前驅體有用於如下所述膜之製備,較佳為摻硼矽、SiGe以及SiGe:C膜與合金。
對於可在沒有過多錯位(dislocations)之情況下成長於凹入源極以及區域中之SiGe或Si:C之層的厚度存在限制。可成長之層的厚度大體上與雜質含量成反比。當前,具有均一組合物以及在約10-50 nm範圍內之厚度的SiGe合金可用可接受錯位量來沈積,其中對於SiGe具有小於約40原子%Ge且對於Si:C具有小於約3原子%C。在這些限制之外,層之可允許厚度以及成長速率隨過程溫度降低而急劇降低,以便抑制錯位晶核形成。舉例而言,通常,僅幾個單層之純Ge可成長於矽上而沒有錯位。在臨界厚度之外,在層中產生對元件之效能有害之大量錯位。高的整 體雜質含量可導致錯位。在本文所述之較佳實施例中,減少應變源中之整體雜質含量,同時仍藉由在相鄰於電晶體通道之凹區之側壁處使應變局部化而最大化應變之效應。
現已開發用於在暴露半導體窗中形成包括含矽材料(諸如Si:C、SiGe以及SiGe:C)之應變膜的技術。在所說明之實施例中,應變膜沈積於凹入源極/汲極區域中以施加應變至相鄰通道區域上,且因此亦稱作“應變源”。根據較佳實施例,應變異質磊晶半導體材料沈積於凹入源極/汲極區域中,以相對於基板中所誘發的整體應變而增加相鄰電晶體通道區域上所誘發的應變。因為應變源在凹區內之不同區域處具有不同組合物,所以應變源經分級,但等級在兩個或兩個以上離散層中可為連續或步進的。
在延伸至凹入側壁之表面處具有最大應變之分級應變源
圖1至圖5D說明異質磊晶應變源材料之沈積以由下而上方式進行且經分級以使得最高應變處於頂部表面且延伸至凹區之側壁的實施例。舉例而言,可能藉由以下步驟而完成此形成:(a)將Si:C膜毯覆式沈積於凹區中;以及(b)自凹區之側壁選擇性蝕刻半導體材料,在凹區之底部處留下異質磊晶層。步驟(b)可同時在絕緣體上蝕刻非磊晶半導體材料。步驟(a)以及(b)視情況用不同的雜質含量且因此不同的應變程度而循環重複,直至在凹入源極/汲極區域上達成目標磊晶膜厚度。在替代實施例中,其他沈積技術可用以在基板之凹區中形成垂直分級之含矽材 料。
可能藉由乾式蝕刻(dry etching)以及隨後之HF清潔以及原位退火而形成凹入源極/汲極區域。在使用乾式蝕刻之實施例中,選擇性成長之薄(介於大致1 nm與大致3 nm之間)矽晶種層(seed layer)之沈積幫助減少蝕刻損壞。晶種層亦幫助覆蓋先前摻雜劑植入過程所導致之損壞。在例示性實施例中,此晶種層可在約700℃與約800℃之間的沈積溫度下使用同時提供HCl 以及二氯甲矽烷而選擇性沈積。
根據某些實施例,在圖1中提供之流程圖中以及在圖2至圖5E中所說明之部分形成半導體結構的示意性說明中說明循環毯覆式沈積以及蝕刻過程。雖然下文在由特定循環過程進行之拉伸應變Si:C沈積之實施例的上下文中論述,但應瞭解如對於由其他技術以由下而上方式形成之其他應變材料,可在磊晶膜之形成中使用本文所述之凹區之由下而上分級填充。Si:C實施例較佳包括介於約0.1原子%與4原子%之間、且較佳介於約1原子%與3原子%範圍內的取代碳,且經分級以在靠近基板表面處具有最高應變。熟習此項技術者將瞭解,較佳循環過程可選擇性形成Si:C,其對於給定膜品質具有比習知選擇性沈積之同時蝕刻劑以及前驅體流更高的碳濃度,且亦允許應變源在凹區頂部處具有最高應變之部分延伸至相鄰於通道之凹區壁。在一些實施中將瞭解,凹區壁可由在蝕刻凹區之後經沈積以內襯凹區之磊晶層界定。本文所描述之技術可用於在凹 入源極/汲極區域中沈積其他磊晶膜,諸如SiGe及SiGe:C。
詳言之,圖1說明具有凹入源極/汲極區域之基板在操作區塊10中置放於處理腔室(process chamber)中。如操作區塊20所指示,半導體合金層保形(conformally)沈積於基板上。在一個實施例中,此保形沈積為在基板之任何絕緣體區域上留下非晶形或多晶材料之毯覆式沈積以及在源極/汲極區域之底部以及側壁上之磊晶沈積。在保形沈積之後,非晶形或多晶材料以及側壁磊晶材料之任何區域可經選擇性蝕刻,如操作區塊30所指示。在選擇性蝕刻之後,確定凹入源極/汲極區域中之磊晶膜的目標厚度是否達成,如操作區塊40所示。若目標厚度已達成,則過程結束,如操作區塊45中所示。若目標厚度尚未達成,則過程藉由遞增或增加誘發應變雜質濃度(諸如碳)而循環繼續,如操作區塊50所指示。此增加濃度用於半導體合金層之下一保形沈積,其由操作區塊20所指示。增加雜質濃度之下一保形沈積後接續有任何非晶形或多晶以及側壁磊晶材料之選擇性蝕刻,如操作區塊30所示。在此沈積以及蝕刻過程之後,凹入源極/汲極中磊晶膜厚度經評估以確定目標厚度是否達成,如操作區塊40所示。重複此循環過程直至達成目標厚度。進行至少兩次循環20-50以便達成分級應變源。
圖2提供示範性基板之示意性說明,其包括形成於半導體基板100(諸如矽晶圓)中之圖案化絕緣體110。絕緣體110為氧化物填充淺溝槽隔離(shallow trench isolation,STI)之形式並界定場隔離區域112,且相鄰於展示在閘極 結構115之任一側上之凹入源極/汲極區域114。請注意,閘極結構115覆蓋基板之通道區域117。通道117、源極以及汲極區域114共同界定電晶體主動區,其通常由場隔離區域112圍繞以防止與相鄰元件的干擾(cross-talk)。在其他配置中,多個電晶體可由場隔離圍繞。在一種情況下,閘極結構115之頂部可由介電材料覆蓋。此表面接著相對於其上之沈積而對場隔離區域112起類似的作用,且閘極頂部上之沈積將具有與場隔離區域上之沈積類似的結晶性。在閘極結構115未覆蓋有介電材料之情況下,閘極之表面將成長多晶材料,其接著可經由多晶材料之原位蝕刻而移除,但可應用不同之條件集合(諸如壓力、氣流等)用於確保自場隔離區域112移除材料。
下文描述涉及用於NMOS應用之摻碳矽(Si:C)之特定實例的實施例。如圖3中示意性說明,毯覆式Si:C層120、125、130沈積於混合基板上,較佳使用三矽烷(trisilane)作為矽前驅體,且亦使碳前驅體流動。此導致在場隔離區域112上之Si:C之主要非晶形或多晶或非磊晶沈積120,以及Si:C之下部磊晶沈積125與側壁磊晶沈積130內襯凹入源極/汲極區域114。請注意,“毯覆式沈積”意謂淨沈積在沈積階段中產生於非晶形絕緣體110以及單晶源極/汲極區域114兩者上。雖然缺少蝕刻劑或鹵化物在毯覆式沈積過程(在此情況下沈積亦被認為是“非選擇性的”)中為較佳的,但可能需要某些量之蝕刻劑以調諧各種區域上之所沈積厚度的比率。在需要此類少量蝕刻劑之 情況下,雖然是毯覆式,但沈積過程可能為部分選擇性的,因為每一沈積階段將在絕緣體110以及單晶區域(即源極/汲極區域114)上具有淨沈積。
根據一實施例,非晶形或多晶沈積120以及側壁磊晶沈積130之區域接著經選擇性蝕刻,因此產生示意性說明於圖4中之結構。在另一實施例中,側壁區域上之沈積可為多晶或非晶形材料。雖然在選擇性蝕刻期間自凹入源極/汲極區域114中之下部磊晶層125移除了一些磊晶沈積之Si:C,但保留了下部磊晶層125之至少一些。側壁磊晶層130成長於不同之結晶平面上,且亦由於在兩表面上之成長速率差異而比下部磊晶層125更具缺陷。熟習此項技術者將瞭解,垂直側壁磊晶層130中之晶格間距小於下部磊晶層125之晶格間距,其導致兩表面上之成長速率差異。因此,側壁磊晶層130較容易與非磊晶材料120一起移除。因此,每一過程循環可經調諧以達成對凹區(即源極/汲極區域114)之大量由下而上填充。如自圖1之論述將瞭解,每一循環包括自凹區側壁之毯覆式保形沈積20以及選擇性蝕刻30。
如下文中較詳細論述,在示範性實施例中,氣相蝕刻化學物較佳包括鹵化物,諸如含氟、含溴或含氯氣相化合物,且特定言之氯源,諸如HCl或Cl2 。在一些實施例中,蝕刻化學物亦包括鍺源,諸如類似於單鍺烷(GeH4 )之鍺烷、GeCl4 、有機鍺前驅體或固態源鍺。熟習此項技術者將瞭解,相同蝕刻化學物亦適用於SiGe以及SiGe:C膜。
在上文關於圖4所描述之選擇性蝕刻過程之後,第二毯覆式Si:C層122、132、135接著沈積於混合基板上,如圖5A所示。此第二毯覆式Si:C層122、132、135包括比第一毯覆式Si:C層120-130高的碳濃度,如圖3所示。根據實施例,第一毯覆式Si:C層120、125、130之碳濃度介於約1原子%與1.5原子%之間,且第二毯覆式Si:C層122、132、135之碳濃度大於約1.5原子%,且較佳在約1.5原子%至4原子%之間的範圍內。在SiGe膜之成長之替代實施例中,第一毯覆式SiGe層之鍺濃度在10原子%至20原子%之間的範圍內,且較佳為約15原子%;第二毯覆式SiGe層之鍺濃度在20原子%至100原子%之間的範圍內,且較佳在約30原子%至60原子%之間的範圍內。如圖5A所示,第二毯覆式Si:C層122、132、135包括非晶形或多晶矽部分122、側壁磊晶部分132以及凹區底部表面部分135。Si:C之此第二層122、132、135接著經選擇性蝕刻以移除場隔離區域112中之非晶形絕緣體110上之非磊晶部分以及側壁磊晶層132,如圖5B所示。在另一實施例中,側壁沈積為非晶形或多晶。在任何情況下,對於此實施例,側壁層比底部磊晶材料更容易移除。
重複此循環過程(包括具有漸進較高碳濃度之Si:C層之毯覆式沈積,後接續有選擇性蝕刻過程),直至在凹入源極/汲極區域114上達成磊晶Si:C膜厚度之目標厚度,如圖1所示之決策區塊40所示。此循環過程亦示意性說明於圖5A中以及圖5B中,圖5A說明毯覆式Si:C層122、132、 135之第二循環之沈積,且圖5B繪示非晶形或多晶Si:C層122以及側壁磊晶層132之第二循環之蝕刻以留下具有增加厚度之底部覆蓋磊晶Si:C。具有增加厚度之底部覆蓋磊晶Si:C在凹入源極/汲極區域114中包括離散分級層125、135。圖5C說明留下磊晶填充源極/汲極區域114之另一循環的結果,其中離散選擇性分級磊晶層之頂部層145粗略與絕緣體110共面。儘管經說明為另一循環,但熟習此項技術者將瞭解可執行額外循環以填充凹入源極/汲極區域114。
雖然圖5C展示三個離散分級層,但熟習此項技術者將瞭解,在其他實施例中可存在更多或更少數目之離散分級層以達成磊晶填充之源極/汲極區域,其具有粗略與場氧化層共面之頂部表面。將理解,在另一實施例中,離散分級磊晶層125、135、145可經選擇性沈積為升高之源極/汲極區域114。如圖5C所示,每一沈積層覆蓋凹入區域(即源極/汲極區域114)之側壁表面之至少一部分。根據替代實施例,層125、135、145等可形成連續分級層,其中每一後續沈積層具有漸進較高之碳濃度。舉例而言,每一層可在沈積時經分級及/或後續熱處理可藉由擴散而使分級平滑。無論分級層為連續的還是步進的,凹入區域內之最高應變處於凹區之頂部(大致與晶圓之表面共面),且分級磊晶層125、135、145等中之每一者延伸至相鄰於通道之凹區側壁。因此,即使在側壁處,分級主要為垂直而非水平遠離側壁延伸。如上所述,在一些配置中,凹區側壁由 具有可選凹區清潔或熱平滑步驟之蝕刻過程界定。在其他配置中,凹區側壁由諸如薄磊晶層之內襯層(lining layer)界定。分級結構之每一沈積層可具有約1 nm至100 nm之厚度。根據另一實施例,每一沈積層具有約3 nm至50 nm之厚度。根據另一實施例,每一沈積層具有約3-5 nm之厚度。在一些實施例中,分級磊晶層中之每一者具有相同厚度。在其他實施例中,分級磊晶層具有不同相對厚度。
選擇性形成過程可更包括毯覆式沈積以及選擇性回蝕之額外循環,以自介電區域移除沈積材料從而形成可選封蓋層(capping layer)150,如圖5D所示。封蓋層150可能具有或沒有雜質或電學摻雜劑。舉例而言,升高源極/汲極區域114在原始基板表面上方以及源極/汲極區域114之間的通道117上方的部分可為無碳的,因為此部分在其處於通道117水平面上方時並不貢獻於通道117上之應變。因此,可選封蓋層150可由Si、SiGe、SiGe:C或Si:C形成,且可用以提供用於接觸矽化(contact silicidation)之額外Si。在一個實施例中,層125、135、145可由Si:C形成,而封蓋層150由Si、SiGe、SiGe:C或Si:C形成。在另一實施例中,層125、135、145可由SiGe形成,而封蓋層150由Si、SiGe、SiGe:C或Si:C形成。在示範性實施例中,沈積分級Si:C層視情況包括電活性摻雜劑,特定言之適合於NMOS元件之摻雜劑,諸如磷或砷。
在一個實施例中,為了幫助維持取代碳以及電活性摻雜劑之高濃度,同時最小化溫度斜坡(temperature ramp) /穩定時間,基板溫度至少在圖1之蝕刻階段30期間較佳保持為低的,例如在約350℃與700℃之間的範圍內。藉由使用低溫用於蝕刻亦降低了電活性摻雜劑原子在蝕刻期間去活性之可能性。舉例而言,用Cl 2 氣體蝕刻有利地允許降低蝕刻溫度,因此幫助維持取代碳以及電活性摻雜劑。用於蝕刻階段之低溫在利用低溫下達成之高摻雜劑併入的同時啟用粗略匹配之沈積階段溫度。可藉由以下方法提高蝕刻速率以允許此等低溫而不犧牲產量:藉由在蝕刻階段期間包括鍺源,例如GeH4 、GeCl4 、金屬有機Ge前驅體、固態源Ge;或藉由在蝕刻階段期間快速上升溫度以改良產量。在整個循環中設定點溫度保持相對恆定(例如在±10℃內)之等溫處理改良產量,且最小化溫度上升以及穩定之時間。類似地,毯覆式沈積以及蝕刻過程兩者均較佳為等壓的,其中壓力設定點在彼此的±20托內。等溫及/或等壓條件有助於因為避免上升以及穩定時間而獲得較佳產量。
如圖1所說明,視情況循環重複執行毯覆式沈積後接續有選擇性蝕刻之兩階段過程,直至達成填充源極/汲極凹區之目標磊晶膜厚度。用於穩定之例示性過程參數以及一個循環概述於下文之表A中,其列出示範性操作點以及在圓括號中列出較佳操作範圍。如自表A顯而易見,過程條件(諸如腔室溫度、腔室壓力以及載氣流動速率)較佳實質上類似於沈積以及蝕刻階段,從而允許增加產量。因此,以下實例對於一循環之兩階段使用等溫以及等壓條件。其 他參數用於具有不同雜質濃度之後續沈積之層。舉例而言,Si以及C前驅體流可能為不同的,或腔室溫度可經調整以沈積具有較高雜質濃度之層。
表A提供用於在凹入源極/汲極區域中沈積磊晶Si:C膜之示範性過程參數,如上文關於圖1至圖5D所論述。藉由使用表A中提供之參數,對於選擇性沈積於凹入源極/汲極區域中之磊晶Si:C:P膜,可能達成較佳在約4 nm/min與約11 nm/min之間的淨成長速率,且更佳地在約8 nm/min與約11 nm/min之間。亦可能達成薄的Si:C:P膜,其具有高達3.5%之取代碳含量(如藉由應用Kelires/Berti關係而確定)且具有介於約0.4 mΩ cm與約2.0 mΩ cm之間的電阻率。藉由操縱沈積條件,可能獲得其他的膜性質。熟習此項技術者將瞭解,沈積條件通常經調整用於沈積後續層。
在本文所揭露之蝕刻過程期間,在蝕刻選擇性介於約10:1與30:1之間的範圍中之每一蝕刻階段中,磊晶Si:C蝕刻顯著慢於非晶形或多晶Si:C。側壁磊晶材料亦在蝕刻階段優先移除。在較佳實施例中,循環沈積以及蝕刻過程條件經調諧以減少或消除非晶形絕緣體110上之淨成長,同時達成磊晶凹入源極/汲極區域114中(具體而言在凹區之底部表面上)之每一循環中之淨成長。此循環過程可與同時發生沈積以及蝕刻反應之習知選擇性沈積過程區別。
下文之表B以及表C給出使用類似於表A配方之配方的沈積與蝕刻持續時間以及所得厚度的兩個實例。配方經不同地調諧以藉由增加Si3 H8 之部分壓力以及最佳化蝕刻劑部分壓力而調變沈積以及蝕刻速率兩者。
如上所述,在替代實施例中,代替上述之循環毯覆式沈積/選擇性蝕刻過程,可使用其他選擇性沈積技術來在凹區中以由下而上方式沈積分級應變源。
具有最大應變內襯凹區之逆行應變源(Retrograded stressor)。
圖6說明在操作區塊300中提供具有凹區之基板。如圖6中之操作區塊310所指示,基板之凹區之單晶表面襯有異質磊晶應變襯墊。在內襯凹區之後,經內襯凹區填充有與應變襯墊相比具有減少應變之材料,如操作區塊320所指示。
圖7及圖8說明圖6之方法之實施例。圖7提供包括形成於半導體基板200(諸如矽晶圓)中之圖案化絕緣體210的示範性基板的示意性說明。絕緣體210以氧化物填充STI之形式並界定場隔離區域212,且相鄰於展示於閘極結構215之任一側上之凹入源極/汲極區域214,閘極結構215覆蓋基板200之通道區域217。為了說明目的,絕緣體210經展示為與凹入源極/汲極區域214分離,使得所有凹區表面由單晶矽界定。然而,應理解,在其他配置中,一些凹區表面可由絕緣體材料界定,如圖2所示。如圖7所說明,異質磊晶含矽材料(諸如SiGe、SiGe:C以及Si:C)之襯墊層225形成於基板200之亦具有絕緣體210之凹入源極/汲極區域214中。異質磊晶襯墊層225較佳選擇性以及異質磊晶地沈積於所述凹入源極/汲極區域214之單晶表面上。
根據另一實施例,異質磊晶襯墊層225可藉由以下方法而形成:在具有絕緣體區域以及凹入源極/汲極區域之混合基板上選擇性沈積含矽材料(諸如SiGe、SiGe:C或Si:C)之毯覆式層,以及選擇性蝕刻所述毯覆式層使得所沈積含矽材料僅保留在凹入源極/汲極區域中,如上文關於圖1至圖5D所述。熟習此項技術者將瞭解,含矽材料之毯覆式層實質上為場隔離區域212上之非晶形或多晶或非磊晶材料以及凹入區域之底部表面上之磊晶材料。凹入區域之單晶側壁亦由含矽材料之異質磊晶襯墊層225覆蓋,如圖7所示。凹入區域之底部表面上之磊晶材料以及側壁上之磊晶材料共同用於凹入區域之異質磊晶襯墊層225。在選擇性蝕刻之後,僅異質磊晶襯墊層225保留在凹入源極/汲極區域214中。
如圖7所示,異質磊晶襯墊層225內襯凹入區域,使得異質磊晶襯墊層225覆蓋凹入區域之全部側壁表面以及底部表面。較佳地,此異質磊晶襯墊層225實質上均一沈積於凹入區域中之暴露矽上。異質磊晶襯墊層225之異質磊晶含矽材料可在約350℃至1000℃之間的範圍內的溫度下沈積,且較佳在約400℃至800℃之間的範圍內。在另一實施例中,磊晶含矽材料在約400℃至750℃之間的範圍內的溫度下沈積,且較佳在約450℃至650℃之間的範圍內。根據另一實施例,異質磊晶襯墊層225可為具有應變誘發雜質濃度之分級層,所述濃度遠離凹入區域之底部以及側表面而降低。分級可為離散的或連續的。
如圖8中所說明,凹入區域之剩餘部分接著用填充劑260填充,直至在凹入源極/汲極區域214上達成目標厚度。填充劑260包括具有較低雜質(諸如Ge或C)濃度之磊晶材料,所述雜質將應變引入於異質磊晶襯墊層225中。根據實施例,填充劑260包括矽。在圖8中展示之所說明實施例中,填充劑260填充絕緣體210與通道區域217之間的凹區,使得填充劑260之上部表面實質上與絕緣體210之上部表面共面。然而,熟習此項技術者將容易瞭解,此目標厚度亦可低於或高於絕緣體210之頂部表面。熟習此項技術者將瞭解,填充有由異質磊晶襯墊225以及減少應變填充劑260之所形成之應變源的填充凹入源極/汲極區域214比具有均一矽合金之習知應變源更穩定,因為應變源具有降低整體濃度之應變誘發雜質材料(諸如Ge或C)。結構仍在通道217之邊緣處提供高應變位準,其為所需要的。舉例而言,對於包含SiGe之異質磊晶襯墊層225,Ge含量通常介於20原子%與50原子%之間,且填充劑260之Ge含量較佳小於約20原子%或更小。對於Si:C襯墊,C含量通常介於0.5原子%與4原子%之間,且填充劑260之C含量較佳小於約1原子%且低於襯墊層225。如圖8所示,可選封蓋層250可較佳藉由選擇性沈積技術而沈積於填充源極/汲極區域214上。在一個實施例中,封蓋層250可由Si、SiGe、SiGe:C或Si:C形成。封蓋層250較佳具有低於磊晶材料225之襯墊層的較低雜質濃度。
在凹區側壁處具有最大應變之逆行(retrograded)應 變源
圖9說明在操作區塊400中提供具有凹區之基板。如操作區塊410所指示,基板之凹區的單晶表面襯有異質磊晶應變襯墊。在內襯凹區之後,執行再分佈退火以在凹區之下部彎角中形成小面(facet),如操作區塊420所指示。凹區接著填充具有與應變襯墊相比減少應變之材料,如操作區塊430所指示。
襯墊層可經退火以重分佈磊晶襯墊層材料,使得材料遷移至凹區之側壁處的彎角。通常,此退火導致磊晶材料漸縮(tapered),從而具有小面化側截面形狀。經退火磊晶材料通常在凹區底部處比頂部處寬。較佳覆蓋凹區之實質上所有側壁表面之經退火磊晶材料施加橫向應變至相鄰電晶體通道上。
圖10及圖11說明圖9之方法。在襯墊層225沈積於圖7所示之結構中之後,無論是否藉由選擇性沈積技術或循環毯覆式沈積/選擇性蝕刻或非選擇性沈積以及圖案化,基板200接著藉由將其加熱至約600℃與1100℃之間而進行退火。在一個實施例中,基板在介於650℃與900℃之間的溫度下退火。在另一實施例中,退火溫度介於約725℃與775℃之間。熟習此項技術者可取決於選定溫度而容易確定適當的退火持續時間,從而達成所要的再分佈。儘管以完全界定於半導體材料內之凹區(即源極/汲極區域214)說明,使得楔形異質磊晶材料230形成環狀,但熟習此項技術者將瞭解一或多個側壁表面可由場隔離材料來界 定,如圖2至圖5D之實施例所說明。如關於先前描述之實施例所述,凹入區域之側壁可由形成其之蝕刻、由後續清潔或磨圓步驟、或諸如薄磊晶層之額外內襯層(未圖示)來界定。
作為退火過程之結果,襯墊層225(展示於圖7中)中之矽以及摻雜劑原子遷移,且材料再分佈導致經退火異質磊晶材料230具有小面化側截面形狀,如圖10所示。自結晶觀點來看,小面化異質磊晶材料230為下伏於閘極節構215之通道區域217之兩側上的晶體小面之等效物。如圖10所說明,小面化異質磊晶材料230為實質上沿凹入區域之側壁漸縮的層。
此小面化磊晶材料230亦為無錯位且應變的,但其在退火之前具有比圖7之磊晶襯墊225高的合金含量。如圖所說明,小面化磊晶材料230位於相鄰於閘電極結構215下方之通道217處,且內襯或覆蓋緊靠通道之實質上所有至少凹區側壁,且較佳為凹入區域之所有單晶側壁表面。因此,應變小面化異質磊晶材料230施加應變至下伏於閘極結構215之通道區域217上。
在所說明實施例中,原始襯墊225之磊晶材料中之一些在退火之後保留在凹入區域之底部表面上。如圖10所示,底部襯墊280之經退火磊晶材料變薄,且可具有不均勻表面,且亦可與楔形側壁覆蓋異質磊晶材料230不連續。底部覆蓋之不連續性可減少凹區底部之應變,而在相鄰於通道表面之凹區頂部沒有任何效應。儘管在所說明實 施例中底部襯墊280之經退火磊晶材料與小面化異質磊晶材料230隔離,但應瞭解在其他實施例中(未圖示),底部襯墊之經退火磊晶材料將不與覆蓋側壁表面之小面化磊晶材料隔離。此隔離或沒有隔離可藉由(例如)調整沈積時間或藉由添加後磊晶沈積化學蝕刻步驟(例如,原位後磊晶沈積HCl蝕刻)而達成。
凹入區域之剩餘部分接著填充填充劑260,如圖11所說明。填充劑260具有比小面化異質磊晶材料230低的應變誘發雜質濃度。此填充劑層260可經成長以與基板200之頂部表面實質上共面,如圖11所示,或在其他實施例中低於或高於基板200之頂部表面。在<100>具有水平底面之凹區之矽基板的情況下,小面化異質磊晶材料230與填充劑260之間的介面處的小面角(facet angle)相對於凹入區域底部之水平面介於約25°-55°之間的範圍內。根據另一實施例,小面角介於約11°-72°之間的範圍內。應瞭解,在小面化異質磊晶材料230與填充劑260之間的介面可具有一些彎曲,且凹入區域內之整體應變源230、260在側壁處存在較高應變或較高雜質濃度以及在凹入區域之中心處存在較低應變或較低雜質濃度的意義上為逆行的。實際上,填充劑260可由無任何應變誘發雜質之Si形成,其僅包括電學摻雜劑用於傳導性。可選封蓋層(未圖示)可形成於填充劑260上。圖12為繪示使用圖9所說明之方法形成之小面化SiGe襯墊層的顯微圖。填充劑260(圖11)在顯微圖中標記為“Si封蓋”,且多晶矽成長繪示於閘極結 構上,其指示非選擇性沈積用於此實例。
應瞭解,因為較高度應變磊晶含矽材料280、230之體積由於使用薄內襯層而非用高度應變材料完全填充凹區之緣故而顯著減小,所以臨界厚度約束放鬆且應變施力以及熱預算中之實質增益產生。可調整磊晶含矽材料280、230之雜質含量,從而產生不同的應變量。可顯著增加過程溫度,從而導致成長速率之顯著增加。
雖然以上詳細描述揭露本發明之若干實施例,但應瞭解本揭露案僅為說明性的且並不限於本發明。應瞭解所揭露之特定組態以及操作可不同於上述組態以及操作,且本文所述之方法可用於不同於半導體元件之製造的上下文中。
10、20、30、40、45、50、300、310、320、400、410、420、430‧‧‧區塊
100‧‧‧基板
110‧‧‧絕緣體
112‧‧‧場隔離區域
114‧‧‧源極/汲極區域
115‧‧‧閘極結構
117‧‧‧通道區域
120‧‧‧毯覆式Si:C層
122‧‧‧毯覆式Si:C層
125‧‧‧毯覆式Si:C層
130‧‧‧毯覆式Si:C層
132‧‧‧毯覆式Si:C層
135‧‧‧毯覆式Si:C層
145‧‧‧分級磊晶層
150‧‧‧封蓋層
200‧‧‧半導體基板
210‧‧‧絕緣體
212‧‧‧場隔離區域
214‧‧‧源極/汲極區域
215‧‧‧閘電極
217‧‧‧通道區域
225‧‧‧襯墊層
230‧‧‧異質磊晶材料
250‧‧‧封蓋層
260‧‧‧填充劑
280‧‧‧底部襯墊
圖1為說明用於在基板之凹入源極/汲極區域中以由下而上方式選擇性形成應變磊晶半導體層之過程的流程圖。
圖2為包括形成於半導體基板中之凹入源極/汲極區域之部分形成半導體結構的示意性截面說明。
圖3為在執行包括在凹入源極/汲極區域之底部上磊晶沈積的摻碳矽膜之毯覆式沈積(blanket deposition)之後的圖2之部分形成半導體結構之示意性截面說明。
圖4為在執行用以自絕緣體以及凹入側壁區域移除摻碳矽之選擇化學氣相蝕刻過程之後的圖3之部分形成半導體結構之示意性截面說明。
圖5A至圖5D為在執行毯覆式沈積以及選擇蝕刻之其他循環、以由下而上方式沈積具有增加應變之層之後的圖4之部分形成半導體結構之示意性截面說明。
圖6為說明用於在凹入源極/汲極區域中形成應變襯墊層(liner layer)之過程的流程圖。
圖7及圖8為根據另一實施例在混合基板表面之凹入區域中形成包括含矽膜之襯墊層且用填充劑填充凹入區域之後的圖2之部分形成半導體結構之示意性截面說明。
圖9為說明用於在基板之凹入源極/汲極區域中形成小面化(faceted)應變襯墊層之過程的流程圖。
圖10及圖11為根據另一實施例在退火襯墊層且用填充劑填充凹入區域之後的圖6之部分形成半導體結構之示意性截面說明。
圖12為展示經退火SiGe襯墊層之顯微圖。
10、20、30、40、45、50‧‧‧區塊

Claims (52)

  1. 一種選擇性形成半導體材料之方法,包括:在化學氣相沈積腔室內提供基板,所述基板包括絕緣表面以及單晶半導體表面,其中所述單晶半導體表面包括凹區;以及在所述凹區中選擇性形成半導體應變源,其中所述半導體應變源經分級使得所述凹區內之所述半導體應變源之上部具有比下部高的應變量,且其中所述上部延伸至所述凹區之側壁。
  2. 如申請專利範圍第1項所述之選擇性形成半導體材料之方法,其中所述半導體應變源包含離散層。
  3. 如申請專利範圍第1項所述之選擇性形成半導體材料之方法,其中所述選擇性形成包括:在所述基板之所述絕緣表面上以及所述單晶半導體表面上毯覆式沈積半導體材料;以及自所述絕緣表面選擇性移除非磊晶半導體材料,以及自所述凹區之所述側壁選擇性移除磊晶材料,同時在所述凹區之底部留下磊晶材料。
  4. 如申請專利範圍第3項所述之選擇性形成半導體材料之方法,更包括在多個循環中重複所述毯覆式沈積以及所述選擇性移除,其中每一循環在所述凹區之所述底部添加某厚度之磊晶材料,且其中任一毯覆式沈積半導體材料層包括比所述凹區中之下伏毯覆式沈積半導體材料層高的摻雜劑濃度。
  5. 如申請專利範圍第3項所述之選擇性形成半導體材料之方法,其中所述毯覆式沈積包括非選擇性沈積。
  6. 如申請專利範圍第3項所述之選擇性形成半導體材料之方法,其中所述毯覆式沈積包括在所述絕緣表面上主要形成非晶形半導體材料。
  7. 如申請專利範圍第3項所述之選擇性形成半導體材料之方法,其中所述毯覆式沈積包括將三矽烷以及碳前驅體流動至所述化學氣相沈積腔室中。
  8. 如申請專利範圍第1項所述之選擇性形成半導體材料之方法,其中所述半導體材料包括摻碳矽。
  9. 一種選擇性形成異質磊晶半導體材料之方法,包括:在基板之單晶半導體區域中之所形成的凹區之底部以及側壁表面上沈積半導體材料;以及自所述凹區之所述側壁表面選擇性移除所述半導體材料之部分,同時在所述底部表面上留下所述半導體材料之異質磊晶層;以及重複所述沈積以及所述選擇性移除,其中所述半導體材料之後續沈積異質磊晶層包括與所述半導體材料之先前沈積異質磊晶層相比而言不同之應變誘發雜質之濃度。
  10. 如申請專利範圍第9項所述之選擇性形成異質磊晶半導體材料之方法,其中所述半導體材料之所述沈積層離散地分級。
  11. 如申請專利範圍第9項所述之選擇性形成異質磊晶 半導體材料之方法,其中所述沈積包括在每一循環中將所述半導體材料之所述異質磊晶層形成為介於1nm與100nm之間的厚度。
  12. 如申請專利範圍第9項所述之選擇性形成異質磊晶半導體材料之方法,其中所述凹區中之所述半導體材料之所述異質磊晶層施加應變至所述基板之相鄰區域上。
  13. 如申請專利範圍第12項所述之選擇性形成異質磊晶半導體材料之方法,其中所述應變在所述凹區內之所述凹區之頂部處最高。
  14. 如申請專利範圍第9項所述之選擇性形成異質磊晶半導體材料之方法,其中所述半導體材料包括摻碳矽。
  15. 如申請專利範圍第9項所述之選擇性形成異質磊晶半導體材料之方法,其中所述凹區內之所述半導體材料之最高程度應變處於所述凹區之頂部處。
  16. 如申請專利範圍第9項所述之選擇性形成異質磊晶半導體材料之方法,其中所述半導體材料填充所述凹區。
  17. 如申請專利範圍第9項所述之選擇性形成異質磊晶半導體材料之方法,其中所述半導體材料之所述異質磊晶層之至少一最上層為拉伸應變的。
  18. 一種在包括單晶側壁表面的凹區中形成半導體材料之方法,包括:提供基板,其中形成有絕緣區域以及所述凹區;沈積異質磊晶含矽材料之襯墊層以覆蓋所述凹區之實質上所有單晶側壁表面,所述襯墊層包括應變誘發雜 質,所述襯墊層部分填充所述凹區;以及藉由將填充劑沈積於所述襯墊層上而用包括含矽材料之所述填充劑覆蓋所述襯墊層,從而在所述凹區中形成半導體材料,所述含矽材料具有比所述襯墊層低的所述雜質之濃度。
  19. 如申請專利範圍第18項所述之在凹區中形成半導體材料之方法,其中所述沈積包括用矽鍺內襯所述凹區的所述單晶側壁表面。
  20. 如申請專利範圍第18項所述之在凹區中形成半導體材料之方法,其中沈積所述襯墊層以及覆蓋所述襯墊層導致凹區用分級之矽鍺材料填充,其中鍺的濃度遠離所述凹區之底部以及側部而減少。
  21. 如申請專利範圍第18項所述之在凹區中形成半導體材料之方法,更包括將封蓋層沈積於所述填充劑上,所述封蓋層包括選自由以下各物組成之群的材料形成:矽、矽鍺、摻碳矽以及摻碳矽鍺。
  22. 如申請專利範圍第18項所述之在凹區中形成半導體材料之方法,其中:沈積所述襯墊層包括沈積分級之矽鍺層,其中鍺的濃度遠離所述凹區之底部以及側部而減少;以及覆蓋所述襯墊層包括在沈積所述襯墊層之後用矽填充所述凹區。
  23. 如申請專利範圍第22項所述之在凹區中形成半導體材料之方法,其中所述分級之矽鍺層包括離散分級之層。
  24. 如申請專利範圍第22項所述之在凹區中形成半導體材料之方法,其中所述分級之矽鍺層為連續分級之層。
  25. 如申請專利範圍第18項所述之在凹區中形成半導體材料之方法,其中沈積所述襯墊層包括用摻碳矽內襯所述凹區。
  26. 如申請專利範圍第25項所述之在凹區中形成半導體材料之方法,更包括將封蓋層沈積於所述填充劑上,所述封蓋層包括選自由以下各物組成之群的材料:矽、矽鍺、摻碳矽以及摻碳矽鍺。
  27. 如申請專利範圍第18項所述之在凹區中形成半導體材料之方法,其中所述凹區中之所述襯墊層異質磊晶含矽材料施加橫向拉伸應變至所述基板之相鄰區域上。
  28. 如申請專利範圍第27項所述之在凹區中形成半導體材料之方法,其中所述相鄰區域為電晶體通道區域。
  29. 如申請專利範圍第18項所述之在凹區中形成半導體材料之方法,更包括在沈積所述襯墊層之後且在用具有所述雜質之所述較低濃度的所述含矽材料覆蓋所述襯墊層之前使所述基板退火。
  30. 如申請專利範圍第29項所述之在凹區中形成半導體材料之方法,其中退火包括將所述基板加熱至介於650℃與900℃之間的溫度。
  31. 如申請專利範圍第29項所述之在凹區中形成半導體材料之方法,其中在所述退火之後,異質磊晶含矽材料覆蓋所述凹區之實質上全部側壁表面。
  32. 如申請專利範圍第29項所述之在凹區中形成半導體材料之方法,其中所述退火導致所述襯墊層之所述異質磊晶含矽材料的一部分遷移至所述凹區之彎角。
  33. 如申請專利範圍第29項所述之在凹區中形成半導體材料之方法,其中在所述退火之後,所述襯墊層具有小面化側截面形狀。
  34. 如申請專利範圍第33項所述之在凹區中形成半導體材料之方法,其中所述襯墊層與所述填充劑之間的介面相對於凹區之底部較佳在25°-55°之範圍內。
  35. 如申請專利範圍第33項所述之在凹區中形成半導體材料之方法,其中在所述退火之後,所述襯墊層實質上沿凹區之側壁漸縮。
  36. 一種半導體元件,包括:基板中之凹區;異質磊晶含矽襯墊,其覆蓋所述凹區之實質上所有單晶側壁表面,所述襯墊包括更改晶格常數之雜質;填充劑,其形成於所述襯墊上且填充所述凹區,其中所述填充劑包括含矽材料,所述含矽材料具有比其上形成所述填充劑之所述襯墊低的所述雜質之濃度;以及電晶體通道,其相鄰於所述凹區。
  37. 如申請專利範圍第36項所述之半導體元件,其中所述襯墊包括矽鍺。
  38. 如申請專利範圍第37項所述之半導體元件,更包括形成於所述填充劑上之封蓋層,所述封蓋層包括選自由 以下各物組成之群的材料:矽、矽鍺、摻碳矽以及摻碳矽鍺。
  39. 如申請專利範圍第36項所述之半導體元件,其中所述襯墊包括摻碳矽。
  40. 如申請專利範圍第39項所述之半導體元件,更包括形成於所述填充劑上之封蓋層,所述封蓋層包括選自以下各物組成之群的材料:矽、矽鍺、摻碳矽以及摻碳矽鍺。
  41. 如申請專利範圍第36項所述之半導體元件,更包括形成於所述填充劑上之封蓋層,所述封蓋層包括比覆蓋所述凹區之所有側壁表面之所述異質磊晶襯墊低的所述雜質之濃度。
  42. 如申請專利範圍第36項所述之半導體元件,其中所述襯墊與所述填充劑之間的介面漸縮,且所述襯墊在所述凹區之底部表面上為不連續的。
  43. 如申請專利範圍第36項所述之半導體元件,其中所述襯墊漸縮,且所述襯墊包括所述凹區之底部表面上比所述凹區之所述側壁表面上之所述襯墊薄的部分。
  44. 如申請專利範圍第36項所述之半導體元件,其中所述襯墊為拉伸應變的。
  45. 如申請專利範圍第36項所述之半導體元件,其中所述襯墊施加壓縮應變至所述電晶體通道上。
  46. 如申請專利範圍第45項所述之半導體元件,其中所述襯墊為矽鍺。
  47. 一種半導體元件,包括: 凹區,其用異質磊晶應變源材料填充,所述凹區內之所述應變源材料之上部具有第一雜質濃度,所述凹區內之所述應變源材料之下部具有第二雜質濃度,其中所述第一雜質濃度高於所述第二雜質濃度,且所述上部延伸以接觸所述凹區之側壁;以及電晶體通道,其相鄰於所述凹區。
  48. 如申請專利範圍第47項所述之半導體元件,其中所述應變源材料在頂部表面具有比在底部表面高的雜質濃度。
  49. 如申請專利範圍第47項所述之半導體元件,其中所述應變源材料為矽鍺。
  50. 如申請專利範圍第47項所述之半導體元件,其中所述應變源材料為摻碳矽。
  51. 如申請專利範圍第47項所述之半導體元件,其中所述應變源材料包括離散層,其中每一離散層具有高於其下方之層的雜質濃度。
  52. 如申請專利範圍第47項所述之半導體元件,其中所述應變源材料為拉伸應變的。
TW097133445A 2007-09-19 2008-09-01 通道上工程應變之應變源 TWI509699B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/858,054 US7759199B2 (en) 2007-09-19 2007-09-19 Stressor for engineered strain on channel

Publications (2)

Publication Number Publication Date
TW200917377A TW200917377A (en) 2009-04-16
TWI509699B true TWI509699B (zh) 2015-11-21

Family

ID=40454799

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097133445A TWI509699B (zh) 2007-09-19 2008-09-01 通道上工程應變之應變源

Country Status (4)

Country Link
US (1) US7759199B2 (zh)
JP (1) JP5382642B2 (zh)
KR (1) KR101548013B1 (zh)
TW (1) TWI509699B (zh)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
KR101369907B1 (ko) * 2007-10-31 2014-03-04 주성엔지니어링(주) 트랜지스터 및 그 제조 방법
JP5107680B2 (ja) * 2007-11-16 2012-12-26 パナソニック株式会社 半導体装置
US7682845B2 (en) * 2007-12-27 2010-03-23 Globalfoundries Inc. Methods for calibrating a process for growing an epitaxial silicon film and methods for growing an epitaxial silicon film
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US7994015B2 (en) * 2009-04-21 2011-08-09 Applied Materials, Inc. NMOS transistor devices and methods for fabricating same
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8415718B2 (en) * 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
WO2011078240A1 (ja) * 2009-12-22 2011-06-30 キヤノンアネルバ株式会社 ドープエピタキシャル膜の選択成長方法及びドープエピタキシャル膜の選択成長装置
US8278164B2 (en) * 2010-02-04 2012-10-02 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
KR101668097B1 (ko) * 2010-03-12 2016-10-24 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법
KR101674179B1 (ko) * 2010-04-06 2016-11-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US9263339B2 (en) * 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN102437183B (zh) * 2010-09-29 2015-02-25 中国科学院微电子研究所 半导体器件及其制造方法
US8361859B2 (en) 2010-11-09 2013-01-29 International Business Machines Corporation Stressed transistor with improved metastability
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
KR20120073727A (ko) * 2010-12-27 2012-07-05 삼성전자주식회사 스트레인드 반도체 영역을 포함하는 반도체 소자와 그 제조방법, 및 그것을 포함하는 전자 시스템
US8361847B2 (en) 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
US8796788B2 (en) * 2011-01-19 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with strained source/drain structures
CN102709183B (zh) * 2011-03-28 2016-08-03 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
DE102011076696B4 (de) * 2011-05-30 2013-02-07 Globalfoundries Inc. Verfahren zur Leistungssteigerung in Transistoren durch Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials auf der Grundlage einer Saatschicht und entsprechendes Halbleiterbauelement
US8546204B2 (en) * 2011-10-03 2013-10-01 International Business Machines Corporation Method for growing conformal epi layers and structure thereof
US8927374B2 (en) * 2011-10-04 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US8742503B2 (en) 2011-10-31 2014-06-03 International Business Machines Corporation Recessed single crystalline source and drain for semiconductor-on-insulator devices
US9246004B2 (en) * 2011-11-15 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structures of semiconductor devices
CN103165664B (zh) * 2011-12-13 2016-08-24 中芯国际集成电路制造(北京)有限公司 半导体器件和半导体器件制造方法
TWI556439B (zh) * 2011-12-20 2016-11-01 英特爾股份有限公司 用於pmos整合之第iv族電晶體
WO2013095376A1 (en) * 2011-12-20 2013-06-27 Intel Corporation Strained channel region transistors employing source and drain stressors and systems including the same
KR20130074353A (ko) 2011-12-26 2013-07-04 삼성전자주식회사 트랜지스터를 포함하는 반도체 소자
US10163724B2 (en) * 2012-03-01 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device and method of manufacturing same
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US9190471B2 (en) 2012-04-13 2015-11-17 Globalfoundries U.S.2 Llc Semiconductor structure having a source and a drain with reverse facets
CN103377897B (zh) * 2012-04-23 2016-03-02 中芯国际集成电路制造(上海)有限公司 一种硅锗源/漏结构的形成方法
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US9490318B2 (en) * 2012-06-15 2016-11-08 Lawrence Livermore National Security, Llc Three dimensional strained semiconductors
CN103715090B (zh) * 2012-09-29 2018-05-01 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN103779215B (zh) * 2012-10-18 2016-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
US9099421B2 (en) * 2012-10-31 2015-08-04 Taiwan Semiconductor Manufacturing Company Limited Surface profile for semiconductor region
US8900958B2 (en) * 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US8853060B1 (en) * 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US9425257B2 (en) * 2013-11-20 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Non-planar SiGe channel PFET
US9812569B2 (en) * 2014-01-15 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9543387B2 (en) * 2014-03-10 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9590037B2 (en) * 2014-03-19 2017-03-07 International Business Machines Corporation p-FET with strained silicon-germanium channel
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9559165B2 (en) * 2014-09-19 2017-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with strained source and drain structures and method for forming the same
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9634140B2 (en) 2014-11-10 2017-04-25 Samsung Electronics Co., Ltd. Fabricating metal source-drain stressor in a MOS device channel
KR102152285B1 (ko) 2014-12-08 2020-09-04 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 형성 방법
KR102395071B1 (ko) 2015-05-14 2022-05-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
EP3311417A4 (en) * 2015-06-19 2019-01-16 Intel Corporation CARBON-BASED INTERFACE FOR EPITAXIAL GROWTH SOURCE / DRAIN TRANSISTOR REGIONS
WO2017091345A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
US9502420B1 (en) 2015-12-19 2016-11-22 International Business Machines Corporation Structure and method for highly strained germanium channel fins for high mobility pFINFETs
JP6584348B2 (ja) * 2016-03-07 2019-10-02 東京エレクトロン株式会社 凹部の埋め込み方法および処理装置
JP6606476B2 (ja) * 2016-08-02 2019-11-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9960084B1 (en) * 2016-11-01 2018-05-01 United Microelectronics Corp. Method for forming semiconductor device
US10522656B2 (en) * 2018-02-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Forming epitaxial structures in fin field effect transistors
KR20190110845A (ko) * 2018-03-21 2019-10-01 삼성전자주식회사 반도체 소자
US11869972B2 (en) * 2018-11-26 2024-01-09 Etron Technology, Inc. Reduced-form-factor transistor with self-aligned terminals and adjustable on/off-currents and manufacture method thereof
CN111554680B (zh) 2018-12-10 2023-09-05 钰创科技股份有限公司 统一集成电路系统
US11501968B2 (en) * 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210146802A (ko) * 2020-05-26 2021-12-06 에이에스엠 아이피 홀딩 비.브이. 붕소 및 갈륨을 함유한 실리콘 게르마늄 층을 증착하는 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI233187B (en) * 2004-03-30 2005-05-21 Taiwan Semiconductor Mfg MOS device and fabrication method thereof
TW200633021A (en) * 2005-02-04 2006-09-16 Asm Inc Methods of making substitutionally carbon-doped crystalline si-containing materials by chemical vapor deposition
US20070020864A1 (en) * 2005-07-16 2007-01-25 Chartered Semiconductor Mfg Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance

Family Cites Families (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4210925A (en) 1978-02-07 1980-07-01 Harris Corporation I2 L Integrated circuit and process of fabrication
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4578142A (en) 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
JPS61121433A (ja) 1984-11-19 1986-06-09 Sharp Corp 半導体基板
JPS61166071A (ja) 1985-01-17 1986-07-26 Toshiba Corp 半導体装置及びその製造方法
US4698316A (en) 1985-01-23 1987-10-06 Rca Corporation Method of depositing uniformly thick selective epitaxial silicon
US5373806A (en) 1985-05-20 1994-12-20 Applied Materials, Inc. Particulate-free epitaxial process
US4735918A (en) 1985-05-24 1988-04-05 Hughes Aircraft Company Vertical channel field effect transistor
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4778775A (en) 1985-08-26 1988-10-18 Intel Corporation Buried interconnect for silicon on insulator structure
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
DE3684539D1 (de) 1985-09-06 1992-04-30 Philips Nv Herstellungsverfahren einer halbleitervorrichtung.
US4857479A (en) 1985-10-08 1989-08-15 Motorola Method of making poly-sidewall contact transistors
US4891092A (en) 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
US4704186A (en) 1986-02-19 1987-11-03 Rca Corporation Recessed oxide method for making a silicon-on-insulator substrate
FR2595509B1 (fr) 1986-03-07 1988-05-13 Thomson Csf Composant en materiau semiconducteur epitaxie sur un substrat a parametre de maille different et application a divers composants en semiconducteurs
EP0241317B1 (en) 1986-04-11 1993-03-10 Canon Kabushiki Kaisha Process for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
CA1290077C (en) 1986-06-30 1991-10-01 Takao Yonehara Semiconductor device with single crystal layer grown from single nucleus
US4728623A (en) 1986-10-03 1988-03-01 International Business Machines Corporation Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
KR900007686B1 (ko) 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
US4749441A (en) 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5236546A (en) 1987-01-26 1993-08-17 Canon Kabushiki Kaisha Process for producing crystal article
US5269876A (en) 1987-01-26 1993-12-14 Canon Kabushiki Kaisha Process for producing crystal article
US4786615A (en) 1987-08-31 1988-11-22 Motorola Inc. Method for improved surface planarity in selective epitaxial silicon
US4870030A (en) 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US4758531A (en) 1987-10-23 1988-07-19 International Business Machines Corporation Method of making defect free silicon islands using SEG
US4873205A (en) 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
US5319220A (en) 1988-01-20 1994-06-07 Sharp Kabushiki Kaisha Silicon carbide semiconductor device
JPH0228322A (ja) 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
US5164813A (en) 1988-06-24 1992-11-17 Unitrode Corporation New diode structure
US5059544A (en) 1988-07-14 1991-10-22 International Business Machines Corp. Method of forming bipolar transistor having self-aligned emitter-base using selective and non-selective epitaxy
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
US5146304A (en) 1988-12-22 1992-09-08 Honeywell Inc. Self-aligned semiconductor device
US5061644A (en) 1988-12-22 1991-10-29 Honeywell Inc. Method for fabricating self-aligned semiconductor devices
US5004705A (en) 1989-01-06 1991-04-02 Unitrode Corporation Inverted epitaxial process
US5416354A (en) 1989-01-06 1995-05-16 Unitrode Corporation Inverted epitaxial process semiconductor devices
US4897366A (en) 1989-01-18 1990-01-30 Harris Corporation Method of making silicon-on-insulator islands
US5175121A (en) 1989-05-10 1992-12-29 Samsung Electronics Co., Ltd. Method for manufacturing a stacked capacitor DRAM semiconductor device
KR920008886B1 (ko) 1989-05-10 1992-10-10 삼성전자 주식회사 디램셀 및 그 제조방법
US5028973A (en) 1989-06-19 1991-07-02 Harris Corporation Bipolar transistor with high efficient emitter
US4923826A (en) 1989-08-02 1990-05-08 Harris Corporation Method for forming dielectrically isolated transistor
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5211796A (en) 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JP2802449B2 (ja) 1990-02-16 1998-09-24 三菱電機株式会社 半導体装置の製造方法
US4981811A (en) 1990-04-12 1991-01-01 At&T Bell Laboratories Process for fabricating low defect polysilicon
DE4016471A1 (de) 1990-05-22 1991-11-28 Bosch Gmbh Robert Mikromechanischer neigungssensor
JP2590295B2 (ja) 1990-06-06 1997-03-12 株式会社東芝 半導体装置及びその製造方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
KR930007190B1 (ko) 1990-08-21 1993-07-31 삼성전자 주식회사 화합물 반도체 장치
DE4106287A1 (de) 1990-10-25 1992-04-30 Bosch Gmbh Robert Verfahren zum anisotropen aetzen von monokristallinen, scheibenfoermigen traegern
US5403751A (en) 1990-11-29 1995-04-04 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
US5201955A (en) * 1991-03-11 1993-04-13 Chika Hani E Annular gradient optical lens tint system
US5234857A (en) 1991-03-23 1993-08-10 Samsung Electronics, Co., Ltd. Method of making semiconductor device having a capacitor of large capacitance
KR920018987A (ko) 1991-03-23 1992-10-22 김광호 캐패시터의 제조방법
US5252841A (en) 1991-05-09 1993-10-12 Hughes Aircraft Company Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same
US5182619A (en) 1991-09-03 1993-01-26 Motorola, Inc. Semiconductor device having an MOS transistor with overlapped and elevated source and drain
JP2855908B2 (ja) 1991-09-05 1999-02-10 日本電気株式会社 半導体装置及びその製造方法
FR2682128B1 (fr) 1991-10-08 1993-12-03 Thomson Csf Procede de croissance de couches heteroepitaxiales.
JPH05175216A (ja) 1991-12-24 1993-07-13 Rohm Co Ltd ヘテロ接合バイポーラトランジスタおよびその製法
US5201995A (en) 1992-03-16 1993-04-13 Mcnc Alternating cyclic pressure modulation process for selective area deposition
US5425842A (en) 1992-06-09 1995-06-20 U.S. Philips Corporation Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5326992A (en) 1992-07-29 1994-07-05 The United States Of America As Represented By The Secretary Of The Navy Silicon carbide and SiCAlN heterojunction bipolar transistor structures
US6004683A (en) 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
US5285089A (en) 1992-12-02 1994-02-08 Kobe Steel U.S.A., Inc. Diamond and silicon carbide heterojunction bipolar transistor
JP2791260B2 (ja) 1993-03-01 1998-08-27 株式会社東芝 半導体装置の製造方法
JP3299807B2 (ja) 1993-04-07 2002-07-08 シャープ株式会社 ヘテロ接合バイポーラトランジスタ
US5380370A (en) 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
JP3292894B2 (ja) 1993-05-12 2002-06-17 日本電信電話株式会社 集積化受光回路
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5422502A (en) 1993-12-09 1995-06-06 Northern Telecom Limited Lateral bipolar transistor
JPH07169693A (ja) 1993-12-16 1995-07-04 Mitsubishi Electric Corp 横型減圧cvd装置及びそのクリーニング方法
JP2611640B2 (ja) 1993-12-20 1997-05-21 日本電気株式会社 ヘテロ接合バイポーラトランジスタ
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5609721A (en) 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
EP0709880A3 (en) 1994-10-31 1996-10-23 Sgs Thomson Microelectronics Method for forming projecting source / drain regions in an integrated circuit
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5496745A (en) 1994-12-19 1996-03-05 Electronics And Telecommunications Research Institute Method for making bipolar transistor having an enhanced trench isolation
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
JPH08236540A (ja) 1995-03-01 1996-09-13 Mitsubishi Electric Corp 半導体装置の製造方法、及び半導体装置
JP2914213B2 (ja) 1995-03-28 1999-06-28 日本電気株式会社 半導体装置及びその製造方法
JPH08306700A (ja) 1995-04-27 1996-11-22 Nec Corp 半導体装置及びその製造方法
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5665614A (en) 1995-06-06 1997-09-09 Hughes Electronics Method for making fully self-aligned submicron heterojunction bipolar transistor
US6060397A (en) 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
DE19533313A1 (de) 1995-09-08 1997-03-13 Max Planck Gesellschaft Halbleiterstruktur für einen Transistor
US5637518A (en) 1995-10-16 1997-06-10 Micron Technology, Inc. Method of making a field effect transistor having an elevated source and an elevated drain
US5693147A (en) 1995-11-03 1997-12-02 Motorola, Inc. Method for cleaning a process chamber
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JP3400293B2 (ja) 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US6058945A (en) 1996-05-28 2000-05-09 Canon Kabushiki Kaisha Cleaning methods of porous surface and semiconductor surface
JP2839018B2 (ja) 1996-07-31 1998-12-16 日本電気株式会社 半導体装置の製造方法
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6043519A (en) 1996-09-12 2000-03-28 Hughes Electronics Corporation Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication
KR100236069B1 (ko) 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
JP2950272B2 (ja) 1997-01-24 1999-09-20 日本電気株式会社 半導体薄膜の製造方法
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6348096B1 (en) 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
EP0874405A3 (en) 1997-03-25 2004-09-15 Mitsubishi Cable Industries, Ltd. GaN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
WO1998047170A1 (en) 1997-04-11 1998-10-22 Nichia Chemical Industries, Ltd. Method of growing nitride semiconductors, nitride semiconductor substrate and nitride semiconductor device
US5859447A (en) 1997-05-09 1999-01-12 Yang; Edward S. Heterojunction bipolar transistor having heterostructure ballasting emitter
US6351039B1 (en) 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
FR2764118B1 (fr) 1997-05-30 2000-08-04 Thomson Csf Transistor bipolaire stabilise avec elements isolants electriques
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
JP2967477B2 (ja) 1997-11-26 1999-10-25 日本電気株式会社 半導体装置の製造方法
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6221168B1 (en) 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
US6048790A (en) 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
KR100352368B1 (ko) 1998-09-04 2002-09-11 캐논 가부시끼가이샤 반도체기판 및 이것의 제조방법
JP3478141B2 (ja) 1998-09-14 2003-12-15 信越半導体株式会社 シリコンウエーハの熱処理方法及びシリコンウエーハ
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100680122B1 (ko) 1998-10-29 2007-02-07 신에쯔 한도타이 가부시키가이샤 반도체 웨이퍼 및 그 제조 방법
JP2000150647A (ja) 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
JP2002535122A (ja) 1999-01-20 2002-10-22 マイクロリス・コーポレーション 流れコントローラ
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6037258A (en) 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
DE60035948T2 (de) 1999-06-19 2008-05-15 Asm Genitech Korea Ltd. Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films
KR100301246B1 (ko) 1999-06-30 2001-11-01 박종섭 반도체 소자의 제조 방법
US6190453B1 (en) 1999-07-14 2001-02-20 Seh America, Inc. Growth of epitaxial semiconductor material with improved crystallographic properties
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
WO2001045149A1 (en) 1999-12-15 2001-06-21 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
JP2001274387A (ja) 2000-03-28 2001-10-05 Seiko Epson Corp 半導体装置およびその製造方法
US6316795B1 (en) 2000-04-03 2001-11-13 Hrl Laboratories, Llc Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (ko) 2000-04-12 2002-04-12 최승철 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
JP2004519090A (ja) 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
JP2002198525A (ja) * 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
JP3557457B2 (ja) 2001-02-01 2004-08-25 東北大学長 SiC膜の製造方法、及びSiC多層膜構造の製造方法
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
AU2002305733A1 (en) 2001-05-30 2002-12-09 Asm America, Inc Low temperature load and bake
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US6974730B2 (en) * 2003-12-17 2005-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a recessed channel field effect transistor (FET) device
WO2005071725A1 (en) 2004-01-23 2005-08-04 Koninklijke Philips Electronics, N.V. Method of fabricating a mono-crystalline emitter
JP2007535147A (ja) 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド インサイチュドープトエピタキシャルフィルム
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7226820B2 (en) * 2005-04-07 2007-06-05 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP5070779B2 (ja) * 2006-09-21 2012-11-14 ソニー株式会社 半導体装置の製造方法および半導体装置
US7534689B2 (en) * 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI233187B (en) * 2004-03-30 2005-05-21 Taiwan Semiconductor Mfg MOS device and fabrication method thereof
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
TW200633021A (en) * 2005-02-04 2006-09-16 Asm Inc Methods of making substitutionally carbon-doped crystalline si-containing materials by chemical vapor deposition
US20070020864A1 (en) * 2005-07-16 2007-01-25 Chartered Semiconductor Mfg Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor

Also Published As

Publication number Publication date
KR101548013B1 (ko) 2015-08-27
US7759199B2 (en) 2010-07-20
US20090075029A1 (en) 2009-03-19
JP5382642B2 (ja) 2014-01-08
KR20090030226A (ko) 2009-03-24
JP2009076907A (ja) 2009-04-09
TW200917377A (en) 2009-04-16

Similar Documents

Publication Publication Date Title
TWI509699B (zh) 通道上工程應變之應變源
TWI404123B (zh) 半導體膜之選擇性磊晶成長
TWI520227B (zh) 高產率循環磊晶沈積與蝕刻方法
US10115826B2 (en) Semiconductor structure and the manufacturing method thereof
US7122449B2 (en) Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
JP5175367B2 (ja) Cmos用歪トランジスタの集積化
TWI400744B (zh) 含矽與碳磊晶層之形成
TWI383435B (zh) 含矽磊晶層之形成
TWI540728B (zh) 積體電路結構及其形成方法
US9287399B2 (en) Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
US20040262683A1 (en) PMOS transistor strain optimization with raised junction regions
US20130320429A1 (en) Processes and structures for dopant profile control in epitaxial trench fill
TWI545769B (zh) 半導體裝置結構與其形成方法
TWI414006B (zh) 含有矽及碳之磷摻雜磊晶層的原位形成方法
JP2006253605A (ja) 半導体基板及び半導体装置並びにそれらの製造方法