TWI520227B - 高產率循環磊晶沈積與蝕刻方法 - Google Patents

高產率循環磊晶沈積與蝕刻方法 Download PDF

Info

Publication number
TWI520227B
TWI520227B TW101117601A TW101117601A TWI520227B TW I520227 B TWI520227 B TW I520227B TW 101117601 A TW101117601 A TW 101117601A TW 101117601 A TW101117601 A TW 101117601A TW I520227 B TWI520227 B TW I520227B
Authority
TW
Taiwan
Prior art keywords
containing material
carrier gas
deposition
inert carrier
ruthenium
Prior art date
Application number
TW101117601A
Other languages
English (en)
Other versions
TW201250860A (en
Inventor
馬提亞斯 包爾
Original Assignee
Asm美國股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm美國股份有限公司 filed Critical Asm美國股份有限公司
Publication of TW201250860A publication Critical patent/TW201250860A/zh
Application granted granted Critical
Publication of TWI520227B publication Critical patent/TWI520227B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

高產率循環磊晶沈積與蝕刻方法 【相關申請案的交互參照】
本申請案是有關於於2006年9月28日提申之美國申請案第11/536,463號,且其公開為第2007/0287272號。
本申請案是有關於一種含矽材料之磊晶沈積的方法,且特別是,本申請案是有關於循環磊晶沈積與蝕刻的方法。
半導體處理通常用於積體電路之製造(其需要特別嚴格的品質需求)以及多種其他領域中。在形成積體電路時,磊晶層往往需要在選定的位置中,如場隔離區域(field isolation region)中的主動區台(active area mesa),或甚至更具體言之在經界定之源極以及汲極區上。雖然非磊晶材料(其可為非晶形或多晶的)可在毯覆式沈積(blanket deposition)之後自場隔離區域上選擇性移除,但通常認為較有效的是同時提供化學氣相沈積(CVD)以及蝕刻化學劑,且調諧條件以在絕緣區域上產生零淨沈積(zero net deposition)且在暴露之半導體窗上產生淨磊晶沈積(net epitaxial deposition)。此過程,稱為“選擇”磊晶沈積,利用典型半導體沈積過程在絕緣體(諸如氧化矽或氮化矽)上之緩慢晶核形成。此選擇磊晶沈積亦利用非晶以及多晶材料對蝕刻劑之本質上較大的感受性(susceptibility)(與磊晶層對相同蝕刻劑之感受性相比)。
磊晶層之選擇形成通常涉及與載體氣體一起的含矽前 驅物以及蝕刻劑之使用。氫氣(H2)為最普遍的載體氣體之一。氫氣為有用的載體氣體,因為它能具備高純度以及與矽沈積相容。此外,它通常能作為還原劑以形成H2O,以及從基板或腔室中移除任何氧污染物。據此,就自身或與其他載體氣體結合而言,氫氣仍然是最廣泛使用的載體氣體之一。
所沈積半導體材料的理想屬性之一為材料中的應變(strain)。半導體材料(諸如矽、鍺以及矽鍺合金)之電學性質藉由材料經受應變之程度被影響。舉例而言,矽在拉伸應變下展現增強之電子遷移率(electron mobility),以及矽鍺在壓縮應變下展現增強之電洞遷移率(hole mobility)。在多種半導體處理應用中,增強半導體材料之效能之方法具有顯著的重要性且具有潛在的應用。
用於在含矽以及含鍺之材料中誘發應變之多種方法已聚焦於利用各種結晶材料(crystalline material)之間的晶格常數(lattice constant)的差異。舉例而言,結晶鍺之晶格常數為5.65Å,結晶矽之晶格常數為5.431Å,且金剛石碳之晶格常數為3.567Å。異質磊晶涉及將特定結晶材料之薄層沈積於一不同之結晶材料上,使得所沈積層採用下方晶體材料之晶格常數。舉例而言,藉由使用此方法,應變矽鍺層可藉由異質磊晶沈積於單晶矽基板上而形成。因為鍺原子稍大於矽原子,且所沈積異質磊晶矽鍺約束於其下方之矽的較小晶格常數,所以矽鍺經受壓縮應變,且經受壓縮應變之程度隨鍺含量而變。通常,矽鍺層之帶隙(band gap)隨異質磊晶的矽鍺中之鍺含量增加而自純矽的1.12eV單調地降低至純鍺的0.67eV。在另一方法中,拉伸應變可藉由將矽層異質磊晶沈積於鬆弛的矽鍺層上而形成於薄的單晶矽層中。在此實例中,異質磊晶沈積之矽經受應變,因為其晶格常數約束於其下方鬆弛的矽鍺之較大晶格常數。拉伸應變異質磊晶之矽通常展現增加之電子遷移率。在此方法中,應變一般在製造元件(例如電晶體)前在基板位準(substrate level)處展開。
在這些實例中,藉由將晶格結構中之矽原子用其他原子替換而將應變引入於單晶含矽材料中。此技術通常稱作取代摻雜。舉例而言,在單晶矽之晶格結構中用鍺原子取代矽原子中之一些在所得取代摻雜之單晶矽材料中產生壓縮應變,這是因為鍺原子大於其所替換之矽原子。可能藉由用碳進行取代摻雜而將拉伸應變引入於單晶矽中,這是因為碳原子小於其所替換之矽原子。不同於取代雜質,非取代雜質將不誘發應變。
根據本發明之態樣,提供選擇性沈積之方法。
在一實施例中,提供一種在單晶半導體表面上選擇性形成含矽材料之方法。提供包括絕緣表面以及單晶半導體表面的基板。含矽材料可藉由流動含矽源蒸氣以及惰性載體氣體且不流動H2而沈積在絕緣表面以及單晶半導體表面上。含矽材料可藉由流動蝕刻劑而自絕緣表面上選擇性移除,且同時保持惰性載體氣體的持續流動而不流動H2。 含矽材料之沈積以及選擇移除形成一循環,其可重複兩或多次,直至達成含矽材料的所要厚度。
在一實施例中,提供一種在凹區(recess)中選擇性形成含矽材料之方法。提供包括凹區以及相鄰絕緣表面的基板至化學氣相沈積腔室中。藉由以恆定流動速率流動三矽烷(trisilane)以及惰性載體氣體,磊晶材料沈積於凹區上,以及非磊晶材料沈積於相鄰絕緣表面上。非磊晶材料之部分藉由流動蝕刻劑且同時保持惰性載體氣體的持續流動而自絕緣表面上選擇性移除。沈積以及選擇性移除的製程形成循環,其可重複直至達成沈積於凹區中之含矽材料的所要厚度。
在一實施例中,提供一種在凹區中選擇性形成摻碳含矽材料之方法。提供包括相鄰於絕緣體的凹區的基板至化學氣相沈積腔室中。引入含矽源蒸氣、含碳源蒸氣以及惰性載體氣體,以在凹區及絕緣體上沈積摻碳含矽材料,其中以第一流動速率引入惰性載體氣體。當降低惰性載體氣體之流動速率時,可中斷含矽源蒸氣以及含碳源蒸氣之流動。當惰性載體氣體保持在降低的流動速率時,可提供蝕刻劑以自絕緣體蝕刻材料。沈積以及蝕刻的製程形成循環,其可重複直至達成在凹區中之材料的所要厚度。
在一實施例中,提供一種具有凹區以及相鄰絕緣表面的半導體基板至化學氣相沈積腔室中。可流動含矽源蒸氣以及惰性載體氣體至腔室中,以在凹區以及相鄰絕緣表面上沈積含矽材料。藉由流動惰性載體氣體與HCl以及GeH4 且不需流動H2,含矽材料之部分可自絕緣表面上選擇性移除。沈積以及選擇性移除的製程形成循環,其可重複直至含矽材料的所要厚度沈積於所述凹區中。
本文提供的是循環使用沈積以及回蝕刻製程之改良選擇性製程的方法。在一些實施例中,在沈積期間,使用矽源前驅物以在半導體結構上沈積材料。此沈積後接續有用於移除半導體結構之區域的蝕刻製程。在沈積製程、蝕刻製程或兩者期間使用惰性載體氣體。
除使用惰性載體氣體之外,亦可在一些實施例中使用氫氣(H2)作為載體氣體。然而,發現當使用氫氣提供許多好處時氫氣亦呈現出一些困難處。舉例而言,在低溫下之沈積期間,由於末端氫化(hydrogen termination),氫可抑制有效的分解以及前驅物之表面吸附,導致降低沈積速率,並最終降低產率。另外,為達到均勻的薄膜沈積以及適當的蝕刻速率,申請人已發現氫載體氣體流量應在特定的蝕刻製程期間減少,特別是使用HCl的蝕刻製程。在一些實施例中,氫載體氣體流量應該是從蝕刻製程中完全地不存在,而事實上,是從使用沈積以及蝕刻的循環之全部選擇性形成過程中完全不存在,特別是在蝕刻採用Cl2時。
在一些實施例中,沈積製程為毯覆式(blanket),而在其他實施例中,沈積製程為選擇性的。在選擇性沈積中,矽源前驅物與蝕刻劑一併使用,以在半導體結構上沈積材料。在一些實施例中,可在沈積製程期間提供少量之蝕刻 化學物,因此雖然是毯覆式,使得沈積可被認為是部分選擇,因為每一沈積可仍在隔離區域上具有一些淨沈積。因此,具有矽源前驅物之蝕刻劑的添加導致沈積完全地選擇或部分選擇。此選擇沈積後接續有用於自半導體結構之區域移除沈積材料的蝕刻製程。在選擇性沈積製程、蝕刻製程或兩者期間使用惰性載體氣體。在一些實施例中,氫氣從循環的至少某些時期缺席(例如,在選擇性沈積以及蝕刻階段期間)。在較佳實施例中,氫氣從使用選擇性沈積以及蝕刻的循環之全部選擇製程中完全地缺席。
所述選擇性磊晶形成之方法可用於沈積各種經取代性摻雜的含矽材料。根據本申請案之實施例,可沈積以取代性碳摻雜的含矽材料。在一些實施例中,藉由執行交替的毯覆式沈積階段(在相對高的速率下使用三矽烷(Si3H8)或另一矽源以及作為碳源的含碳氣體或蒸氣)與蝕刻階段(選擇性移除非磊晶或高度缺陷的磊晶半導體沈積物),摻碳含矽材料將會沈積。在其他實施例中,沈積階段可為選擇性或部分選擇性。沈積狀態的(as-deposited)取代性碳摻雜之程度可介於約70%至90%或更高,其表達為依據在矽內的碳摻雜劑(取代性以及非取代性)總量的取代性碳摻雜劑之重量百分比。當所述實施例可包含碳摻雜的矽之特定範例時,熟習此技藝者應理解,本文中描述之方法具有其膜層之選擇性形成為所需的多種半導體應用之應用。
術語“含矽材料”以及類似術語在本文中用以指代多種含矽材料,包括但不限於:矽(包括結晶矽)、摻碳矽 (Si:C)、矽鍺、摻碳矽鍺(SiGe:C)以及矽錫(SiSn)或矽鍺錫(SiGeSn)。如本文所使用,“摻碳矽”、“Si:C”、“矽鍺”、“摻碳矽鍺”、“SiGe:C”以及類似術語指代包括以各種比例之所指示化學元素以及視情況少量其他元素的材料。舉例而言,“矽鍺”為包括矽、鍺以及視情況其他元素(例如,諸如碳以及電活性摻雜劑之摻雜劑)的材料。諸如“Si:C”以及“SiGe:C”之簡寫術語本身並非化學計量之化學式,且因此並不限於包括特定比率之所指示元素之材料。此外,諸如Si:C以及SiGe:C之術語並不意欲排除其他摻雜劑(例如,P、As或B)之存在,以及摻碳矽材料包括在術語Si:C以及術語Si:C:P中。除非另有所述,否則在含矽薄膜中的摻雜劑(諸如碳、鍺或其他電活性摻雜劑之摻雜劑)之百分比在本文中用依據整個薄膜主要部分之原子百分比表示。
可能藉由x射線繞射來量測經摻雜的含矽材料之垂直晶格間距且接著藉由在單晶矽與單晶碳(金剛石)之間執行線性內插來應用魏加式定律(Vegard’s Law)或藉由應用Kelires/Berti處理,來確定取代性摻雜於含矽材料中的碳之量。舉例而言,可能藉由x射線繞射來量測經摻雜的矽之垂直晶格間距且接著藉由應用魏加式定律,來確定取代性摻雜的碳之量。可能藉由二次離子質譜法(secondary ion mass spectrometry,SIMS)來確定在經摻雜的矽中的總碳含量。或者,Kelires/Berti關係之應用傾向於計算較低含量(例如,藉由魏加式定律量測的3.8%C大約相當於使用 Kelires/Berti關係所量測的2.6%C)。可能藉由自總碳含量減去取代性碳含量,來確定非取代性碳含量。可能以類似方式來確定取代性摻雜於其他含矽材料中之其他元素的量。在一些實施例中,晶相可藉由x射線繞射以及藉由拉塞福背向散射光譜術(Rutherford backscattering apectroscopy,RBS)的化學計量來確定。在較佳實施例中,使用拉曼(Raman)以及傅里葉變換紅外光(FT-IR)光譜術以確定取代性摻雜於含矽材料中的碳之量。
“基板”(在此術語用於本文中時)指代其上需要沈積之工件或暴露至一或多種沈積氣體之表面。舉例而言,在某些實施例中,基板為單晶矽晶圓、半導體覆絕緣層(semiconductor-on-insulator,SOI)基板或磊晶矽表面、矽鍺表面或沈積於晶圓上之III-V族材料。工件並不限於晶圓,但亦包括玻璃、塑膠或半導體處理中所使用之其他基板。“混合基板”為具有兩個或兩個以上的不同類型之表面之基板。舉例而言,在某些應用中,混合基板包括具有第一表面形態之第一表面以及具有第二表面形態之第二表面。在某些實施例中,將摻碳含矽層選擇性地形成於單晶半導體材料上,同時最小化(且更佳地避免)在鄰近介電質或絕緣體上之沈積。介電材料之範例包括二氧化矽(其包括低介電常數形式,諸如,碳摻雜矽氧化物或氟摻雜矽氧化物)、氮化矽、金屬氧化物以及金屬矽酸鹽。術語“磊晶的”、“磊晶地”、“異質磊晶的”、“異質磊晶地”以及類似術語在本文中用以指按以下方式將含結晶矽材料 沈積至結晶基板上:所沈積層採用或遵循基板之晶格常數,至少直至達到異質磊晶層之臨界厚度。當所沈積層之組成物與基板之組成物不同時,通常將磊晶沈積視為異質磊晶。
即使表面由相同元素製成,若表面之形態(結晶性)不同,則表面亦可視為不同。本文中描述之製程可用於將含矽薄膜沈積於各種各樣的基板上,但尤其可用於具有混合表面形態之混合基板上。此混合基板包括具有第一表面形態之第一表面以及具有第二表面形態之第二表面。在本文中,“表面形態”是指基板表面之結晶結構。非晶與結晶為不同形態之範例。多晶形態是由有序晶體之無序排列組成之結晶結構,且因此具有中等有序度(degree of order)。多晶材料中之原子在每一晶體中是有序的,但晶體自身關於彼此缺少長程順序(long-range order)。單晶形態是具有高度的長程順序之結晶結構。磊晶薄膜的特徵在於與基板(薄膜生長於其上,通常為單晶的)相同的晶體結構以及定向。這些材料中之原子排列於持續相對長的距離(按原子標度)之晶格狀結構中。非晶形態是具有低有序度之非結晶結構,因為原子沒有明確的週期性排列。其他形態包括微結晶以及非晶材料與結晶材料之混合物。“非磊晶”因此包括非晶、多晶、微晶以及其混合物。如本文中所使用,“單晶”或“磊晶”是用以描述通常用於電晶體製造之非常大的晶體結構,其具有可容許數目個缺陷。膜層之結晶性通常沿著自非晶變成多晶至單晶的連續 區(continuum);晶體結構往往被視為單晶或磊晶,而不管低密度缺陷。混合基板之具體範例包括(但不限於)單晶/多晶、單晶/非晶、磊晶/多晶、磊晶/非晶、單晶/介電質、磊晶/介電質、導體/介電質以及半導體/介電質。術語“混合基板”包括具有兩個以上的不同類型之表面的基板。本文中描述之用於將含矽薄膜沈積至具有兩個類型之表面之混合基板上的方法亦可適用於具有三個或三個以上的不同類型之表面的混合基板。
以下將描述使用循環式沈積以及回蝕刻的選擇性磊晶形成之改良方法。這些沈積以及蝕刻製程形成一循環,其可重複以在半導體基板之多個部分上達成所沈積材料之所要厚度。惰性載體氣體諸如氮(N2)或氦(He)可在沈積製程、蝕刻製程或兩者期間流動。在其他實施例中,惰性載體氣體將持續地在沈積以及蝕刻製程之間流動。在惰性載體氣體持續地在沈積以及蝕刻製程之間流動的實施例中,此惰性載體氣體流動速率可為恆定(例如,在沈積以及蝕刻製程期間為10slm),而在沈積以及蝕刻製程之間(特別是涉及HCl)可傾斜降低(ramp down)或在沈積以及蝕刻製程之間(特別是涉及Cl2)可傾斜增加(ramped up)。舉例而言,在涉及HCl蝕刻劑的一些實施例中,持續的惰性載體氣體流動可具有在沈積以及蝕刻製程之間傾斜降低的速率(例如,在沈積期間為10slm傾斜降低至1slm),使得惰性載體氣體流動速率將變化。在一些實施例中,惰性載體氣體之流動速率可從在沈積期間的5-20slm 之第一流動速率變化至在蝕刻期間的1-3slm之第二流動速率。在其他實施例中,惰性載體氣體之流動速率可從沈積至蝕刻在20%與90%之間變化。不管這種惰性氣體變動之花費(例如,從壓力變動的粒子、用於穩定速率的時間、套裝閥等),在蝕刻製程期間降低惰性載體氣體流動速率可因它對在蝕刻階段期間達成高蝕刻速率而無需在沈積期間稀釋前驅物的強烈影響將是值得的。亦咸信,在沈積以及蝕刻製程之間變化惰性載體氣體流動速率將提供其他益處,諸如降低在某些情況下過度蝕刻之可能性,其並不受理論約束。舉例而言,如上所述,雖然在使用HCl的蝕刻製程期間適於傾斜降低惰性氣體流動速率,但較佳的是在使用Cl2的蝕刻製程期間傾斜增加惰性氣體流動速率而不是降低以提供較短的Cl2滯留時間,其比HCl更具反應性以及更容易導致不需要的過度蝕刻。
圖1為說明根據本申請案之一實施例之選擇性磊晶形成製程的流程圖。此流程圖說明以下步驟。提供基板至製程腔室中(區塊10);藉由流動含矽源蒸氣以及惰性載體氣體在基板上沈積含矽材料(區塊20);藉由流動蝕刻劑且同時保持惰性載體氣體的流動,自基板之多個部分選擇性移除含矽材料(區塊30);以及重複沈積(區塊20)以及選擇性移除階段(區塊30),直至在基板上達成含矽材料之目標厚度(區塊40)。
根據操作區塊10,提供基板至製程腔室中。基板可具有單一類型的表面,或可有具有兩種或多種類型的表面之 “混合”表面(例如,絕緣表面以及單晶半導體表面)。在一些實施例中,所提供的基板包括一或多個凹區。這些凹區可形成相鄰於通道區域的電晶體元件主動源極以及汲極區域,以及可受益於在其中的應變材料之沈積。
根據操作區塊20,含矽材料藉由流動含矽源蒸氣以及惰性載體氣體沈積於基板上。含矽源蒸氣可包括矽烷(SiH4)、二氯矽烷或DCS(SiCl2H2)以及其他矽前驅物中的一種或多種。在較佳實施例中,含矽源包括三矽烷(Si3H8)。引入含矽源蒸氣至腔室中一段時間,以在整個基板表面上產生含矽材料之沈積。在沈積製程期間,含矽源蒸氣將會流動以及沈積含矽材料。所沈積的材料之厚度可取決於許多因素,其包括下層基板之組成物、所使用源蒸氣之類型、腔室條件以及沈積之持續時間。在藉由引入含矽源蒸氣以及惰性載體氣體之圖式標示20所呈現的期間在本文中被稱作“沈積階段”。
根據所說明之實施例,含矽源蒸氣與惰性載體氣體被引入。雖然氫在選擇沈積製程中由於與矽製程的相容性通常用作惰性載體氣體,如Bauer等人於2006年9月28日提出申請之美國申請案第11/536,463號所示,已發現在沈積製程期間移除作為載體氣體的氫將有益處。在較佳實施例中,在沈積期間惰性載體氣體流動而不需要氫。如本文中所述,術語“不需要氫”可指代無氫或作用上微不足量之氫,其不影響整體製程。惰性載體氣體可選自包括氪(Kr)、氙(Xe)或氬(Ar)的其中之一氣體,以及較佳 惰性載體氣體為氦(He)或氮(N2)。在這些之間,由於相對成本,氮比氦更為理想。雖然在一些實施例中在沈積期間惰性載體氣體具有變化的流動速率,在其他實施例中,惰性載體氣體將保持恆定。申請人已發現,使用不需氫的含矽源可提供比習知製程更快的沈積(例如,大於100nm/min)以及均勻的薄膜沈積,反之,具有氫將對於沈積以及蝕刻階段具有取捨。在一些實施例中,含矽材料之沈積的速率可介於每循環1nm與每循環3nm之間,且厚度非均勻度可小於或等於1%-2%。隨著缺陷之含量夠低以准許磊晶層之商業用途,所沈積的含矽材料可用於許多不同的元件。
除了含矽材料以及惰性載體氣體之外,在沈積製程期間亦可提供其他前驅物。在一些實施例中,包括矽烷基烷(silylalkane)(諸如單矽烷基甲烷(monosilylmethane)、雙矽烷基甲烷(disilylmethane)、三矽烷基甲烷(trisilylmethane)、四矽烷基甲烷(tetrasilylmethane))和/或烷基矽烷(alkylsilane)(諸如單甲基矽烷(monomethyl silane,MMS)以及二甲基硅烷(dimethyl silane))之碳源氣體可與含蒸氣的矽源以及惰性載體氣體被引入,導致摻碳含矽材料之沈積。藉由在不同條件下應用各種前驅物,而所沈積的含矽材料可擁有不同特性。在一些實施例中,所沈積的含矽材料可為應變異質磊晶薄膜,其具有不同於下層的晶格結構。
在一些實施例中,在沈積階段期間原位(in-situ)引 入摻雜劑源,以藉由化學氣相沈積將電活性摻雜劑併入至含矽薄膜內。典型n型摻雜劑源包括砷蒸氣以及摻雜劑氫化物,諸如磷化氫(PH3)以及砷化氫(ArH3)。磷化氫甲矽烷(Silylphosphine)(例如(H3Si)3-xPRx)以及砷化氫甲矽烷(silylarsine)(例如(H3Si)3-xAsRx)(其中x=0、1或2,且Rx=H及/或氘(D))為磷以及砷摻雜劑之替代前驅物。磷以及砷尤其有用於摻雜NMOS元件之源極以及汲極區。此摻雜劑前驅物有用於如下所述薄膜之製備,較佳為摻磷、摻銻、摻銦以及摻砷的矽、Si:C以及SiGe:C薄膜與合金。合適的p型摻雜劑前驅物包括用於硼摻雜之二硼烷(B2H6)以及三氯化硼(BCl3)。用於Si之其他p型摻雜劑包括Al、Ga、In以及蒙德列夫(Mendeleiev)元素週期表中Si之左側的任何金屬。此摻雜劑前驅物有用於如下所述薄膜之製備,較佳為摻硼的矽、SiSn、SiGe以及SiGe:C薄膜與合金。
根據操作區塊30,在含矽材料沈積於基板上之後,可藉由流動蝕刻劑且同時持續無氫氣(H2)之惰性載體氣體流動,自基板之多個部分來選擇性移除含矽材料。舉例而言,在具有絕緣體以及半導體表面之混合基板的實施例中,沈積後可自絕緣表面上選擇性移除含矽材料。蝕刻劑可包括鹵化物,諸如含氟、含溴或含氯氣相化合物。舉例而言,蝕刻劑可包括HCl、HCl與GeH4、HBr或Cl2。在一些實施例中,沈積製程後,蝕刻劑將流動以及選擇性移除部分所沈積的含矽材料。而在一些實施例中,用在選擇 性移除製程期間的惰性載體氣體可不同於用在沈積製程期間的惰性載體氣體。在較佳實施例中,將會在沈積以及選擇性蝕刻製程兩者期間,使用相同的惰性載體氣體。在沈積以及蝕刻製程期間,惰性氣體的流動可持續地保持。在一些實施例中,惰性載體氣體可在沈積以及選擇性蝕刻製程兩者期間具有相同的恆定流動速率,以提高產率以及將介於二階段間的穩定時間最小化。與沈積階段相比,引入蝕刻劑且不含矽源蒸氣來移除部分沈積材料的時間在本文中被稱作“蝕刻階段”。
在一些實施例中,藉由使用蝕刻劑(例如,HCl或HBr)與表面催化劑(例如,鍺源(諸如單鍺烷(GeH4)、二鍺烷(Ge2H6)、GeCl4、有機金屬Ge前驅物或固態源Ge),將所沈積的含矽材料移除。在一些實施例中,一併使用蝕刻劑與鍺源幫助增加在部分所沈積的含矽材料中的蝕刻速率。在較佳實施例中,使用大量之HCl以及GeH4將發生選擇性蝕刻。在選擇性蝕刻製程期間含有氫為可選擇的。在一些實施例中,對於非磊晶材料之蝕刻速率可介於10nm/min與200nm/min之間。因此,在一些實施例中,只要主載體氣體為惰性,微量之氫可以存在。而在其他實施例中,氫是不存在蝕刻製程中(諸如涉及Cl2之蝕刻製程)。在較佳實施例中,選擇性蝕刻化學物包括HCl、GeH4以及惰性載體氣體,且無需使用氫載體氣體。
在磊晶材料之選擇性形成是藉由一次或多次選擇性沈積後接續回蝕刻製程之循環之實施例中,可在沈積階段 以及蝕刻階段兩者期間引入一種或多種蝕刻劑。在一些實施例中,在沈積以及蝕刻階段之間,蝕刻劑具有恆定的流動速率。在其他實施例中,在沈積至蝕刻階段,蝕刻劑具有變動的流動速率。
根據操作區塊40,自基板選擇性移除含矽材料之後,沈積製程(區塊20)以及選擇性移除製程(區塊30)可形成一循環,其可重複直至達成含矽材料之目標厚度。可循環沈積以及選擇性移除兩次或多次,直至在所選的表面上達成含矽材料之所要厚度。達成所沈積的含矽材料之所要厚度後,選擇性形成過程可終止。如在執行第一循環後未達成所沈積的含矽材料之所要厚度,可重複一次或多次額外的沈積製程(區塊20)以及選擇性移除製程(區塊30)之循環,直至達成所要厚度。在一些實施例中,可重複此循環介於二與六次之間。對於每次循環,可變化製程參數,以達成熟習此技藝者所要的確切結果。
圖2A至圖2F說明根據一實施例使用毯覆式沈積以及蝕刻循環之升高之源極以及汲極區域之形成。圖2A為包括混合半導體基板100之部分形成的半導體結構150的示意性說明,混合半導體基板100具有形成於其中的圖案化的絕緣體110。絕緣體110(以氧化物填充淺溝槽隔離(shallow trench isolation,STI)之形式)界定場隔離區域112,且相鄰於展示於閘極結構115之每一側上之凹入的源極/汲極區域114。閘極結構115覆蓋基板之通道區域117。通道區域117、源極/汲極區域114共同界定電晶體主動 區,其通常由場隔離區域112圍繞,以防止與其他元件的干擾(cross-talk)。在其他配置中,多個電晶體可由場隔離圍繞。在一種情況下,閘極結構115之頂部可由所繪示之介電質覆蓋。此表面接著相對於其上之沈積而起類似於絕緣體110的作用,雖然晶核形成以及沈積的速率在不同低介電常數的介電材料上將略有不同,以及在場隔離區域112中保持選擇性(selectivity)的條件將亦適用於閘極結構115之頂部。在閘極結構115未覆蓋有介電質之情況下,閘極之表面將具有成長多晶材料之可能性,其接著可經由多晶材料之原位蝕刻而移除,但可應用不同之選擇性條件集合(如壓力、氣流等)(相較用於確保無殘餘多晶材料在場絕緣體110上的條件)。
可提供包括混合半導體基板100之半導體結構150至化學氣相沈積腔室中,以進行製程。合適的腔室可為市購的,以及較佳的型號包括購自ASM America,Inc.(Phoenix,Arizona)之EPSILON®系列的反應器。
如圖2B所示,在提供基板至化學氣相沈積腔室中之後,藉由流動含矽源蒸氣以及惰性載體氣體來沈積含矽材料之毯覆式層。流動源蒸氣以及載體氣體導致在場隔離區域112中的絕緣體110上之非晶的或多晶的沈積(非磊晶層120),以及在凹入的源極/汲極區域114上之下部磊晶層125與側壁磊晶層130。在一些實施例中,下部磊晶層125以及側壁磊晶層130可為異質磊晶的,據此結晶材料之沈積層採納下方單晶材料之晶格常數。請注意,“毯覆式沈 積”意謂淨沈積在每一沈積階段中產生於非晶形絕緣體110以及源極/汲極區域114兩者上。雖然缺少蝕刻劑(例如,鹵化物)在毯覆式沈積(在此情況下沈積亦被認為是“非選擇性的”)中為較佳的,但可能需要某些量之蝕刻劑以調諧各種區域上之所沈積厚度的比率,如下文中較詳細論述。在需要此類少量蝕刻劑之情況下,因為每一沈積階段將在絕緣體110以及源極/汲極區域114上具有淨沈積,雖然是毯覆式,但沈積製程可能為部分選擇的。
含矽源蒸氣以及惰性載體氣體可在約500℃與600℃之間的溫度下引入至腔室中,且較佳在約525℃與575℃之間。此外,含矽源蒸氣以及惰性載體氣體可在約10托(Torr)與300托之間的減壓下引入至腔室中,且較佳在約50托與200托之間。在一些實施例中,腔室之溫度或壓力在整個沈積以及選擇性蝕刻兩者製程中保持恆定。在較佳實施例中,保持溫度以及壓力兩者在整個沈積以及選擇性蝕刻製程中恆定,以最小化發生在腔室中溫度以及壓力變動所導致之擾亂(disturbance)。在整個申請案中所使用之術語“恆定”可包括由於控制限制或另一種約±5%的偏差。在一些實施例中,提供“分割壓力配方”(split pressure recipe)可為有益,其壓力在蝕刻階段期間從沈積階段變化。舉例而言,在涉及HCl蝕刻劑之一些實施例中,壓力在蝕刻階段相對於沈積階段期間將會增加,而在包涉及Cl2蝕刻劑之其他實施例中,壓力在蝕刻階段相對於沈積階段期間將會減少。為了達成增加的選擇性,此分割壓力配方 將會特別有利。咸信,基於特定蝕刻劑,提供分割壓力配方亦容許增強的蝕刻,其並不受理論約束。舉例而言,當使用Cl2(其包括兩個Cl原子以及自然地更具反應性)時適合在蝕刻階段降低壓力且仍獲得良好蝕刻速率,而對於HCl(其僅包括一個Cl原子),在蝕顆速率期間增加壓力以增加蝕刻動力尤其有益。
含矽源蒸氣可選自任一如上所述之來源,其包括矽烷、二矽烷、二氯矽烷以及三氯矽烷。在較佳實施例中,含矽源前驅物包括三矽烷。含矽源前驅物可在約1秒與12秒之間的時間下引入至腔室中,且較佳在約6秒與10秒之間,以在整體基板表面上產生含矽材料之沈積。在一些實施例中,含矽源前驅物可在1秒與5秒之間的時間下流動。在沈積製程期間,含矽源前驅物(例如,二矽烷)將以約20sccm與500sccm之間的速率流動,或對於液狀前驅物(例如,三矽烷)是以約25mg/min與500mg/min之間的速率,且較佳以約100mg/min與300mg/min之間的速率。
惰性載體氣體可選自任一如上所述之載體氣體,其包括氪、氙、氬、氦或氮。在引入含矽源前驅物之前,可引入惰性載體氣體。舉例而言,在一些實施例中,可在引入含矽源前驅物前,引入以及建立惰性載體氣體三至十秒。惰性載體氣體將在沈積期間具有介於2slm與40slm之間的流動速率,且較佳介於約10slm與15slm之間。當在一些實施例中,惰性載體氣體流動速率將保持恆定,而在其他實施例中,流動速率將在整個沈積階段中於所提供的範 圍之間變化。
碳源可與含矽源前驅物以及惰性載體氣體同時引入。碳源可包括矽烷基烷(諸如單矽烷基甲烷、雙矽烷基甲烷、三矽烷基甲烷以及四矽烷基甲烷)和/或烷基矽烷(諸如單甲基矽烷(MMS)以及二甲基硅烷)。在一些實施例中,碳源包括H3Si-CH2-SiH2-CH3(1,3-二矽丁烷)。碳源可在沈積期間以介於3sccm與500sccm之間的流動速率引入,以及在一些實施例中,介於10sccm與100sccm之間。與矽前驅物以及惰性載體氣體一併引入碳源導致摻碳含矽磊晶材料之沈積。現已發現,摻碳含矽材料具有高取代性碳濃度[C]sub。在較佳實施例中,如Kelieres/Berti關係所量測,所沈積的摻碳含矽薄膜具有介於1%與3%之間的取代性碳濃度[C]sub。熟習此技藝者瞭解用於量測取代性碳濃度之Vegard定律之使用可產生較高於Kelieres/Berti關係之應用的結果。然而,無論是與Vegard定律或Kelieres/Berti,可能估計使用替代製程所形成的取代性碳量。
在一些實施例中,亦可在沈積期間提供摻雜劑源,使得所沈積的含矽材料經(例如)磷(P)或砷(Ar)摻雜。典型n型摻雜劑源包括砷蒸氣以及摻雜劑(氫化物,諸如磷化氫(PH3)以及砷化氫(ArH3))。磷化氫甲矽烷(例如(H3Si)3-xPRx)以及砷化氫甲矽烷(例如(H3Si)3-xAsRx)(其中x=0、1或2,且Rx=H及/或氘(D))為磷以及砷摻雜劑之替代前驅物。磷以及砷尤其有用於摻雜NMOS元件之源極以及汲極區。此摻雜劑前驅物有用於如下所述薄 膜之製備,較佳為摻磷、摻銻、摻銦以及摻砷的矽、Si:C以及SiGe:C薄膜與合金。典型p型摻雜劑前驅物包括用於硼摻雜之乙硼烷(B2H6)以及三氯化硼(BCl3)。用於Si之其他p型摻雜劑包括Al、Ga、In以及蒙德列夫(Mendeleiev)元素週期表中Si之左側的任何金屬。此摻雜劑前驅物有用於如下所述薄膜之製備,較佳為摻硼的矽、SiGe以及SiGe:C薄膜與合金。在較佳實施例中,所沈積的含矽薄膜將為摻磷之含碳矽薄膜。
使用惰性載體氣體作為主要載體氣體而不是氫,允許一個非常有效的沈積製程。現已發現,使用惰性載體氣體(諸如He或N2)作為主要載體氣體而不需氫,允許含矽與含碳源前驅物之表面吸附得以改善,而減少前驅物消耗量。舉例而言,在一實驗中,當在含矽材料之毯覆式沈積期間在550℃使用惰性載體氣體且不需氫時,僅需要36%之含矽源蒸氣來獲得相同磊晶成長速率(如同使用氫作為主要載體氣體時)。
如圖2C所示,使用蝕刻劑且同時維持惰性載體氣體之持續流動來選擇性移除非磊晶層120以及側壁磊晶層130之區域。在選擇性蝕刻期間,自凹入的源極/汲極區域114中的下部磊晶層125移除磊晶沈積的摻碳的矽之可忽略的量。反之,因為側壁磊晶層在不同之平面上,側壁磊晶層130比下部磊晶層125更容易地移除,且由於在兩表面上之成長速率差異而比下部磊晶層更具缺陷。因此,每一沈積以及蝕刻製程的循環可經調諧以達成對源極/汲極 區域114之大量由下而上填充。在一些實施例中,磊晶材料如果為良好品質以及不阻礙選擇性填充(使磊晶沈積不會完整的由下而上)之目標,則可留在側壁上。
蝕刻劑選自如上所述之一種或多種蝕刻劑,其包括HCl或Cl2。可在1秒與15秒之間的時間引入蝕刻劑至腔室中,以及在一些實施例中,介於1秒與12秒之間。蝕刻劑可具有介於25sccm與2000sccm之間的流動速率,且較佳約在600sccm。在一些實施例中,蝕刻劑將在選擇性蝕刻期間以恆定速率流動,而在其他實施例中,蝕刻劑流動將在以上所提供的兩個點之間(例如,介於約550sccm與650sccm之間)變化。雖然,在一些實施例中,除了惰性載體氣體之外,氫可在選擇性移除期間作為載體氣體,但在較佳實施例中,將使用惰性載體氣體且不需氫以達成得以改善之選擇性蝕刻速率。藉由使用惰性載體氣體且不需氫,可在選擇性移除期間維持蝕刻劑之高分壓,而產生顯著地增強之蝕刻製程,且不需在選擇性移除製程期間或介於沈積與選擇性蝕刻製程之間調整氫載體氣體之流動速率。在較佳實施例中,惰性載體氣體將在沈積與選擇性移除製程兩者期間繼續地流動。
在一些實施例中,雖然可在選擇性移除製程期間使用無鍺源之蝕刻劑(諸如氯化氫或氯),但在較佳實施例中,引入蝕刻劑與鍺源以增加選擇性蝕刻速率,且特別是對於非磊晶(非晶或多晶)材料。吸附於沈積材料之表面上的鍺更容易地擴散至非磊晶材料中,對於非磊晶材料產生更 富鍺表面,其導致增加自Ge位置的材料之解吸附(desorption)。在一些實施例中,鍺可在約160sccm與200sccm之間流動。
沈積後接續選擇性移除之單一循環產生包括摻碳的矽材料之下部磊晶層125之生長。可重複沈積以及選擇性移除之循環,直至在源極/汲極區域114上達成磊晶材料之目標厚度。
圖2D為在執行摻碳含矽材料之第二毯覆式沈積之後使用含矽源蒸氣前驅物、碳源蒸氣以及惰性載體氣體的圖2C之部分形成的半導體結構的示意性說明,其產生場隔離區域112上之非磊晶層120以及凹入的源極/汲極區域114中之現存的下部磊晶層125上之側壁磊晶層130與額外的磊晶層129。
所沈積的含矽材料將較佳地施加應變至源極/汲極區域114之側壁以及通道區域117上。在一些實施例中,摻碳含矽材料的磊晶層129將經受拉伸應變,其幫助增強電子遷移率,以及其對於NMOS元件特別需要。在其他實施例中,所沈積的含矽材料將經受壓縮應變,其幫助增強電洞遷移率,以及其對於PMOS元件特別需要。
圖2E為在執行用以自混合基板之絕緣體區域移除含矽薄膜之選擇性化學氣相蝕刻製程之後的圖2D之部分形成的半導體結構的示意性說明。如所說明之實施例所示,雖然非磊晶層120以及側壁磊晶層130已選擇性移除,但磊晶層129保持在下部磊晶層125上。
在一些實施例中,磊晶層129可與下部磊晶層125擁有類似特性。在其他實施例中,磊晶層129可取決於在第二毯覆式沈積製程期間所使用前驅物之條件以及選擇而擁有不同於下部磊晶層125的特性。舉例而言,磊晶層129可具有較高的取代性碳濃度以及在半導體基板通道區域117上誘發高於下部磊晶層125的拉伸應變。後續由下而上的磊晶層(諸如圖2F所示之磊晶層139以及圖2G所示之磊晶層149)可誘發更大於位在下方之膜層的應變。
圖2F至圖2G為在執行毯覆式沈積以及選擇性蝕刻之其他循環之後的圖2E之部分形成的半導體結構的示意性說明。可重複毯覆式沈積以及選擇性蝕刻之循環,直至沈積摻碳含矽材料之所要厚度。如圖2F所示,執行毯覆式沈積以及選擇性蝕刻之第三循環,以在磊晶層129以及下部磊晶層125之上形成第三磊晶層139。如圖2G所示,執行毯覆式沈積以及蝕刻之第四循環,以在磊晶層139、磊晶層129以及下部磊晶層125之上形成第四磊晶層149,其充當升高之源極以及汲極層。熟習此技藝者應理解,除圖2A至圖2G所示之磊晶層之外,可沈積較多或較少磊晶層。
圖3A為在執行摻碳含矽材料層之毯覆式沈積之後具有半導體基板之部分形成的半導體結構160的示意性說明。半導體基板是由相鄰於具有平坦底部表面的基座132與傾斜的側壁128之源極/汲極區域114的絕緣體110所組成。凹區的側壁128具有不同於基座132與磊晶層135之 結晶平面。雖然磊晶層135可具有<001>之結晶平面,但凹區側壁128可具有不同的結晶平面,諸如<111>或<011>。在凹區之一側為閘極結構115,其覆蓋基板之通道區域117。
提供半導體基板至化學氣相沈積腔室中,其中發生毯覆式沈積製程。使用作為含矽源蒸氣之三矽烷來發生毯覆式沈積製程。在毯覆式沈積期間,與三矽烷一併引入含碳源蒸氣以及惰性載體氣體(諸如He或N2),以沈積磊晶層135在基座132以及側壁128上,以及沈積非磊晶材料(非晶或多晶)在絕緣體110上。雖然在一些實施例中,在凹區的側壁128上之磊晶材料138之品質與在基座132上之磊晶層135為類似,但在所說明之實施例中,磊晶材料138之品質為較低於高品質之磊晶層135,且後續將在選擇性蝕刻製程期間移除(如圖3B所示)。
根據圖3A,所沈積的材料包括摻碳含矽材料。使用如上所述之沈積製程,具有高取代性碳濃度[C]sub之磊晶材料將沈積在源極/汲極區域114中。使用Kelieres/Berti關係,沈積狀態的高取代性碳濃度[C]sub將介於1.0at.%與3.25at.%之間。在其他實施例中,亦可在沈積階段期間提供摻雜劑源(諸如PH3),使得所沈積材料為經磷摻雜之含矽材料。
在終止前,含矽源蒸氣將流動在1秒與10秒之間的時間,且較佳介於1.5秒與2秒之間。雖然已停止含矽源蒸氣,但惰性載體氣體將持續流動,較佳地以相同於如在 毯覆式沈積製程期間之恆定速率。
圖3B為在執行用以自絕緣體110以及凹區的側壁128移除所沈積材料之多個部分之選擇性化學氣相蝕刻製程之後的圖3A之部分形成的半導體結構160的示意性說明。雖然自絕緣體110以及凹區的側壁128之表面移除非磊晶層120以及側壁128上的磊晶材料,但高品質摻碳含矽材料的磊晶層135之磊晶生長保持在源極/汲極區域114之基座132上。
在選擇性蒸氣蝕刻期間,將引入蝕刻劑,且同時維持惰性載體氣體之流動。蝕刻劑可偕同單鍺烷(GeH4)以增加蝕刻速率,使得對於非磊晶材料之蝕刻速率大於100nm/min。惰性載體氣體較佳地將以在沈積製程期間之相同恆定速率來流動。然而,在一些實施例中,惰性載體氣體可以在沈積以及蝕刻階段之間變化之速率流動。對於一實施例,惰性載體氣體可在沈積期間以介於5slm與13slm之間的速率流動,而在HCl蝕刻期間以介於0.5slm與2slm之間的速率流動。在另一實施例中,惰性載體氣體可在沈積期間以介於5slm與13slm之間的速率流動,而在Cl2蝕刻期間以約40slm之速率流動。在選擇性蝕刻期間,將以更快於在凹區之基座132上之磊晶層135的速率來移除非磊晶層120以及側壁128上低品質的磊晶材料。
沈積以及選擇性蒸氣蝕刻因此包括一循環,其可重複以形成摻碳含矽材料(諸如磊晶層135)之生長。此循環可重複直至在源極/汲極區域114中達成材料之所要厚度。
圖4A為在執行具有鍺併入於其中之含矽材料之毯覆式沈積之後具有絕緣體110以及具有傾斜的側壁128的源極/汲極區域114之部分形成的半導體結構170的示意性說明。凹區的側壁128具有不同於基座132之結晶平面。雖然基座132可具有<001>之結晶平面,但凹區的側壁128可具有不同的結晶平面,諸如<111>或<011>。在凹區之一側為閘極結構115,其覆蓋基板之通道區域117。
提供半導體基板至化學氣相沈積腔室中,其中發生毯覆式沈積製程。在毯覆式沈積期間,引入含矽源蒸氣、鍺源蒸氣以及惰性載體氣體,以沈積磊晶材料145在基座132以及凹區的側壁128上,以及沈積非磊晶(非晶或多晶)材料在絕緣體110上。雖然一些實施例亦可包括氫氣,但在較佳實施例中,惰性載體氣體將流動且不需氫氣。相較於圖3A至圖3B中所說明之實施例,沈積於凹區的側壁128上之磊晶材料145具有如沈積於凹區的基座132上的相同品質或類似的高品質。
與含矽源蒸氣以及惰性載體氣體流動之鍺源包括單鍺烷(GeH4)。沈積於半導體結構上之材料包括具有鍺併入於其中之含矽材料。
圖4B為在執行用以自絕緣體110移除含矽層之多個部分之選擇性化學氣相蝕刻製程之後的圖4A之部分形成的半導體結構170的示意性說明。雖然自絕緣體110之表面選擇性移除非磊晶層120,但高品質含矽磊晶材料145之磊晶生長保持在基座132以及源極/汲極區域114之側壁 128上。
在選擇性蝕刻期間,將引入蝕刻劑之流動,且同時維持惰性載體氣體之流動。蝕刻化學物較佳地包括HCl偕同單鍺烷(GeH4)。在較佳實施例中,惰性載體氣體將不需氫氣而流動。在選擇性蝕刻期間,將以更快於磊晶材料145之速率移除非磊晶層120,導致在源極/汲極區域114中由下而上的磊晶材料之生長。在一些實施例中,在絕緣表面上之蝕刻含矽材料之速率對在凹區上之蝕刻含矽材料之速率的比率為介於20:1與200:1之間。
因此,毯覆式沈積以及選擇性蒸氣蝕刻包括一循環,其可重複以沿著凹區的基部以及側壁形成含矽磊晶材料145之生長。可重複此循環,直至達成材料之所要厚度。
雖然如上已在圖2A至圖4B中描述以及說明毯覆式沈積以及蝕刻之循環,但熟習此技藝者應理解,沈積階段無需為毯覆式。相反地,可在沈積製程期間引入一種或多種蝕刻劑,使得沈積製程為至少部分選擇性或完全選擇性。可在選擇性沈積製程期間連同含矽源蒸氣引入任何如上所述之包括HCl以及Cl2的蝕刻劑。在一些實施例中,雖然停止含矽源蒸氣之流動,但蝕刻劑可持續地流動以回蝕刻在選擇性沈積期間所沈積的含矽材料。在蝕刻劑持續地在沈積以及蝕刻製程之間流動之這些實施例中,蝕刻劑流動速率可在沈積以及蝕刻製程之間傾斜增加或傾斜降低,而並不是保持恆定。
藉由使用如上所述之循環式製程,可能達成較高於傳 統製程之產率。多種執行使用持續惰性載體氣體流動且不需氫的循環式沈積以及選擇性蝕刻製程之益處如上所述。此外,描述關於在蝕刻階段期間降低惰性氣體流動速率、在沈積及/或蝕刻製程期間添增鍺以及在分割壓力製程中相對於沈積階段之蝕刻階段期間調變壓力之多種益處。
例示性製程參數 範例1-用於單一循環毯覆式沈積以及選擇性蝕刻之配方
例示性製程參數概述於下文之表A中,其根據一實施例列出用於選擇性形成製程之單一循環之操作範圍。在圓括號中提供可選擇的範圍。製程條件(諸如腔室溫度以及腔室壓力)在整個選擇性磊晶形成過程中保持恆定,從而允許高產率。
如表A所示,毯覆式沈積製程發生在1秒與12秒之間。毯覆式沈積發生在525℃與650℃之間的溫度以及在150托與200托之間的壓力下。這些條件將在整個沈積製程中保持相對恆定。在毯覆式沈積製程期間,矽源將以介於約30mg/min與200mg/min之速率流動,MMS將以介於3sccm與300sccm之速率流動,PH3將以介於6sccm與1000sccm之速率流動,以及惰性載體氣體將以介於5slm與10slm之速率流動。在毯覆式沈積期間沒有氫存在。
毯覆式沈積後接續有發生在1秒與24秒之間的選擇性蝕刻製程。如同毯覆式沈積,選擇性蝕刻發生在525℃與 650℃之間的溫度以及在150托與200托之間的壓力下。這些條件將在整個選擇性蝕刻過程中保持相對恆定。在選擇性蝕刻過程期間,HCl將以約600sccm之速率流動,鍺源將以介於160sccm與200sccm之速率流動,以及惰性載體氣體將以介於1slm與3slm之速率流動。在選擇性蝕刻製程期間將沒有氫存在。
儘管未顯示於表A中,但可在沈積或蝕刻製程之間提供可選擇的淨化氣體(purge gas)。在較佳實施例中,淨化將不是必要的,因此,降低了製程時間以及增加了選擇性磊晶形成製程之整體產率。
範例2-用於重複毯覆式沈積以及選擇性蝕刻之循環之配方
在一實施例中,執行五十次毯覆式沈積以及選擇性蝕刻之循環,產生具有高取代性碳併入之Si:C:P層之沈積。在整個選擇性形成製程中,在600℃的恆定溫度以及在175托的恆定壓力下執行毯覆式沈積以及選擇性蝕刻。在任何沈積以及蝕刻製程之間,沒有使用淨化。
在每一毯覆式沈積階段期間,伴隨介於約6sccm-12sccm之間的磷化氫(PH3)以大致36mg/min引入三矽烷(Si3H8),以沈積摻磷含矽材料。除第一毯覆式沈積之外,在每一毯覆式沈積期間以3sccm之流動速率提供MMS源,以併入碳至沈積的含矽層中。亦提供具有10slm流動速率之由He或N2所構成的惰性載體氣體。每一毯覆式沈 積之時間在1秒與12秒之間變化。對於每一毯覆式沈積階段,發現磊晶生長速率為介於30nm/min與34nm/min之間。即使PH3摻雜劑之影響,所得碳取代與MMS之流動成正比,且大致為100%。
在每一選擇性蝕刻階段期間,伴隨以大致160sccm-200sccm之流動速率的GeH4引入大致以2000sccm之流動速率的HCl。在選擇性蝕刻階段期間,He或N2之流動保持在如毯覆式沈積期間之恆定速率。每一選擇性蝕刻階段之時間保持恆定,大致在12秒。每一選擇性蝕刻階段之後,鍺併入為恆定,並且毯覆式沈積以及選擇性蝕刻之每一循環形成大致0.5單層(monolayer,ML)或大致0.0723nm之含矽材料。磊晶蝕刻速率為介於9nm/min與11nm/min之間。
當整體選擇性沈積過程不需要任何在壓力、溫度或惰性載體氣體流動上之改變,以及不需要在沈積以及蝕刻製程之間消耗時間在淨化步驟上,達成了具有高產率之選擇製程。
範例3-用於重複選擇性沈積以及蝕刻循環之配方
例示性製程參數概述於下文之表B中,其根據一實施例列出用於重複選擇沈積以及蝕刻之循環之操作範圍。製程條件(諸如腔室溫度以及腔室壓力)在整個選擇性製程中保持恆定,從而允許高產率。在所說明之實施例中,溫度保持恆定在525℃下,並且壓力保持恆定在200托下。
提供基板至化學氣相腔室中,此基板包括相鄰於絕緣體的源極/汲極區域114。如表B所說明,執行預沈積製程,據此將含矽源蒸氣(Si3H8)引入至腔室中。此預沈積製程在整個選擇性形成製程期間發生一次。在預沈積製程之後,執行選擇性沈積,其蝕刻劑(HCl)與含矽源蒸氣(Si3H8)、碳源蒸氣(MMS)、摻雜劑前驅物(PH3)以及惰性載體氣體(He)流動。惰性載體氣體在沈積期間以第一流動速率(10slm)引入。在選擇性沈積製程期間(或任何在整個製程中之其他期間),沒有使用氫載體氣體。在 所說明之實施例中,HCl蝕刻劑同時與含矽源前驅物引入。HCl蝕刻劑之流動速率在沈積製程期間為200sccm,以及在沈積製程中保持恆定。除了HCl蝕刻劑之外,亦在沈積製程期間提供鍺源(GeH4)。
沈積製程產生大致1.02nm/sec(或61.4nm/min)之磊晶生長速率,如圖5所示,使用所說明實施例之參數,其顯示以奈米為單位之近似沈積厚度作為以秒為單位之時間的函數。
在流動含矽源蒸氣一段期間之後(例如,介於2.4秒與3.6秒之間),停止含矽源蒸氣流動。雖然未在此實施例中說明,含碳蒸氣流動亦可與含矽源蒸氣停止。緊接著停止含矽源蒸氣之流動,淨化製程大致發生3秒,以移除含矽源蒸氣。在淨化製程期間,惰性載體氣體之流動速率從大致10slm傾斜降低至1slm或更低。此外,在淨化製程期間,鍺源(GeH4)之流動速率傾斜增加至50sccm。發現在沈積製程期間維持微量之鍺源(GeH4)流動(例如,10sccm)協助沈積具有高取代性碳之含矽材料,而在沈積後增加鍺源(GeH4)流動之量(例如,至50sccm)幫助在蝕刻製程期間提供高蝕刻速率。
淨化製程後,執行蝕刻製程,其回蝕刻所沈積的含矽材料。在所說明之實施例中,蝕刻劑(HCl)保持相同的恆定流動速率,200sccm,如在選擇性蝕刻製程期間。惰性載體氣體(其在淨化製程期間從10slm傾斜降低至1slm)在蝕刻製程期間保持在1slm。惰性載體氣體因而在 蝕刻階段期間以小於惰性載體氣體之第一流動速率的流動速率流動。已發現,在蝕刻製程期間保持低惰性載體氣體流動速率幫助維持高的HCl蝕刻劑分壓力,以及提供如圖6所示之大致0.036nm/sec或2.16nm/min之磊晶Si:C:P的高蝕刻速率,使用所說明實施例之參數,其顯示所蝕刻材料之近似厚度作為每一循環中之以秒為單位之時間的函數。
蝕刻製程後,可提供另一淨化製程,其中再次提供含矽源蒸氣。此淨化製程意味蝕刻製程之結束以及沈積製程之開始,進而額外的選擇性沈積以及蝕刻之循環之開始。在此淨化製程期間,傾斜增加惰性載體氣體流動速率回至10slm。重複此選擇性沈積以及蝕刻之循環20至50次,直至沈積含矽材料之所要量。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,故本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10、20、30、40‧‧‧區塊
100‧‧‧基板
110‧‧‧絕緣體
112‧‧‧場隔離區域
114‧‧‧源極/汲極區域
115‧‧‧閘極結構
117‧‧‧通道區域
120‧‧‧非磊晶層
125‧‧‧下部磊晶層
128‧‧‧側壁
129‧‧‧磊晶層
130‧‧‧側壁磊晶層
132‧‧‧基座
135‧‧‧磊晶層
138‧‧‧磊晶材料
139‧‧‧磊晶層
145‧‧‧磊晶材料
149‧‧‧磊晶層
150‧‧‧半導體結構
160‧‧‧半導體結構
170‧‧‧半導體結構
圖1為說明根據本申請案之一實施例之選擇性磊晶形成過程的流程圖。
圖2A為包括形成於半導體基板中之圖案化絕緣體區域之部分形成的半導體結構的示意性說明。
圖2B為在執行半導體基板上的含矽材料之毯覆式沈積之後的圖2A之部分形成的半導體結構的示意性說明。
圖2C為在執行用以自半導體基板之絕緣體區域移除含矽材料之選擇性化學氣相蝕刻過程之後的圖2B之部分形成的半導體結構的示意性說明。
圖2D為在執行半導體基板上的含矽材料之第二毯覆式沈積之後的圖2C之部分形成的半導體結構的示意性說明。
圖2E為在執行用以自半導體基板之絕緣體區域移除含矽材料之第二選擇性化學氣相蝕刻過程之後的圖2D之部分形成的半導體結構的示意性說明。
圖2F至圖2G為在執行毯覆式沈積以及選擇性蝕刻之其他循環之後的圖2E之部分形成的半導體結構的示意性說明。
圖3A為在執行含碳矽材料之毯覆式沈積之後具有絕緣體以及具傾斜側壁的凹區之部分形成的半導體結構的示意性說明。
圖3B為在執行用以自絕緣體以及凹區側壁移除含碳矽材料之多個部分之選擇性化學氣相蝕刻過程之後的圖3A之部分形成的半導體結構的示意性說明。
圖4A為在執行含鍺矽材料之毯覆式沈積之後具有絕緣體以及具傾斜側壁的凹區之部分形成的半導體結構的示意性說明。
圖4B為在執行用以自絕緣體移除含鍺矽材料之多個部分之選擇性化學氣相蝕刻過程之後的圖4A之部分形成的半導體結構的示意性說明。
圖5為根據一實施例展示作為時間函數之近似沈積厚度。
圖6為根據一實施例展示作為時間函數之蝕刻材料之近似厚度。
10、20、30、40‧‧‧區塊

Claims (34)

  1. 一種在單晶半導體表面上選擇性形成含矽材料之方法,包括:提供基板,所述基板包括絕緣表面以及單晶半導體表面;藉由流動含矽源蒸氣以及惰性載體氣體且不需流動H2,在所述基板的所述絕緣表面以及所述單晶半導體表面上沈積含矽材料;藉由流動蝕刻劑且同時保持所述惰性載體氣體的持續流動而不需流動H2,自所述絕緣表面上選擇性移除所述含矽材料;以及循環沈積以及選擇性移除兩或多次,直至在所述單晶半導體表面上達成所述含矽材料的所要厚度,其中在循環期間所述惰性載體氣體持續流動而無H2
  2. 如申請專利範圍第1項所述之在單晶半導體表面上選擇性形成含矽材料之方法,其中所述惰性載體氣體包括He。
  3. 如申請專利範圍第1項所述之在單晶半導體表面上選擇性形成含矽材料之方法,其中所述惰性載體氣體包括N2
  4. 如申請專利範圍第1項所述之在單晶半導體表面上選擇性形成含矽材料之方法,其中所述惰性載體氣體在沈積製程期間以介於5slm與10slm之間的速率流動。
  5. 如申請專利範圍第1項所述之在單晶半導體表面 上選擇性形成含矽材料之方法,其中所述惰性載體氣體的流動在沈積以及選擇性移除製程期間保持恆定。
  6. 如申請專利範圍第1項所述之在單晶半導體表面上選擇性形成含矽材料之方法,其中沈積所述含矽材料發生在介於500℃與600℃之間的恒定溫度下。
  7. 如申請專利範圍第1項所述之在單晶半導體表面上選擇性形成含矽材料之方法,其中藉由流動所述含矽源蒸氣沈積所述含矽材料發生達介於1秒與5秒之間。
  8. 如申請專利範圍第1項所述之在單晶半導體表面上選擇性形成含矽材料之方法,其中選擇性移除所述含矽材料發生在1秒與15秒之間。
  9. 如申請專利範圍第1項所述之在單晶半導體表面上選擇性形成含矽材料之方法,更包括在所述含矽材料之沈積期間引入由單甲基矽烷所構成的碳源氣體與含蒸氣的矽源以及所述惰性載體氣體。
  10. 如申請專利範圍第9項所述之在單晶半導體表面上選擇性形成含矽材料之方法,其中所述單甲基矽烷在所述含矽材料之沈積期間以介於10sccm與100sccm之間的速率流動。
  11. 如申請專利範圍第1項所述之在單晶半導體表面上選擇性形成含矽材料之方法,其中在凹區中沈積之所述含矽材料形成應變異質磊晶薄膜。
  12. 如申請專利範圍第1項所述之在單晶半導體表面上選擇性形成含矽材料之方法,其中在所述單晶半導體表 面上沈積之所述含矽材料為摻碳的。
  13. 一種在凹區中選擇性形成含矽材料之方法,包括:提供基板至化學氣相沈積腔室中,所述基板包括凹區以及相鄰的絕緣表面;藉由在所述化學氣相沈積腔室中流動三矽烷以及一惰性載體氣體,在所述凹區上沈積磊晶材料以及在相鄰的所述絕緣表面上沈積非磊晶材料,其中所述惰性載體氣體以恆定速率流動;藉由流動蝕刻劑且同時保持在所述化學氣相沈積腔室中所述惰性載體氣體的持續流動,自所述絕緣表面上選擇性移除所述非磊晶材料之部分;以及在相同的所述化學氣相沈積腔室中重複沈積以及選擇性移除之循環,直至含矽材料的所要厚度沈積於所述凹區中,其中在沈積、選擇性移除以及重複期間所述惰性載體氣體持續流動。
  14. 如申請專利範圍第13項所述之在凹區中選擇性形成含矽材料之方法,其中所述惰性載體氣體包括He。
  15. 如申請專利範圍第13項所述之在凹區中選擇性形成含矽材料之方法,其中所述惰性載體氣體包括N2
  16. 如申請專利範圍第13項所述之在凹區中選擇性形成含矽材料之方法,其中所沈積的所述含矽材料為拉伸應變的。
  17. 如申請專利範圍第13項所述之在凹區中選擇性形成含矽材料之方法,其中所沈積的所述含矽材料為摻雜 磷之矽-碳材料。
  18. 如申請專利範圍第13項所述之在凹區中選擇性形成含矽材料之方法,其中沈積所述磊晶材料包括毯覆式沈積所述磊晶材料。
  19. 如申請專利範圍第13項所述之在凹區中選擇性形成含矽材料之方法,其中沈積所述磊晶材料包括選擇性沈積所述磊晶材料。
  20. 如申請專利範圍第13項所述之在凹區中選擇性形成含矽材料之方法,其中所述惰性載體氣體的流動速率在選擇性移除所述非磊晶材料之部分期間與在沈積所述磊晶材料期間保持在相同的恆定速率。
  21. 如申請專利範圍第13項所述之在凹區中選擇性形成含矽材料之方法,其中所述蝕刻劑包括HCl,以及相對於在沈積所述磊晶材料期間的所述惰性載體氣體的流動速率,降低在選擇性移除所述非磊晶材料之部分期間的所述惰性載體氣體的流動速率。
  22. 如申請專利範圍第13項所述之在凹區中選擇性形成含矽材料之方法,其中所述蝕刻劑包括Cl2,以及相對於在沈積所述磊晶材料期間的所述惰性載體氣體的流動速率,增加在選擇性移除所述非磊晶材料之部分期間的所述惰性載體氣體的流動速率。
  23. 一種在凹區中選擇性形成摻碳含矽材料之方法,包括:提供基板至化學氣相沈積腔室中,所述基板包括相鄰 於絕緣體的凹區;引入含矽源蒸氣、含碳源蒸氣以及惰性載體氣體,以在所述凹區及所述絕緣體上沈積摻碳含矽的材料,其中以第一流動速率引入所述惰性載體氣體;當改變所述惰性載體氣體的流動速率時,中斷所述含矽源蒸氣及所述含碳源蒸氣之流動;當以小於所述惰性載體氣體之所述第一流動速率的第二流動速率流動所述惰性載體氣體時,流動蝕刻劑以自所述絕緣體蝕刻所述材料;以及重複沈積以及蝕刻之循環,直至在所述凹區中達成所述材料之所要厚度,其中在引入、中斷、流動所述蝕刻劑以及重複期間所述惰性載體氣體持續流動。
  24. 如申請專利範圍第23項所述之在凹區中選擇性形成摻碳含矽材料之方法,其中所述惰性載體氣體在沈積期間以介於5slm與13slm之間的速率流動。
  25. 如申請專利範圍第23項所述之在凹區中選擇性形成摻碳含矽材料之方法,其中所述惰性載體氣體的所述第二流動速率介於0.5slm與2slm之間。
  26. 如申請專利範圍第23項所述之在凹區中選擇性形成摻碳含矽材料之方法,其中所述凹區中的所沈積的摻碳含矽的所述材料具有介於1%與3%之間的取代性碳濃度。
  27. 如申請專利範圍第23項所述之在凹區中選擇性形成摻碳含矽材料之方法,其中所述蝕刻劑包括HCl。
  28. 如申請專利範圍第27項所述之在凹區中選擇性形成摻碳含矽材料之方法,更包括在蝕刻製程期間引入GeH4
  29. 如申請專利範圍第23項所述之在凹區中選擇性形成摻碳含矽材料之方法,其中所述蝕刻劑包括Cl2
  30. 如申請專利範圍第23項所述之在凹區中選擇性形成摻碳含矽材料之方法,其中沈積製程發生在介於525℃與575℃之間的溫度。
  31. 如申請專利範圍第23項所述之在凹區中選擇性形成摻碳含矽材料之方法,其中所述蝕刻劑在作為選擇性沈積製程之部分的沈積製程期間,首先引入至所述化學氣相沈積腔室中。
  32. 如申請專利範圍第23項所述之在凹區中選擇性形成摻碳含矽材料之方法,其中以大於100nm/min的速率自所述絕緣體蝕刻所述材料。
  33. 一種在凹區中選擇性形成含矽材料之方法,包括:提供半導體基板至化學氣相沈積腔室中,所述半導體基板包括凹區以及相鄰的絕緣表面;藉由在所述化學氣相沈積腔室中流動含矽源蒸氣以及惰性載體氣體,在所述凹區以及相鄰的所述絕緣表面上沈積含矽材料;藉由流動所述惰性載體氣體與HCl以及GeH4且不需流動H2,自所述絕緣表面上選擇性移除部分所述含矽材料;以及 在相同的所述化學氣相沈積腔室中重複沈積以及選擇性移除製程,直至所述含矽材料的所要厚度沈積於所述凹區中,其中在沈積、選擇性移除以及重複期間所述惰性載體氣體持續流動。
  34. 如申請專利範圍第33項所述之在凹區中選擇性形成含矽材料之方法,其中在所述絕緣表面上的蝕刻所述含矽材料之速率對在所述凹區上的蝕刻所述含矽材料之速率的比率介於2:1與200:1之間。
TW101117601A 2011-05-19 2012-05-17 高產率循環磊晶沈積與蝕刻方法 TWI520227B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/111,917 US8809170B2 (en) 2011-05-19 2011-05-19 High throughput cyclical epitaxial deposition and etch process

Publications (2)

Publication Number Publication Date
TW201250860A TW201250860A (en) 2012-12-16
TWI520227B true TWI520227B (zh) 2016-02-01

Family

ID=47175228

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101117601A TWI520227B (zh) 2011-05-19 2012-05-17 高產率循環磊晶沈積與蝕刻方法

Country Status (2)

Country Link
US (1) US8809170B2 (zh)
TW (1) TWI520227B (zh)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US11456374B2 (en) * 2013-03-15 2022-09-27 Matthew H. Kim Germanium-silicon-tin (GeSiSn) heterojunction bipolar transistor devices
US20140264612A1 (en) * 2013-03-15 2014-09-18 International Business Machines Corporation Growth of epitaxial semiconductor regions with curved top surfaces
US8853060B1 (en) * 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9607825B2 (en) * 2014-04-08 2017-03-28 International Business Machines Corporation Hydrogen-free silicon-based deposited dielectric films for nano device fabrication
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10263108B2 (en) * 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9761693B2 (en) 2014-11-27 2017-09-12 United Microelectronics Corp. Method for fabricating semiconductor device
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6388552B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6388553B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9443957B1 (en) * 2015-03-12 2016-09-13 International Business Machines Corporation Self-aligned source and drain regions for semiconductor devices
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9647122B2 (en) * 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
JP6842616B2 (ja) * 2015-09-24 2021-03-17 東京エレクトロン株式会社 凹部フィーチャ内での膜のボトムアップ式付着のための方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10147609B2 (en) 2016-12-15 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor epitaxy bordering isolation structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN110707006B (zh) * 2018-07-09 2023-10-17 日升存储公司 锑掺杂的硅和硅锗膜的原位制备的方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11171209B2 (en) * 2018-09-27 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10872788B2 (en) * 2018-11-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Wet etch apparatus and method for using the same
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132606A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 반도체 기판 상의 3차원 구조에 갭을 충진하는 방법
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4210925A (en) 1978-02-07 1980-07-01 Harris Corporation I2 L Integrated circuit and process of fabrication
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4521952A (en) 1982-12-02 1985-06-11 International Business Machines Corporation Method of making integrated circuits using metal silicide contacts
US4605947A (en) 1983-03-07 1986-08-12 Motorola Inc. Titanium nitride MOS device gate electrode and method of producing
US4578142A (en) 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
JPS61121433A (ja) 1984-11-19 1986-06-09 Sharp Corp 半導体基板
JPS61166071A (ja) 1985-01-17 1986-07-26 Toshiba Corp 半導体装置及びその製造方法
US4698316A (en) 1985-01-23 1987-10-06 Rca Corporation Method of depositing uniformly thick selective epitaxial silicon
US5373806A (en) 1985-05-20 1994-12-20 Applied Materials, Inc. Particulate-free epitaxial process
US4735918A (en) 1985-05-24 1988-04-05 Hughes Aircraft Company Vertical channel field effect transistor
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4778775A (en) 1985-08-26 1988-10-18 Intel Corporation Buried interconnect for silicon on insulator structure
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
EP0214690B1 (en) 1985-09-06 1992-03-25 Philips Electronics Uk Limited A method of manufacturing a semiconductor device
US4857479A (en) 1985-10-08 1989-08-15 Motorola Method of making poly-sidewall contact transistors
US4891092A (en) 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
US4704186A (en) 1986-02-19 1987-11-03 Rca Corporation Recessed oxide method for making a silicon-on-insulator substrate
FR2595509B1 (fr) 1986-03-07 1988-05-13 Thomson Csf Composant en materiau semiconducteur epitaxie sur un substrat a parametre de maille different et application a divers composants en semiconducteurs
DE3784537T2 (de) 1986-04-11 1993-09-30 Canon Kk Herstellungsverfahren einer niedergeschlagenen Schicht.
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
CA1290077C (en) 1986-06-30 1991-10-01 Takao Yonehara Semiconductor device with single crystal layer grown from single nucleus
US4728623A (en) 1986-10-03 1988-03-01 International Business Machines Corporation Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
KR900007686B1 (ko) 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
US4749441A (en) 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5236546A (en) 1987-01-26 1993-08-17 Canon Kabushiki Kaisha Process for producing crystal article
US5269876A (en) 1987-01-26 1993-12-14 Canon Kabushiki Kaisha Process for producing crystal article
US4994402A (en) 1987-06-26 1991-02-19 Hewlett-Packard Company Method of fabricating a coplanar, self-aligned contact structure in a semiconductor device
US4786615A (en) 1987-08-31 1988-11-22 Motorola Inc. Method for improved surface planarity in selective epitaxial silicon
US4870030A (en) 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US4758531A (en) 1987-10-23 1988-07-19 International Business Machines Corporation Method of making defect free silicon islands using SEG
US4873205A (en) 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
US5319220A (en) 1988-01-20 1994-06-07 Sharp Kabushiki Kaisha Silicon carbide semiconductor device
JPH0228322A (ja) 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
US5164813A (en) 1988-06-24 1992-11-17 Unitrode Corporation New diode structure
US5059544A (en) 1988-07-14 1991-10-22 International Business Machines Corp. Method of forming bipolar transistor having self-aligned emitter-base using selective and non-selective epitaxy
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
JPH02163942A (ja) 1988-12-17 1990-06-25 Sony Corp Misトランジスタの製造方法
US5061644A (en) 1988-12-22 1991-10-29 Honeywell Inc. Method for fabricating self-aligned semiconductor devices
US5146304A (en) 1988-12-22 1992-09-08 Honeywell Inc. Self-aligned semiconductor device
US5416354A (en) 1989-01-06 1995-05-16 Unitrode Corporation Inverted epitaxial process semiconductor devices
US5004705A (en) 1989-01-06 1991-04-02 Unitrode Corporation Inverted epitaxial process
US4897366A (en) 1989-01-18 1990-01-30 Harris Corporation Method of making silicon-on-insulator islands
KR920008886B1 (ko) 1989-05-10 1992-10-10 삼성전자 주식회사 디램셀 및 그 제조방법
US5175121A (en) 1989-05-10 1992-12-29 Samsung Electronics Co., Ltd. Method for manufacturing a stacked capacitor DRAM semiconductor device
US5028973A (en) 1989-06-19 1991-07-02 Harris Corporation Bipolar transistor with high efficient emitter
US4923826A (en) 1989-08-02 1990-05-08 Harris Corporation Method for forming dielectrically isolated transistor
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5211796A (en) 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JP2802449B2 (ja) 1990-02-16 1998-09-24 三菱電機株式会社 半導体装置の製造方法
FR2658951B1 (fr) 1990-02-23 1992-05-07 Bonis Maurice Procede de fabrication d'un circuit integre pour filiere analogique rapide utilisant des lignes d'interconnexions locales en siliciure.
US4981811A (en) 1990-04-12 1991-01-01 At&T Bell Laboratories Process for fabricating low defect polysilicon
US5043300A (en) 1990-04-16 1991-08-27 Applied Materials, Inc. Single anneal step process for forming titanium silicide on semiconductor wafer
DE4016471A1 (de) 1990-05-22 1991-11-28 Bosch Gmbh Robert Mikromechanischer neigungssensor
JP2590295B2 (ja) 1990-06-06 1997-03-12 株式会社東芝 半導体装置及びその製造方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
KR930007190B1 (ko) 1990-08-21 1993-07-31 삼성전자 주식회사 화합물 반도체 장치
US5032233A (en) 1990-09-05 1991-07-16 Micron Technology, Inc. Method for improving step coverage of a metallization layer on an integrated circuit by use of a high melting point metal as an anti-reflective coating during laser planarization
US5196360A (en) 1990-10-02 1993-03-23 Micron Technologies, Inc. Methods for inhibiting outgrowth of silicide in self-aligned silicide process
DE4106287A1 (de) 1990-10-25 1992-04-30 Bosch Gmbh Robert Verfahren zum anisotropen aetzen von monokristallinen, scheibenfoermigen traegern
US5403751A (en) 1990-11-29 1995-04-04 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
US5236865A (en) 1991-01-16 1993-08-17 Micron Technology, Inc. Method for simultaneously forming silicide and effecting dopant activation on a semiconductor wafer
US5094977A (en) 1991-01-25 1992-03-10 Micron Technology, Inc. Stress reduction in metal films by laser annealing
JPH04260325A (ja) 1991-02-15 1992-09-16 Toshiba Corp 半導体装置の製造方法
US5147819A (en) 1991-02-21 1992-09-15 Micron Technology, Inc. Semiconductor metallization method
KR100228619B1 (ko) 1991-03-05 1999-11-01 아치 케이. 말론 자기-정합 접점 형성 방법 및 구조
KR920018987A (ko) 1991-03-23 1992-10-22 김광호 캐패시터의 제조방법
US5234857A (en) 1991-03-23 1993-08-10 Samsung Electronics, Co., Ltd. Method of making semiconductor device having a capacitor of large capacitance
US5252841A (en) 1991-05-09 1993-10-12 Hughes Aircraft Company Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same
US5084406A (en) 1991-07-01 1992-01-28 Micron Technology, Inc. Method for forming low resistance DRAM digit-line
US5389575A (en) 1991-07-12 1995-02-14 Hughes Aircraft Company Self-aligned contact diffusion barrier method
US5182619A (en) 1991-09-03 1993-01-26 Motorola, Inc. Semiconductor device having an MOS transistor with overlapped and elevated source and drain
JP2855908B2 (ja) 1991-09-05 1999-02-10 日本電気株式会社 半導体装置及びその製造方法
FR2682128B1 (fr) 1991-10-08 1993-12-03 Thomson Csf Procede de croissance de couches heteroepitaxiales.
JPH05175216A (ja) 1991-12-24 1993-07-13 Rohm Co Ltd ヘテロ接合バイポーラトランジスタおよびその製法
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5201995A (en) 1992-03-16 1993-04-13 Mcnc Alternating cyclic pressure modulation process for selective area deposition
US5425842A (en) 1992-06-09 1995-06-20 U.S. Philips Corporation Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
US5326992A (en) 1992-07-29 1994-07-05 The United States Of America As Represented By The Secretary Of The Navy Silicon carbide and SiCAlN heterojunction bipolar transistor structures
US6004683A (en) 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
US5285089A (en) 1992-12-02 1994-02-08 Kobe Steel U.S.A., Inc. Diamond and silicon carbide heterojunction bipolar transistor
US5378641A (en) 1993-02-22 1995-01-03 Micron Semiconductor, Inc. Electrically conductive substrate interconnect continuity region and method of forming same with an angled implant
JP2791260B2 (ja) 1993-03-01 1998-08-27 株式会社東芝 半導体装置の製造方法
JP3299807B2 (ja) 1993-04-07 2002-07-08 シャープ株式会社 ヘテロ接合バイポーラトランジスタ
US5380370A (en) 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
JP3292894B2 (ja) 1993-05-12 2002-06-17 日本電信電話株式会社 集積化受光回路
US5341016A (en) 1993-06-16 1994-08-23 Micron Semiconductor, Inc. Low resistance device element and interconnection structure
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5422502A (en) 1993-12-09 1995-06-06 Northern Telecom Limited Lateral bipolar transistor
JPH07169693A (ja) 1993-12-16 1995-07-04 Mitsubishi Electric Corp 横型減圧cvd装置及びそのクリーニング方法
JP2611640B2 (ja) 1993-12-20 1997-05-21 日本電気株式会社 ヘテロ接合バイポーラトランジスタ
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5609721A (en) 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
EP0709880A3 (en) 1994-10-31 1996-10-23 Sgs Thomson Microelectronics Method for forming projecting source / drain regions in an integrated circuit
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
DE4445345C2 (de) 1994-12-19 2001-08-23 Korea Electronics Telecomm Verfahren zur Herstellung eines Bipolartransistors
US5480814A (en) 1994-12-27 1996-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Process of making a polysilicon barrier layer in a self-aligned contact module
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5656519A (en) 1995-02-14 1997-08-12 Nec Corporation Method for manufacturing salicide semiconductor device
JPH08236540A (ja) 1995-03-01 1996-09-13 Mitsubishi Electric Corp 半導体装置の製造方法、及び半導体装置
JP2914213B2 (ja) 1995-03-28 1999-06-28 日本電気株式会社 半導体装置及びその製造方法
US5508212A (en) 1995-04-27 1996-04-16 Taiwan Semiconductor Manufacturing Co. Salicide process for a MOS semiconductor device using nitrogen implant of titanium
JPH08306700A (ja) 1995-04-27 1996-11-22 Nec Corp 半導体装置及びその製造方法
US5665614A (en) 1995-06-06 1997-09-09 Hughes Electronics Method for making fully self-aligned submicron heterojunction bipolar transistor
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5888903A (en) 1995-06-07 1999-03-30 Texas Instruments Incorporated Self-aligned silicide process
US6060397A (en) 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US5756394A (en) 1995-08-23 1998-05-26 Micron Technology, Inc. Self-aligned silicide strap connection of polysilicon layers
US5656546A (en) 1995-08-28 1997-08-12 Taiwan Semiconductor Manufacturing Company Ltd Self-aligned tin formation by N2+ implantation during two-step annealing Ti-salicidation
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
DE19533313A1 (de) 1995-09-08 1997-03-13 Max Planck Gesellschaft Halbleiterstruktur für einen Transistor
US5637518A (en) 1995-10-16 1997-06-10 Micron Technology, Inc. Method of making a field effect transistor having an elevated source and an elevated drain
US6096638A (en) 1995-10-28 2000-08-01 Nec Corporation Method for forming a refractory metal silicide layer
US5693147A (en) 1995-11-03 1997-12-02 Motorola, Inc. Method for cleaning a process chamber
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JP3400293B2 (ja) 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US6058945A (en) 1996-05-28 2000-05-09 Canon Kabushiki Kaisha Cleaning methods of porous surface and semiconductor surface
US5670404A (en) 1996-06-21 1997-09-23 Industrial Technology Research Institute Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US6177761B1 (en) 1996-07-17 2001-01-23 Teledyne Lighting And Display Products, Inc. LED with light extractor
JP2839018B2 (ja) 1996-07-31 1998-12-16 日本電気株式会社 半導体装置の製造方法
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6043519A (en) 1996-09-12 2000-03-28 Hughes Electronics Corporation Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication
US5945350A (en) 1996-09-13 1999-08-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects and interconnects formed using same
US5683922A (en) 1996-10-04 1997-11-04 United Microelectronics Corporation Method of fabricating a self-aligned contact
KR100236069B1 (ko) 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
JP2950272B2 (ja) 1997-01-24 1999-09-20 日本電気株式会社 半導体薄膜の製造方法
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6348096B1 (en) 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
EP0874405A3 (en) 1997-03-25 2004-09-15 Mitsubishi Cable Industries, Ltd. GaN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
ATE550461T1 (de) 1997-04-11 2012-04-15 Nichia Corp Wachstumsmethode für einen nitrid-halbleiter
US5859447A (en) 1997-05-09 1999-01-12 Yang; Edward S. Heterojunction bipolar transistor having heterostructure ballasting emitter
US6351039B1 (en) 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
FR2764118B1 (fr) 1997-05-30 2000-08-04 Thomson Csf Transistor bipolaire stabilise avec elements isolants electriques
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5856237A (en) 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
JP2967477B2 (ja) 1997-11-26 1999-10-25 日本電気株式会社 半導体装置の製造方法
JPH11176822A (ja) 1997-12-05 1999-07-02 Hitachi Ltd 半導体処理装置
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6147405A (en) 1998-02-19 2000-11-14 Micron Technology, Inc. Asymmetric, double-sided self-aligned silicide and method of forming the same
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6221711B1 (en) 1998-05-11 2001-04-24 Micron Technology, Inc. Methods of electrically contacting to conductive plugs, methods of forming contact openings, and methods of forming dynamic random access memory circuitry
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6221168B1 (en) 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
US6048790A (en) 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6593211B2 (en) 1998-09-04 2003-07-15 Canon Kabushiki Kaisha Semiconductor substrate and method for producing the same
JP3478141B2 (ja) 1998-09-14 2003-12-15 信越半導体株式会社 シリコンウエーハの熱処理方法及びシリコンウエーハ
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100680122B1 (ko) 1998-10-29 2007-02-07 신에쯔 한도타이 가부시키가이샤 반도체 웨이퍼 및 그 제조 방법
JP2000150647A (ja) 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
JP2000208437A (ja) 1999-01-08 2000-07-28 United Microelectronics Corp ケイ化物層の形成方法
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6037258A (en) 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6346732B1 (en) 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
JP4010724B2 (ja) 1999-12-28 2007-11-21 株式会社東芝 半導体装置の製造方法
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
US6365453B1 (en) 1999-06-16 2002-04-02 Micron Technology, Inc. Method and structure for reducing contact aspect ratios
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
KR100301246B1 (ko) 1999-06-30 2001-11-01 박종섭 반도체 소자의 제조 방법
US6190453B1 (en) 1999-07-14 2001-02-20 Seh America, Inc. Growth of epitaxial semiconductor material with improved crystallographic properties
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7041170B2 (en) 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6345150B1 (en) 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
WO2001045149A1 (en) 1999-12-15 2001-06-21 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP2001274387A (ja) 2000-03-28 2001-10-05 Seiko Epson Corp 半導体装置およびその製造方法
JP3492973B2 (ja) 2000-03-30 2004-02-03 株式会社東芝 半導体装置の製造方法
US6316795B1 (en) 2000-04-03 2001-11-13 Hrl Laboratories, Llc Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (ko) 2000-04-12 2002-04-12 최승철 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6593191B2 (en) 2000-05-26 2003-07-15 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6372584B1 (en) 2000-08-01 2002-04-16 Advanced Micro Devices, Inc. Method for making raised source/drain regions using laser
JP2004519090A (ja) 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
KR100373853B1 (ko) 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
JP2002198525A (ja) 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
JP3557457B2 (ja) 2001-02-01 2004-08-25 東北大学長 SiC膜の製造方法、及びSiC多層膜構造の製造方法
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US7108748B2 (en) 2001-05-30 2006-09-19 Asm America, Inc. Low temperature load and bake
US6614695B2 (en) 2001-08-24 2003-09-02 Micron Technology, Inc. Non-volatile memory with block erase
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US6743721B2 (en) 2002-06-10 2004-06-01 United Microelectronics Corp. Method and system for making cobalt silicide
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6657223B1 (en) 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
JP4695824B2 (ja) 2003-03-07 2011-06-08 富士電機ホールディングス株式会社 半導体ウエハの製造方法
US7153772B2 (en) 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
JP2005158786A (ja) 2003-11-20 2005-06-16 Seiko Epson Corp 半導体装置及びその製造方法
US6974730B2 (en) 2003-12-17 2005-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a recessed channel field effect transistor (FET) device
CN100521114C (zh) 2004-01-23 2009-07-29 Nxp股份有限公司 制造单晶发射区的方法
KR20070006852A (ko) 2004-04-23 2007-01-11 에이에스엠 아메리카, 인코포레이티드 인-시츄 도핑된 에피택셜 막
US7135391B2 (en) 2004-05-21 2006-11-14 International Business Machines Corporation Polycrystalline SiGe junctions for advanced devices
KR100607409B1 (ko) 2004-08-23 2006-08-02 삼성전자주식회사 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7226820B2 (en) 2005-04-07 2007-06-05 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
KR100642646B1 (ko) 2005-07-08 2006-11-10 삼성전자주식회사 고진공 화학기상증착 기술을 사용하여 에피택시얼반도체층을 선택적으로 형성하는 방법들 및 이에 사용되는배치형 고진공 화학기상증착 장비들
US7405131B2 (en) 2005-07-16 2008-07-29 Chartered Semiconductor Manufacturing, Ltd. Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
US20070048956A1 (en) 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
CA2649208A1 (en) 2006-05-26 2007-12-06 Airbus Deutschland Gmbh Method for repairing a damaged outer skin region on an aircraft
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US8207023B2 (en) * 2009-08-06 2012-06-26 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch

Also Published As

Publication number Publication date
US20120295427A1 (en) 2012-11-22
US8809170B2 (en) 2014-08-19
TW201250860A (en) 2012-12-16

Similar Documents

Publication Publication Date Title
TWI520227B (zh) 高產率循環磊晶沈積與蝕刻方法
TWI400744B (zh) 含矽與碳磊晶層之形成
JP5295344B2 (ja) シリコン含有膜の選択的堆積
TWI509699B (zh) 通道上工程應變之應變源
TWI383435B (zh) 含矽磊晶層之形成
US8367528B2 (en) Cyclical epitaxial deposition and etch
TWI404123B (zh) 半導體膜之選擇性磊晶成長
US20130320429A1 (en) Processes and structures for dopant profile control in epitaxial trench fill
US20080026149A1 (en) Methods and systems for selectively depositing si-containing films using chloropolysilanes
TW201426818A (zh) 形成三維結構高摻雜含矽材料之選擇性同形覆蓋磊晶方法
US11946157B2 (en) Method for depositing boron containing silicon germanium layers