JP2023500828A - 継ぎ目のない高品質のギャップフィルを可能にする方法 - Google Patents

継ぎ目のない高品質のギャップフィルを可能にする方法 Download PDF

Info

Publication number
JP2023500828A
JP2023500828A JP2022525181A JP2022525181A JP2023500828A JP 2023500828 A JP2023500828 A JP 2023500828A JP 2022525181 A JP2022525181 A JP 2022525181A JP 2022525181 A JP2022525181 A JP 2022525181A JP 2023500828 A JP2023500828 A JP 2023500828A
Authority
JP
Japan
Prior art keywords
fill material
gap fill
hydrogen
oxide gap
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022525181A
Other languages
English (en)
Inventor
アグニュー・ダグラス・ウォルター
アベル・ジョセフ・アール.
ジョン・エリ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023500828A publication Critical patent/JP2023500828A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Dispersion Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【解決手段】材料を高アスペクト比フィーチャに堆積するための方法および装置が本明細書で説明される。方法は、水素含有酸化化学物質を使用して酸化物材料を堆積することを伴う。方法はまた、水素の存在下で堆積された酸化物材料を熱処理し、堆積された酸化物材料内の継ぎ目を除去することを伴い得る。【選択図】 図1

Description

参照による援用
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
多くの半導体デバイス製作プロセスは、酸化ケイ素などの酸化物膜を含む膜を形成することを伴う。酸化ケイ素膜の堆積は、化学気相堆積(CVD)または原子層堆積(ALD)、ならびにプラズマ強化堆積を伴い得るが、場合によっては、高品質の膜を達成することが困難であり得る。これは、ギャップに膜を堆積するときに特定の課題となる可能性がある。
ここで提供される背景の説明は、本開示の内容を概ね提示することを目的とする。この背景技術のセクションで説明される範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。
酸化ケイ素膜を堆積する方法およびシステムが本明細書で開示される。本明細書の実施形態の一態様では、方法が提示され、方法は、水素含有酸化化学物質を使用して、基板の層のパターン化されたフィーチャに酸化物ギャップフィル材料を堆積し、堆積された酸化物ギャップフィル材料は、パターン化されたフィーチャ内に複数の継ぎ目を有し、水素含有化合物および/または酸素含有化合物の存在下で堆積された酸化物ギャップフィル材料を熱処理し、それによって継ぎ目の範囲を低減することを含む。
いくつかの実施形態では、酸化物ギャップフィル材料を堆積することは、原子層堆積(ALD)プロセスによって実行される。いくつかの実施形態では、ALDプロセスは、酸化物ギャップフィル前駆体を流すこと、ならびにH2およびO2を含む酸化化学物質を流すことの1つまたは複数のサイクルを含む。いくつかの実施形態では、酸化化学物質は、N2O、CO2、H2O、またはそれらの組み合わせをさらに含む。いくつかの実施形態では、酸化化学物質を流すときのH2とO2との間の体積流量の比は、約1:10~約1:1である。いくつかの実施形態では、酸化物ギャップフィル前駆体は、アミノシラン、ハロシラン、アルキルシラン、シラン、またはそれらの組み合わせを含む。いくつかの実施形態では、酸化物ギャップフィル材料を堆積することは、化学気相堆積プロセスによって実行される。いくつかの実施形態では、酸化物ギャップフィル材料は、酸化ケイ素である。いくつかの実施形態では、パターン化されたフィーチャのアスペクト比は、約5:1~約80:1である。
いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、少なくとも約400℃の温度で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、約400℃~約850℃の温度で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、H2Oを形成する条件下で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、H2およびO2の存在下で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理する間のH2対O2の体積比は、約10:1~約1:1である。いくつかの実施形態では、酸素含有化合物は、N2O、CO2、H2O、またはそれらの組み合わせを含む。いくつかの実施形態では、水素含有化合物は、プロトン性酸を含む。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理する前の堆積された酸化物ギャップフィル材料内の水素%は、少なくとも約0.1%である。いくつかの実施形態では、層は、ポリSi-SiO2、W-SiO2、SiN-SiO2、SiNO-SiO2、SiCO-SiO2、SiC-SiO2、Ta-SiO2、Ta、Hf、Zr、Ge、GeO2、またはそれらの組み合わせを含む。
本明細書の実施形態の別の態様では、方法が提示され、方法は、第1の層内にパターン化されたフィーチャを有する基板を受け取り、パターン化されたフィーチャに酸化物ギャップフィル材料を堆積し、水素含有化合物および/または酸素含有化合物の存在下で堆積された酸化物ギャップフィル材料を熱処理することを含む。いくつかの実施形態では、酸化物ギャップフィル材料を堆積することは、原子層堆積(ALD)プロセスによって実行される。いくつかの実施形態では、ALDプロセスは、酸化物ギャップフィル前駆体を流すこと、ならびにH2およびO2を含む酸化化学物質を流すことの1つまたは複数のサイクルを含む。いくつかの実施形態では、酸化化学物質は、N2O、CO2、H2O、またはそれらの組み合わせをさらに含む。いくつかの実施形態では、酸化化学物質を流すときのH2とO2との間の体積流量の比は、約1:10~約1:1である。いくつかの実施形態では、酸化物ギャップフィル前駆体は、アミノシラン、ハロシラン、アルキルシラン、シラン、またはそれらの組み合わせを含む。いくつかの実施形態では、酸化物ギャップフィル材料を堆積することは、化学気相堆積プロセスによって実行される。いくつかの実施形態では、酸化物ギャップフィル材料は、酸化ケイ素である。いくつかの実施形態では、パターン化されたフィーチャのアスペクト比は、約5:1~約80:1である。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、少なくとも約400℃の温度で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、約400℃~約850℃の温度で実行される。
いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、H2Oを形成する条件下で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、H2およびO2の存在下で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理する間のH2対O2の体積比は、約10:1~約1:1である。いくつかの実施形態では、酸素含有化合物は、N2O、CO2、H2O、またはそれらの組み合わせを含む。いくつかの実施形態では、水素含有化合物は、プロトン性酸を含む。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理する前の堆積された酸化物ギャップフィル材料内の水素%は、少なくとも約0.1%である。いくつかの実施形態では、層は、ポリSi-SiO2、W-SiO2、SiN-SiO2、SiNO-SiO2、SiCO-SiO2、SiC-SiO2、Ta-SiO2、Ta、Hf、Zr、Ge、GeO2、またはそれらの組み合わせを含む。
本明細書の実施形態の別の態様では、方法が提示され、方法は、基板を熱処理する前に、原子層堆積(ALD)プロセスによって基板のパターン化されたフィーチャに酸化物ギャップフィル材料を堆積することを含み、ALDプロセスは、ギャップフィル前駆体を流すこと、ならびにH2およびO2を含む酸化化学物質を流すことの1つまたは複数のサイクルを含むことを含む。いくつかの実施形態では、酸化物ギャップフィル材料を堆積することは、原子層堆積(ALD)プロセスによって実行される。いくつかの実施形態では、ALDプロセスは、酸化物ギャップフィル前駆体を流すこと、ならびにH2およびO2を含む酸化化学物質を流すことの1つまたは複数のサイクルを含む。いくつかの実施形態では、酸化化学物質は、N2O、CO2、H2O、またはそれらの組み合わせをさらに含む。いくつかの実施形態では、酸化化学物質を流すときのH2とO2との間の体積流量の比は、約1:10~約1:1である。いくつかの実施形態では、酸化物ギャップフィル前駆体は、アミノシラン、ハロシラン、アルキルシラン、シラン、またはそれらの組み合わせを含む。いくつかの実施形態では、酸化物ギャップフィル材料を堆積することは、化学気相堆積プロセスによって実行される。いくつかの実施形態では、酸化物ギャップフィル材料は、酸化ケイ素である。いくつかの実施形態では、パターン化されたフィーチャのアスペクト比は、約5:1~約80:1である。
いくつかの実施形態では、方法は、堆積された酸化物ギャップフィル材料を熱処理することをさらに含む。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、少なくとも約400℃の温度で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、約400℃~約850℃の温度で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、H2Oを形成する条件下で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、H2およびO2の存在下で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理する間のH2対O2の体積比は、約10:1~約1:1である。いくつかの実施形態では、酸素含有化合物は、N2O、CO2、H2O、またはそれらの組み合わせを含む。いくつかの実施形態では、水素含有化合物は、プロトン性酸を含む。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理する前の堆積された酸化物ギャップフィル材料内の水素%は、少なくとも約0.1%である。いくつかの実施形態では、層は、ポリSi-SiO2、W-SiO2、SiN-SiO2、SiNO-SiO2、SiCO-SiO2、SiC-SiO2、Ta-SiO2、Ta、Hf、Zr、Ge、GeO2、Al23、TiO2、NiO、CoO、Co2O、MoO3、HfO、TaO、またはそれらの組み合わせを含む。
本明細書の実施形態の別の態様では、方法が提示され、方法は、酸化物ギャップフィル材料内に継ぎ目を有する酸化物ギャップフィル材料で充填されたパターン化された第1の層を有する基板を受け取り、水素含有化合物および/または酸素含有化合物の存在下で酸化物ギャップフィル材料を熱処理し、それによって継ぎ目の範囲を低減することを含む。いくつかの実施形態では、酸化物ギャップフィル材料は、水素含有酸化物化学物質を使用して堆積される。いくつかの実施形態では、酸化物ギャップフィル材料を堆積することは、原子層堆積(ALD)プロセスによって実行される。いくつかの実施形態では、ALDプロセスは、酸化物ギャップフィル前駆体を流すこと、ならびにH2およびO2を含む酸化化学物質を流すことの1つまたは複数のサイクルを含む。いくつかの実施形態では、酸化化学物質は、N2O、CO2、H2O、またはそれらの組み合わせをさらに含む。いくつかの実施形態では、酸化化学物質を流すときのH2とO2との間の体積流量の比は、約1:10~約1:1である。いくつかの実施形態では、酸化物ギャップフィル前駆体は、アミノシラン、ハロシラン、アルキルシラン、シラン、またはそれらの組み合わせを含む。いくつかの実施形態では、酸化物ギャップフィル材料を堆積することは、化学気相堆積プロセスによって実行される。いくつかの実施形態では、酸化物ギャップフィル材料は、酸化ケイ素である。いくつかの実施形態では、パターン化されたフィーチャのアスペクト比は、約5:1~約80:1である。
いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、少なくとも約400℃の温度で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、約400℃~約850℃の温度で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、H2Oを形成する条件下で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理することは、H2およびO2の存在下で実行される。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理する間のH2対O2の体積比は、約10:1~約1:1である。いくつかの実施形態では、酸素含有化合物は、N2O、CO2、H2O、またはそれらの組み合わせを含む。いくつかの実施形態では、水素含有化合物は、プロトン性酸を含む。いくつかの実施形態では、堆積された酸化物ギャップフィル材料を熱処理する前の堆積された酸化物ギャップフィル材料内の水素%は、少なくとも約0.1%である。いくつかの実施形態では、層は、ポリSi-SiO2、W-SiO2、SiN-SiO2、SiNO-SiO2、SiCO-SiO2、SiC-SiO2、Ta-SiO2、Ta、Hf、Zr、Ge、GeO2、またはそれらの組み合わせを含む。
開示された実施形態のこれらおよび他の特徴は、関連する図面を参照して以下に詳細に説明される。
図1は、堆積プロセス中に形成され得る継ぎ目の図である。
図2は、例示的な実施形態のフローチャートである。
図3は、例示的な実施形態の原子層堆積(ALD)プロセスの別のフローチャートである。
図4は、本明細書に記載の堆積プロセス中に使用され得るマニホールドのブロック図である。
図5は、開示された実施形態による方法を実施するためのプロセスチャンバの例の概略図である。 図6は、開示された実施形態による方法を実施するためのプロセスチャンバの例の概略図である。
半導体製作プロセスは、多くの場合、化学気相堆積(CVD)および/または原子層堆積(ALD)法を使用してフィーチャを充填する誘電体ギャップフィルを含む。限定はしないが、酸化ケイ素を含む誘電体材料でフィーチャを充填する方法、ならびに関連するシステムおよび装置が本明細書で説明される。本明細書に記載の方法は、基板に形成された垂直配向フィーチャを充填するために使用することができる。そのようなフィーチャは、ギャップ、凹状フィーチャ、ネガティブフィーチャ、未充填フィーチャ、または単にフィーチャと呼ばれることがある。そのようなフィーチャの充填は、ギャップフィルと呼ばれることがある。基板に形成されたフィーチャは、狭いおよび/または再入可能な開口部、フィーチャ内の狭窄部、ならびに高アスペクト比の1つまたは複数によって特徴付けることができる。いくつかの実施態様では、フィーチャは、少なくとも約2:1、少なくとも約4:1、少なくとも約6:1、少なくとも約20:1、少なくとも約100:1、またはそれ以上のアスペクト比を有し得る。基板は、誘電体材料、導電性材料、または半導電性材料などの材料の1つまたは複数の層がその上に堆積されたウエハを含む、ケイ素ウエハ、例えば、200mmウエハ、300mmウエハ、または450mmウエハであり得る。
酸化物膜のギャップフィル堆積プロセスは、堆積された膜に継ぎ目を形成する場合がある。膜がフィーチャ内で共形的に成長するにつれて、膜がフィーチャの側壁から各々に向かって成長するときに継ぎ目が膜間の接合部に形成され得る。継ぎ目は、酸化物膜のより密度の低い領域であり、より悪い膜品質に寄与する可能性がある。継ぎ目は、結果として得られる半導体デバイスの故障の可能性を高めるため、一般に望ましくない。
継ぎ目に対処するための本明細書に記載の2つの技術は、水素含有酸化化学物質を使用して酸化物ギャップフィル材料を堆積することと、水素および酸素含有環境で酸化物膜を熱処理またはアニーリングすることとを含む。いくつかの実施形態では、両方の技術を使用することができるが、他の実施形態では、1つの技術のみが使用されてもよい。酸化物ギャップフィル材料、酸化物膜、および酸化物材料は、本明細書では互換的に使用することができる。
図1は、継ぎ目がどのように形成され、かつ除去され得るかを示している。動作110において、基板113は、下層111と、パターン化された層112と、パターン化された層112内のフィーチャ114とを有する。動作120において、酸化物材料128は、基板113上に堆積される。見られるように、継ぎ目126は、フィーチャ114内に形成され得る。酸化物材料128がパターン化された層112の上に堆積するように示されているが、いくつかの実施形態では、パターン化された層112の上部に堆積がなく、酸化物材料128はフィーチャ114内にのみ堆積されることを理解されたい。加えて、継ぎ目126はフィーチャ114内に示されているが、いくつかの実施形態では、継ぎ目126は、パターン化された層112の上部よりも上に延びることができる。さらに、いくつかの実施形態では、下層111およびパターン化された層112は、異なる材料または同じ材料であり得る。いくつかの実施形態では、パターン化された層112は、2つ以上の材料を有する多層スタック、例えばONON(酸化物-窒化物-酸化物-窒化物)スタック、OPOP(ポリケイ素上の酸化ケイ素)スタック、またはOMOMスタック(タングステン、コバルト、またはモリブデンなどの金属上の酸化ケイ素)を含み得、フィーチャ114は、フィーチャの側壁が2つ以上の組成を含むそのような多層基板に形成され得る。いくつかの実施形態では、パターン化された層112は、ポリSi-SiO2、W-SiO2、SiN-SiO2、SiNO-SiO2、SiCO-SiO2、SiC-SiO2、Ta-SiO2、Ta、Hf、Zr、Ge、またはGeO2の1つまたは複数を含み得る。いくつかの実施形態では、パターン化された層112は、他の金属層であってもよい。
動作130において、基板113は、継ぎ目126を低減し、いくつかの実施形態では継ぎ目126を実質的にまたは完全に除去するように熱処理されている。いくつかの実施形態では、熱処理後、酸化物材料138は、継ぎ目を有さない。他の実施形態では、熱処理は継ぎ目の範囲を低減するが、継ぎ目を完全に除去するわけではない。継ぎ目126は、動作130で除去されるものとして示されているが、いくつかの実施形態では、継ぎ目126はサイズが低減されているが、それでもより少ない程度で存在していることを理解されたい。
図2は、本明細書に記載の実施形態のプロセスフロー図200を示している。動作210において、充填されるフィーチャを有する基板は、プロセスチャンバで受け取られる。いくつかの実施形態では、基板は、前の動作からプロセスチャンバ内に残っていてもよく、他の実施形態では、基板は、プロセスチャンバに提供されてもよい。基板は、充填されるパターン化されたフィーチャを有する。いくつかの実施形態では、パターン化されたフィーチャは、約5:1~約100:1の幅と深さとの間のアスペクト比を有し得る。
動作220において、パターン化されたフィーチャは、水素含有酸化化学物質を使用する堆積プロセスによって酸化物材料で充填される。様々な実施形態において、誘電体材料は、酸化ケイ素である。酸化ケイ素は、ALD、プラズマ強化ALD(PEALD)、 CVD、またはプラズマ強化CVD(PECVD)によって堆積され得る。ALDは、連続的な自己制限反応を使用して材料の薄層を堆積する技術である。ALDプロセスは、表面媒介堆積反応を使用して、サイクルで層ごとに膜を堆積する。例として、ALDサイクルは、以下の動作を含み得る。(i)前駆体の送給/吸着、(ii)チャンバからの前駆体のパージ、(iii)第2の反応剤の送給および任意選択のプラズマ点火、ならびに(iv)チャンバからの副生成物のパージ。基板の表面上に膜を形成するための第2の反応剤と吸着された前駆体との間の反応は、膜の組成および性質、例えば不均一性、応力、ウェットエッチング速度、ドライエッチング速度、電気的性質(例えば、絶縁破壊電圧および漏れ電流)などに影響を及ぼす。
ALDプロセスの一例では、表面活性部位の集団を含む基板表面は、基板を収容するチャンバに提供される投与量において、ケイ素含有前駆体などの第1の前駆体の気相分布に曝露される。この第1の前駆体の分子は、第1の前駆体の化学吸着種および/または物理吸着分子を含め、基板表面上に吸着される。化合物が本明細書に記載の基板表面上に吸着されるとき、吸着層は、化合物ならびに化合物の誘導体を含み得ることを理解されたい。例えば、ケイ素含有前駆体の吸着層は、ケイ素含有前駆体ならびにケイ素含有前駆体の誘導体を含み得る。第1の前駆体投与後、次にチャンバを排気して気相に残っている第1の前駆体のほとんどまたはすべてを除去し、吸着種の大部分または吸着種のみが残るようにする。いくつかの実施態様では、チャンバは、完全に排気されない場合がある。例えば、気相中の第1の前駆体の分圧が反応を軽減するのに十分に低くなるように、リアクタを排気することができる。水素および酸素含有ガスなどの第2の反応剤は、これらの分子のいくつかが表面に吸着上された第1の前駆体と反応するようにチャンバに導入される。いくつかのプロセスでは、第2の反応剤は、吸着された第1の前駆体と即座に反応する。他の実施形態では、第2の反応剤は、プラズマなどの活性化源が一時的に適用された後にのみ反応する。次に、チャンバを再び排気し、結合していない第2の反応剤分子を除去することができる。上述のように、いくつかの実施形態では、チャンバは、完全に排気されない場合がある。追加のALDサイクルを使用して、膜の厚さを構築することができる。
いくつかの実施態様では、ALD法は、プラズマ活性化を含む。本明細書で説明されるように、本明細書に記載のALD法および装置は、共形膜堆積(CFD)法であり得、これは2011年4月11日に出願された「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」と題する米国特許出願第13/084,399号(現在は米国特許第8,728,956号)に概して記載されており、参照によりその全体が本明細書に組み込まれる。
図3は、単一のALDサイクルのプロセスフロー図を示している。動作321において、基板は、本明細書に記載されているもののいずれかなどのケイ素含有前駆体に曝露され、前駆体をフィーチャの表面上に吸着させる。様々な実施形態において、この動作は、自己制限的である。いくつかの実施形態では、前駆体は、フィーチャの表面上の活性部位のすべてに吸着するわけではない。動作322において、プロセスチャンバは、任意選択でパージされ、吸着されていないケイ素含有前駆体を除去する。動作323において、基板は、水素含有酸化化学物質に曝露され、プラズマが点火されてフィーチャに第1の酸化ケイ素層を形成する。様々な実施形態において、動作323は、吸着されたケイ素含有前駆体層を酸化ケイ素に変換する。動作324において、プロセスチャンバは、任意選択でパージされ、ケイ素含有前駆体と酸化剤との間の反応から副生成物を除去する。動作321~324は、任意選択で所望に応じて2回以上のサイクルで繰り返され、酸化ケイ素をフィーチャに所望の厚さに堆積することができる。
本明細書に記載のプロセスは、特定の反応機構に限定されないことに留意されたい。したがって、図3に関して説明されたプロセスは、厳密に自己制限的ではないものを含む、ケイ素含有反応剤および酸化プラズマへの連続曝露を使用するすべての酸化物堆積プロセスを含む。プロセスは、プラズマを生成するために使用される1つまたは複数のガスが、断続的なプラズマ点火を伴ってプロセス全体に連続的に流されるシーケンスを含む。さらに、いくつかの実施形態では、記載された化学物質を使用する熱ALDを用いることができる。
酸化ケイ素を堆積するために、1つまたは複数のケイ素含有前駆体を使用することができる。開示された実施形態に従って使用するのに適したケイ素含有前駆体には、ポリシラン(H3Si-(SiH2n-SiH3)が挙げられ、式中、n≧0である。シランの例は、シラン(SiH4)、ジシラン(Si26)、およびオルガノシラン、例えばメチルシラン、エチルシラン、イソプロピルシラン、t-ブチルシラン、ジメチルシラン、ジエチルシラン、ジ-t-ブチルシラン、アリルシラン、sec-ブチルシラン、ヘキシルシラン、イソアミルシラン、t-ブチルジシラン、ジ-t-ブチルジシランなどである。
ハロシランは、少なくとも1つのハロゲン基を含み、水素および/または炭素基を含む場合も含まない場合もある。ハロシランの例は、ヨードシラン、ブロモシラン、クロロシラン、およびフルオロシランである。ハロシラン、特にフルオロシランはプラズマが打たれたときにケイ素材料をエッチングすることができる反応性ハロゲン化物種を形成し得るが、ハロシランは、いくつかの実施形態ではプラズマが打たれたときにチャンバに導入され得ないので、ハロシランからの反応性ハロゲン化物種の形成は軽減され得る。特定のクロロシランは、テトラクロロシラン、トリクロロシラン、ジクロロシラン、モノクロロシラン、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t-ブチルクロロシラン、ジ-t-ブチルクロロシラン、クロロイソプロピルシラン、クロロ-sec-ブチルシラン、t-ブチルジメチルクロロシラン、ヘキシルジメチルクロロシランなどである。
アミノシランは、ケイ素原子に結合した少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、および炭素も含み得る。アミノシランの例は、モノ-、ジ-、トリ-、およびテトラ-アミノシラン(それぞれ、H3Si(NH2)、H2Si(NH22、HSi(NH23、およびSi(NH24)、ならびに置換されたモノ-、ジ-、トリ-、およびテトラ-アミノシラン、例えば、t-ブチルアミノシラン、メチルアミノシラン、tert-ブチルシランアミン、ビス(tert-ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS)、tert-ブチルシリルカルバメート、SiH(CH3)-(N(CH322、SiHCl-(N(CH322、(Si(CH32NH)3などである。アミノシランのさらなる例は、トリシリルアミン(N(SiH3))である。
例示的な酸化化学物質は、酸素ガス、水、二酸化炭素、亜酸化窒素、およびそれらの組み合わせの1つまたは複数を含む。水素は、酸化化合物(例えば、水)に含まれるか、または別個のガスとして含まれ得る。様々な実施形態において、基板は、プラズマが点火されている間に水素含有酸化化学物質および不活性ガスに同時に曝露される。例えば、一実施形態では、水素、酸素、およびアルゴンの混合物が、プラズマが点火されている間に基板に導入される。例示的な不活性ガスは、ヘリウムおよびアルゴンを含む。いくつかの実施形態では、不活性ガスは、プロセスガスを基板に送給するためのキャリアガスとして作用し、チャンバの上流に迂回される。ALDまたはPEALDによる堆積の場合、ケイ素含有前駆体および反応剤は、パルスで連続的に導入され、パージ動作によって分離され得る。
いくつかの実施形態では、水素は、二原子水素(H2)として、または酸化化合物(例えば、水)の一部として酸化化学物質に含まれ得る。いくつかの実施形態では、プロトンを容易に供与する化合物、例えばアルコールおよび/またはカルボン酸などのプロトン性酸を使用することができる。水素または二原子水素が本明細書全体で一般的に使用されるが、二原子水素に加えて、またはその代わりに、プロトンを容易に供与する他の化合物、例えば、アルコールおよび/またはカルボン酸などのプロトン性酸を使用することができることを理解されたい。
ALD、PEALD、CVD、およびPECVDのいずれかの組み合わせが動作220で誘電体材料を堆積するために使用される実施形態では、同じ反応剤および前駆体が両方の技術の間に使用され得る。いくつかの実施形態では、異なる前駆体を技術に応じて選択することができる。例えば、いくつかの実施形態では、ALDは、ハロシランを使用して実施され得、続いてケイ素含有前駆体としてシランを使用するPECVDが実施され得る。いくつかの実施形態では、プラズマは、誘電体材料を堆積するために使用される技術の1つまたは複数の間に点火される。
図2に戻ると、水素含有酸化物化学物質が、動作220において酸化ケイ素などの酸化物ギャップフィル材料をフィーチャに堆積するために使用される。水素含有酸化物化学物質は、水素および酸素、ならびに潜在的に不活性ガスを含み得る。いくつかの実施形態では、酸化物化学物質はまた、亜酸化窒素、二酸化炭素、または水を含み得る。亜酸化窒素、二酸化炭素、および水に加えて、またはその代わりに、一酸化炭素、一酸化窒素、二酸化窒素、硫黄酸化物、二酸化硫黄、および酸素含有炭化水素などの他の酸化剤を使用することができる。酸化化学物質に水素を含めることは、2つの利点を提供し得る。第1に、水素は、ケイ素含有前駆体の酸化からの残りの反応剤または副生成物と反応し、除去速度を増加させる/膜への副生成物の組み込みを減少させることができる。例えば、ジエチルアミンは、BDEASの酸化後、膜の表面近くに留まり得る。堆積膜は酸性であるが、アミンは塩基性である。酸化化学物質における水素は、アミンと反応してアミンを還元し、したがってアミンをより容易に除去することを可能にし得る。
酸化化学物質に水素を加えることの第2の利点は、堆積された酸化物膜の継ぎ目を除去するためのその後の熱処理の有効性を高めることであり得る。水素を酸化化学物質に加えることは、潜在的に水またはヒドロキシル末端シラン種(後者は継ぎ目の形成に寄与し得る)の形で膜の水素含有量を増加させることができる。膜の水分および/またはH含有量を増加させることによって、その後の熱処理が改善される。膜内の水を加熱すると、水および/またはHが酸素と共有結合していない末端シラン種と反応し、継ぎ目を低減することができる。いくつかの実施形態では、余分な水素が継ぎ目でシラン種と反応して化学反応を引き起こし、継ぎ目サイズを低減する共有Si-O結合を形成する可能性がある。
酸化化学物質ガス流中の水素対酸素の比は、約1:10~約1:1のH2:O2であり得る。いくつかの実施形態では、ガス流は、約5slmのH2、約5slmのO2、および約5slmのN2Oであり得る。水素が多すぎると、発熱性の爆発性反応を引き起こす可能性がある。いくつかの実施形態では、動作230の前の堆積された酸化物ギャップフィル材料中の水素%は、少なくとも約0.1%または少なくとも約2%である。
上記のように、酸化物堆積はまた、CVDプロセスによって実行され得る。そのような実施形態では、水素は、膜中の水素含有量を増加させるために、酸化ケイ素堆積プロセスに含まれ得る。ALDまたはCVDプロセスのための酸化物堆積は、約550℃、または約400℃~約650℃で実行することができる。チャンバ圧力は、約3Torr~約12Torrであり得る。堆積中のRF電力は、約0.5~6kWであり得、高周波(13.56MHz)と低周波(480kHz)の両方のRF成分を含み得る。
動作230において、基板は、酸化物膜内の継ぎ目の存在を低減するか、または継ぎ目を除去するために熱処理される。熱処理は、酸化物堆積が発生するのと同じプロセスチャンバ内で、または別個のチャンバ内で実行することができる。熱処理は、アニーリングと呼ばれることもある。熱処理は、基板をアニーリング温度に加熱し、基板を酸素および水素に曝露することを伴う。様々な実施形態において、アニーリング温度は、少なくとも約200℃、約400℃、約500℃、約550℃、または約600℃、最大約850℃または約650℃、または約200℃~約650℃、約400℃~約850℃、または約550℃~約850℃である。いくつかの実施形態では、二原子水素および酸素が使用され、他の実施形態では、水素含有化合物および/または酸素含有化合物が使用され得る。いくつかの実施形態では、水素含有化合物は、プロトン性酸、例えば、アルコールまたはカルボン酸を含み得る。いくつかの実施形態では、酸素含有化合物は、N2O、O2、CO2、および/またはO3を含み得る。いくつかの実施形態では、水は、水素含有化合物と酸素含有化合物の両方として使用されてもよい。
特に、本開示の1つの利点は、堆積中の水素含有酸化化学物質と水素および酸素の存在下での基板の熱処理の組み合わせを使用することによって、より低い温度で継ぎ目を除去することができることである。熱処理中に水素および酸素を使用せずに、基板を約850℃に加熱することによって継ぎ目を除去することができる。水素および酸素を使用せずに600℃で熱処理することは、Arを使用するプラズマアニーリングおよび不活性ガスアニーリングを含み、水素および酸素を使用するのと同じ程度に継ぎ目を除去しなかった。酸水素アニーリングは、約850℃未満の温度、例えば約550℃~約850℃の温度において、プラズマアニーリングまたは不活性ガスアニーリングよりも良好な結果を提供した。特定の理論によって拘束されることなく、より低い許容熱処理温度は、水を形成するための二原子水素および酸素の反応に少なくとも部分的に起因する可能性があり、その結果、水を形成することを可能にするプロセス条件を使用すると、堆積された酸化物膜の継ぎ目を修復する可能性がある。いくつかの実施形態では、熱処理プロセスのために、水素および酸素の代わりに水を使用することができる。
いくつかの実施形態では、アニーリングは、酸素含有化合物を含まずに水素含有化合物を使用して実行することができ、またはその逆を行うことができる。そのような実施形態では、水素または酸素含有化合物は、堆積された膜内で水素および/または酸素と反応し、上記のように、ケイ素と酸素との間の共有結合を促進し得る。いくつかの実施形態では、水素含有または酸素含有化合物は水を形成する可能性があり、これは共有結合を促進し、継ぎ目を低減するのに役立ち得る。水素含有種を含まない、または酸素含有種を含まないアニーリングを含む実施形態は、両方を使用する実施形態と同じまたは同様のプロセス条件下で実行することができる。いくつかの実施形態では、アニーリングは、酸素ラジカルを使用して実行されてもよい。酸素ラジカルは、遠隔プラズマによって生成され、図3に関して上述のようにプロセスチャンバに送給され得る。
いくつかの実施形態では、本明細書に記載のアニーリング処理は、酸化ケイ素膜に加えて他の金属酸化物膜を用いて実行することができる。例えば、本明細書に記載の水素含有および/または酸素含有化合物の存在下でのアニーリングを使用して、継ぎ目を低減し、様々な金属酸化物膜におけるMe-O結合を改善することができる。継ぎ目を低減するためにアニーリングで処理することができる金属酸化物膜には、Ta、Hf、Zr、Ge、W、Mo、Co、Ni、Y、Sn、Ti、またはAl酸化物膜が挙げられる。
いくつかの実施形態では、アニーリングは、酸化物堆積を実行するプロセスチャンバのex-situで行われる。他の実施形態では、アニーリングは、酸化物堆積を実行するのと同じチャンバ内で実行される。熱処理のための水素と酸素の比は、約1:1~約10:1のH2:O2、例えば約5slm:5slmであり得る。プロセスチャンバ圧力は、約15torr~大気圧であり得る。アニーリング温度は、少なくとも約500℃、約600℃、約700℃、または約800℃であり得る。熱処理の持続時間は、約90分、または約30分~約180分である。
いくつかの実施形態では、特定のマニホールドを使用して、バックデフラグレーションを抑制する。これにより、激しい爆発のリスクを低減しながら、より大量の水素を使用することが可能になる。図4は、本明細書に記載の堆積/または熱処理プロセスに使用され得るマニホールドのブロック図を示している。マニホールド403および404は、それぞれ不活性ガスおよび酸素を流すマニホールド406および405の下に位置決めされる。不活性ガスは、マニホールド内の水素と酸素の反応を制御および抑制するために使用される。いくつかの実施形態では、不活性ガスはまた、それぞれマニホールド404および405からの酸素および水素の混合を容易にする。いくつかの実施形態では、不活性ガスは、マニホールド内に望ましくない堆積をもたらす可能性がある、マニホールド403、404、および405のいずれかからの残留ガスがマニホールド内に留まらないことを保証する。清浄ガス403は、NF3またはF2であり得る。マニホールド403~406の各々は、本明細書に記載のプロセスが実行されるプロセスチャンバにつながる。
装置
本明細書に記載の方法は、任意の適切な装置または装置の組み合わせによって実行することができる。適切な装置は、プロセス動作を達成するためのハードウェアと、本開示によるプロセス動作を制御するための命令を有するシステムコントローラとを含む。例えば、いくつかの実施態様では、ハードウェアは、プロセスツールに含まれる1つまたは複数のプロセスステーションを含み得る。本開示では、熱ALD/CVDおよびPEALD/PECVDは、単一のステーション/チャンバ内で実行され得る。
図5は、いくつかの実施態様による、熱ALDを使用してケイ素含有膜を堆積するための例示的なプラズマ処理装置の概略図である。プラズマ装置またはプロセスステーション500aは、低圧環境を維持するためのプラズマ処理チャンバ502を含む。複数のプラズマ装置またはプロセスステーション500aを、一般的な低圧プロセスツール環境に含めることができる。例えば、図6は、マルチステーション処理ツール600の実施態様を図示する。いくつかの実施態様では、プラズマ装置またはプロセスステーション500aの1つまたは複数のハードウェアパラメータ(以下で詳細に説明されるものを含む)は、1つまたは複数のシステムコントローラ550によってプログラム的に調整することができる。プラズマ装置またはプロセスステーション500aは、熱ALDおよびPEALD、熱CVDおよびPEALD、熱ALDおよびPECVD、または熱CVDおよびPECVDを実行するように構成することができる。いくつかの実施態様では、プラズマ装置またはプロセスステーション500aは、1つまたは複数のPEALDサイクルおよび1つまたは複数の熱ALDサイクルを実行し、基板56上に酸化ケイ素膜を堆積するように構成することができる。
装置またはプロセスステーション500aは、プロセスガスを分配シャワーヘッド506に送給するための反応剤送給システム501aと流体連通する。反応剤送給システム501aは、シャワーヘッド506に送給する気相中のケイ素含有前駆体などのプロセスガスをブレンドおよび/または調整するための混合容器504を含む。いくつかの実施態様では、反応剤送給システム501aは、シャワーヘッド506に送給する酸素含有反応剤(例えば、酸素)をブレンドおよび/または調整するための混合容器504を含む。いくつかの実施態様では、反応剤送給システム501aは、シャワーヘッド506に送給する水素および酸素含有反応剤(例えば、酸素)をブレンドおよび/または調整するための混合容器504を含む。1つまたは複数の混合容器入口弁520は、混合容器504へのプロセスガスの導入を制御することができる。酸素含有反応剤のプラズマはまた、シャワーヘッド506に送給され得るか、またはプラズマ装置もしくはプロセスステーション500aで生成され得る。シャワーヘッド506は、ケイ素含有前駆体および反応剤をプラズマ処理チャンバ502に送給するために、プラズマ処理チャンバ502に流体結合されてもよい。
例として、図5の実施態様は、混合容器504に供給される液体反応剤を気化させるための気化ポイント503を含む。いくつかの実施態様では、気化ポイント503は、加熱された気化器であり得る。いくつかの実施態様では、気化ポイント503の下流の送給配管は、ヒートトレースされ得る。いくつかの例では、混合容器504もまた、ヒートトレースされ得る。1つの非限定的な例では、気化ポイント503の下流の配管は、混合容器504において約100℃~約150℃に及ぶ上昇温度プロファイルを有する。いくつかの実施態様では、液体前駆体または液体反応剤は、液体インジェクタで気化されてもよい。例えば、液体インジェクタは、液体反応剤のパルスを混合容器504の上流のキャリアガス流に注入することができる。一実施態様では、液体インジェクタは、液体をより高い圧力からより低い圧力にフラッシュすることによって反応剤を気化させることができる。別の例では、液体インジェクタは、液体を分散した微小液滴に噴霧し、その後、加熱された送給パイプ内で気化させることができる。より小さな液滴は、より大きな液滴よりも速く気化することが可能であり、液体注入と完全な気化との間の遅延を低減する。より速い気化は、気化ポイント503から下流の配管の長さを低減することができる。1つのシナリオでは、液体インジェクタを混合容器504に直接取り付けることができる。別のシナリオでは、液体インジェクタをシャワーヘッド506に直接取り付けることができる。
いくつかの実施態様では、気化されてプラズマ装置またはプロセスステーション500aに送給される液体の質量流量を制御するために、液体流コントローラ(LFC)を気化ポイント503の上流に設けることができる。例えば、LFCは、LFCの下流に位置する熱質量流量計(MFM)を含み得る。次に、LFCのプランジャ弁は、MFMとの電気通信で比例積分微分(PID)コントローラによって提供されるフィードバック制御信号に応答して調整することができる。しかし、フィードバック制御を使用して液体の流れを安定させるのには、1秒以上かかる場合がある。これにより、液体反応剤を投与するための時間が延長される可能性がある。したがって、いくつかの実施態様では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられ得る。いくつかの実施態様では、これは、LFCおよびPIDコントローラのセンスチューブを無効にすることによって実行されてもよい。
シャワーヘッド506は、プロセスガスを基板56に向けて分配する。図5に示す実施態様では、基板56は、シャワーヘッド506の下に位置し、基板支持体508上に静止した状態で示され、基板支持体508は、基板56を支持するように構成される。基板支持体508は、堆積動作中および堆積動作の間で基板56を保持および移送するためのチャック、フォーク、またはリフトピン(図示せず)を含み得る。チャックは、静電チャック、機械的チャック、または産業および/もしくは研究に使用することができる様々な他のタイプのチャックであり得る。シャワーヘッド506は、任意の適切な形状を有することができ、プロセスガスを基板56に分配するための任意の適切な数および配置のポートを有することができる。
いくつかの実施態様では、基板支持体508を上昇または下降させ、基板56を基板56とシャワーヘッド506との間の体積に露出させることができる。いくつかの実施態様では、基板支持体の高さは、適切なシステムコントローラ550によってプログラム的に調整することができることが理解されよう。
別のシナリオでは、基板支持体508の高さを調整することにより、プロセスに含まれるプラズマ活性化サイクル中にプラズマ密度を変化させることができる。処理段階の終わりにおいて、基板支持体508は、別の基板移送段階中に下降され、基板支持体508からの基板56の除去を可能にすることができる。
いくつかの実施態様では、基板支持体508は、ヒータ510を介して高温に加熱されるように構成することができる。いくつかの実施態様では、基板支持体508は、開示された実施態様に記載の酸化ケイ素膜の堆積中、約850℃未満、例えば約500℃~約750℃または約500℃~約650℃の温度に加熱され得る。さらに、いくつかの実施態様では、装置またはプロセスステーション700aについての圧力制御は、バタフライ弁518によって提供され得る。図5の実施態様に示すように、バタフライ弁518は、下流の真空ポンプ(図示せず)によって提供される真空を絞る。しかし、いくつかの実施態様では、プラズマ処理チャンバ502の圧力制御はまた、プラズマ処理チャンバ502に導入される1つまたは複数のガスの流量を変化させることによって調整することができる。いくつかの実施態様では、プラズマ処理チャンバ502内の圧力は、開示された実施態様に記載の酸化ケイ素膜の堆積中、約7Torr以上、約3Torr以上、または約3Torr~10Torrになるように制御され得る。
いくつかの実施態様では、シャワーヘッド506の位置は、基板支持体508に対して調整され、基板56とシャワーヘッド506との間の体積を変化させることができる。さらに、基板支持体508および/またはシャワーヘッド506の垂直位置は、本開示の範囲内の任意の適切な機構によって変更されてもよいことが理解されよう。いくつかの実施態様では、基板支持体508は、基板56の配向を回転させるための回転軸を含んでもよい。いくつかの実施態様では、これらの例示的な調整のうちの1つまたは複数は、1つまたは複数の適切なシステムコントローラ550によってプログラム的に実行することができることが理解されよう。
上述のようにプラズマを使用することができるいくつかの実施態様では、シャワーヘッド506および基板支持体508は、プラズマ処理チャンバ502内のプラズマに電力を供給するために、無線周波数(RF)電源514および整合ネットワーク516と電気的に通信する。いくつかの実施態様では、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングの1つまたは複数を制御することによって制御することができる。例えば、RF電源514および整合ネットワーク516は、任意の適切な電力で動作してラジカル種の所望の組成を有するプラズマを形成することができる。いくつかの実施態様では、RF電源514および整合ネットワーク516は、プラズマ電力をプラズマ処理チャンバ502に適用し、プラズマ処理チャンバ502内の水素および酸素含有反応剤から生成されたプラズマに点火するように動作することができる。RF電源514によって適用される例示的なプラズマ電力は、少なくとも約500W、約6kW以下、または約500W~約6kWであり得る。同様に、RF電源514は、任意の適切な周波数のRF電力を提供することができる。いくつかの実施態様では、RF電源514は、高周波および低周波のRF電源を互いに独立して制御するように構成され得る。例示的な低周波RF周波数は、限定はしないが、0kHz~500kHzの周波数を含み得る。例示的な高周波RF周波数は、限定はしないが、1.8MHz~2.45GHz、または少なくとも約13.56MHz、または少なくとも約27MHz、または少なくとも約40MHz、または少なくとも約60MHzの周波数を含み得る。表面反応に対するプラズマエネルギーを提供するために、任意の適切なパラメータを離散的または連続的に変調することができることが理解されよう。いくつかの実施形態では、他の電極構成を使用して、電力をシャワーヘッド506に提供することができる。
いくつかの実施態様では、プラズマは、1つまたは複数のプラズマモニタによってin-situで監視することができる。1つのシナリオでは、プラズマ電力は、1つまたは複数の電圧、電流センサ(例えば、VIプローブ)によって監視され得る。別のシナリオでは、プラズマ密度および/またはプロセスガス濃度は、1つまたは複数の発光分光センサ(OES)によって測定されてもよい。いくつかの実施態様では、1つまたは複数のプラズマパラメータは、そのようなin-situプラズマモニタからの測定値に基づいてプログラム的に調整することができる。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで使用され得る。いくつかの実施態様では、他のモニタを使用して、プラズマおよび他のプロセス特性を監視することができることが理解されよう。そのようなモニタには、限定はしないが、赤外線(IR)モニタ、音響モニタ、および圧力変換器が挙げられ得る。
いくつかの実施態様では、コントローラ550に対する命令は、入出力制御(IOC)シーケンス命令を介して提供され得る。一例では、プロセス段階に対する条件を設定するための命令は、プロセスレシピの対応するレシピ段階に含まれてもよい。場合によっては、プロセスレシピ段階は、プロセス段階に対するすべての命令がそのプロセス段階と同時に実行されるように、順に配置されてもよい。いくつかの実施態様では、1つまたは複数のリアクタパラメータを設定するための命令がレシピ段階に含まれ得る。例えば、第1のレシピ段階は、不活性ガスおよび/または前駆体ガス(例えば、ケイ素含有前駆体)の流量を設定するための命令、キャリアガス(アルゴンなど)の流量を設定するための命令、ならびに第1のレシピ段階のための時間遅延命令を含んでもよい。第2の後続のレシピ段階は、不活性ガスおよび/または前駆体ガスの流量を変調または停止するための命令、キャリアガスまたはパージガスの流量を変調するための命令、ならびに第2のレシピ段階のための時間遅延命令を含んでもよい。第3のレシピ段階は、酸素などの酸素含有反応剤ガスの流量を変調するための命令、水素ガスの流量を変調するための命令、キャリアガスまたはパージガスの流量を変調するための命令、および第3のレシピ段階のための時間遅延命令を含んでもよい。第4の後続のレシピ段階は、不活性ガスおよび/または反応剤ガスの流量を変調または停止するための命令、キャリアガスまたはパージガスの流量を変調するための命令、ならびに第4のレシピ段階のための時間遅延命令を含んでもよい。第4のレシピは、いくつかの実施態様では、酸素含有反応剤のプラズマに点火するための命令を含んでもよい。これらのレシピ段階は、開示された実施態様の範囲内で任意の適切な方法でさらに細分化および/または反復され得ることが理解されよう。
特定の実施態様では、コントローラ550は、本開示に記載の動作を実施するための命令を有する。例えば、コントローラ550は、以下の動作を実行するための命令で構成され得る。基板56をケイ素含有前駆体に曝露してプラズマ処理チャンバ502内の基板56の表面上に吸着させ、水素および酸素含有反応剤をプラズマ処理チャンバ502内の基板56に向かって流し、基板56を高温に加熱し、水素および酸素含有反応剤は、プラズマ処理チャンバ502内で互いに反応し、酸化ケイ素膜の層は、基板56上に形成される。いくつかの実施態様では、高温は、約500℃~約650℃であり、酸素含有反応剤は、酸素である。いくつかの実施態様では、コントローラ550は、以下の動作を実行するための命令でさらに構成される。プラズマ処理チャンバ502内のPEALDを介して、基板56上に酸化ケイ素膜の1つまたは複数の追加の層を堆積する。いくつかの実施態様では、水素および酸素含有反応剤を流すための命令で構成されるコントローラ550は、以下の動作を実行するための命令で構成される。酸素含有反応剤をプラズマ処理チャンバ502に連続的に流し、水素を一定の間隔でプラズマ処理チャンバ502にパルスする。いくつかの実施態様では、コントローラ550は、図6のシステムコントローラ650に関して以下に説明される特徴のいずれかを含み得る。
図6は、開示された実施態様を実行するための例示的なプロセスツールの概略図である。マルチステーション処理ツール600は、移送モジュール603を含み得る。移送モジュール603は、処理中の基板が様々なリアクタモジュール間を移動するときの基板の汚染リスクを最小化するために、清潔な加圧環境を提供する。移送モジュール603には、この場面では処理チャンバまたはリアクタまたはツールモジュールまたはモジュールと呼ばれるマルチステーションリアクタ607、608、および609が取り付けられている。各リアクタは、PEALD、熱ALD、PECVD、または熱CVDなどの堆積プロセスを実行することが可能である。リアクタ607、608、および609の1つまたは複数は、浸漬/洗浄、プラズマ処理、エッチング、アニーリング、または他の動作を実行することが可能であり得る。リアクタ607、608、および609は、開示された実施態様に従って動作を順次または非順次に実行することができる複数のステーション65、613、615、および617を含むことができる。図示のリアクタ607、608、または609は4つのステーションで図示されているが、本開示によるリアクタは、任意の適切な数のステーションを有し得ることが理解されるであろう。例えば、いくつかの実施態様では、リアクタは5つ以上のステーションを有し得るが、他の実施態様では、リアクタは3つ以下のステーションを有し得る。各ステーションは、PEALD、熱ALD、PECVD、もしくは熱CVDによる堆積のために構成されてもよく、または堆積プロセスの異なる段階のために構成されてもよい。各ステーションは、高温に加熱されるように構成された基板支持体、ならびにガスを送給するためのシャワーヘッドまたはガス入口を含むことができる。
マルチステーション処理ツール600はまた、処理前および処理後の基板を格納する1つまたは複数の基板ソースモジュール601を含む。大気移送チャンバ619内の大気ロボット604は、最初に1つまたは複数の基板ソースモジュール601から基板を取り出してロードロック621へ移送することができる。図示の実施態様はロードロック621を含むが、いくつかの実施態様では、プロセスステーションへの基板の直接の進入が行われてもよいことが理解されよう。移送モジュール603内のロボットアームユニットなどの基板移送デバイス605は、基板をロードロック621からリアクタ607、608、および609に移動させたり、リアクタ間で移動させたりする。これは、加圧(例えば、真空)環境で行うことができる。マルチステーション処理ツール600は、本開示に記載のプロセスの1つまたは複数、ならびに浸漬/洗浄、プラズマ処理、アニーリングなどの他の動作を実行することができる。このようなプロセスは、真空破壊を導入することなく、マルチステーション処理ツール600で実行することができる。
図6はまた、マルチステーション処理ツール600のプロセス条件およびハードウェア状態を制御するために用いられるシステムコントローラ650を含み得る。システムコントローラ650は、1つまたは複数のメモリデバイスと、1つまたは複数の大容量記憶デバイスと、1つまたは複数のプロセッサとを含むことができる。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含み得る。
いくつかの実施態様では、コントローラはシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理装置を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラは、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理動作を実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理動作を設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実行される各処理動作のためのパラメータを特定するものである。パラメータは、実行されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上述のように、ツールによって実行される1つまたは複数のプロセス動作に応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
図6の実施態様に戻ると、いくつかの実施態様では、システムコントローラ650は、マルチステーション処理ツール600のすべての活動を制御する。システムコントローラ650は、大容量記憶デバイスに記憶され、メモリデバイスにロードされ、プロセッサで実行されるシステム制御ソフトウェアを実行する。あるいは、制御論理は、コントローラ650にハードコードされ得る。これらの目的のために、特定用途向け集積回路、プログラマブル論理デバイス(例えば、フィールドプログラマブルゲートアレイ、またはFPGA)などを使用することができる。以下の説明では、「ソフトウェア」または「コード」が使用されている場合は常に、機能的に同等のハードコードされた論理を代わりに使用することができる。システム制御ソフトウェア658は、タイミング、ガスの混合、チャンバ圧力および/またはステーション圧力、チャンバ温度および/またはステーション温度、ウエハ温度、目標電力レベル、RF電力レベル、RF曝露時間、基板台座、チャック位置および/またはサセプタ位置、ならびにマルチステーション処理ツール600によって実行される特定のプロセスの他のパラメータを制御するための命令を含み得る。システム制御ソフトウェアは、任意の適切な方法で構成され得る。例えば、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトは、様々なプロセスツールプロセスを実行するために必要なプロセスツール構成要素の動作を制御するために書かれてもよい。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。
いくつかの実施態様では、システム制御ソフトウェアは、上述の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含み得る。例えば、熱ALDサイクルの各段階またはPEALDサイクルの各段階は、システムコントローラ650によって実行するための1つまたは複数の命令を含み得る。ALDプロセス段階についてのプロセス条件を設定するための命令は、対応するALDレシピ段階に含まれ得る。いくつかの実施態様では、ALDレシピ段階は、ALDプロセス段階についてのすべての命令がそのプロセス段階と同時に実行されるように、順に配置され得る。
いくつかの実施態様では、システムコントローラ650に関連する大容量記憶デバイスおよび/またはメモリデバイスに記憶された他のコンピュータソフトウェアおよび/またはプログラムが用いられてもよい。この目的のためのプログラムの例またはプログラムのセクションの例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムを含む。
基板位置決めプログラムは、基板を台座にロードし、基板とマルチステーション処理ツール600の他の部分との間の間隔を制御するために使用されるプロセスツール構成要素についてのプログラムコードを含むことができる。
プロセスガス制御プログラムは、プロセスステーション内の圧力を安定させるために、ガス組成および流量を制御するためのコード、ならびに任意選択で、堆積前にガスを1つまたは複数のプロセスステーションに流すためのコードを含むことができる。いくつかの実施態様では、コントローラは、プラズマ処理チャンバ内で熱ALDによって第1の酸化ケイ素層を堆積し、同じプラズマ処理チャンバ内でPEALDによって第2の酸化ケイ素層を堆積するための命令を含む。いくつかの実施態様では、コントローラは、ケイ素含有前駆体を投与段階で基板に送給し、水素および酸素を熱酸化段階で基板に向かって並流させることによって酸化ケイ素の層を堆積するための命令を含む。
圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガス流などを調節することによってプロセスステーション内の圧力を制御するためのコードを含み得る。いくつかの実施態様では、コントローラは、酸化ケイ素層の熱ALDを実施する前に、プラズマ処理チャンバ内のチャンバ圧力を少なくとも約3Torrにするための命令を含む。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことができる。あるいは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の送給を制御することができる。特定の実施態様では、コントローラは、熱ALDサイクルの熱酸化段階中に基板を高温に加熱するための命令を含み、高温は、約400℃~約650℃である。
プラズマ制御プログラムは、本明細書の実施態様による1つまたは複数のプロセスステーションにおけるRF電力レベルおよび曝露時間を設定するためのコードを含むことができる。いくつかの実施態様では、コントローラは、水素および酸素が並流されているときの熱ALDサイクルの熱酸化段階中、約10W~約200WのRF電力レベルでプラズマを点火するための命令を含む。
いくつかの実施態様では、システムコントローラ650に関連するユーザインターフェースが存在してもよい。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含むことができる。
いくつかの実施態様では、システムコントローラ650によって調整されたパラメータは、プロセス条件に関係するものであってもよい。非限定的な例として、プロセスガス組成および流量、温度、圧力、プラズマ条件(RF電力レベルおよび曝露時間など)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインターフェースを利用して入力することができる。
プロセスを監視するための信号は、様々なプロセスツールセンサからシステムコントローラ650のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、マルチステーション処理ツール600のアナログおよびデジタル出力接続で出力することができる。監視することができるプロセスツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサからのデータと共に使用して、プロセス条件を維持することができる。
システムコントローラ650は、上述の堆積プロセスを実行するためのプログラム命令を提供することができる。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度、ガス流組成、流量などのような様々なプロセスパラメータを制御することができる。命令は、本明細書に記載の様々な実施態様に従って、酸化ケイ素膜の熱ALDまたは熱CVDを動作させるためのパラメータを制御することができる。
システムコントローラ650は、典型的には、装置が開示された実施態様による方法を実行するように、命令を実行するように構成された1つまたは複数のメモリデバイスおよび1つまたは複数のプロセッサを含む。開示された実施形態によるプロセス動作を制御するための命令を含む機械可読非一時的媒体は、システムコントローラに結合され得る。
上述の様々なハードウェアおよび方法の実施態様は、例えば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの製作または製造のために、リソグラフィパターニングツールまたはプロセスと併せて使用されてもよい。典型的には、必須ではないが、そのようなツール/プロセスは、共通の製作施設で共に使用または実行される。
結論
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実施されてもよいことは明らかであろう。本明細書に開示される実施形態は、これらの具体的な詳細の一部または全部なしで実践することができる。他の例では、開示された実施形態を不必要に曖昧にしないように、周知のプロセス動作は詳細に説明されていない。さらに、開示された実施形態は特定の実施形態と併せて説明されるが、特定の実施形態は、開示された実施形態を限定することを意図していないことが理解されるであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、それらの実施形態は本明細書に述べられる詳細に限定されるべきではない。

Claims (22)

  1. 水素含有酸化化学物質を使用して、基板の層のパターン化されたフィーチャに酸化物ギャップフィル材料を堆積し、前記堆積された酸化物ギャップフィル材料は、前記パターン化されたフィーチャ内に複数の継ぎ目を有し、
    水素含有化合物および/または酸素含有化合物の存在下で前記堆積された酸化物ギャップフィル材料を熱処理し、それによって前記継ぎ目の範囲を低減すること
    を備える、方法。
  2. 請求項1に記載の方法であって、
    前記酸化物ギャップフィル材料を堆積することは、原子層堆積(ALD)プロセスによって実行される、方法。
  3. 請求項2に記載の方法であって、
    前記ALDプロセスは、
    酸化物ギャップフィル前駆体を流すこと、ならびに
    2およびO2を含む酸化化学物質を流すこと
    の1つまたは複数のサイクルを含む、方法。
  4. 請求項3に記載の方法であって、
    前記酸化化学物質は、N2O、CO2、H2O、またはそれらの組み合わせをさらに含む、方法。
  5. 請求項3に記載の方法であって、
    前記酸化化学物質を流すときのH2とO2との間の体積流量の比は、約1:10~約1:1である、方法。
  6. 請求項3に記載の方法であって、
    前記酸化物ギャップフィル前駆体は、アミノシラン、ハロシラン、アルキルシラン、シラン、またはそれらの組み合わせを含む、方法。
  7. 請求項1に記載の方法であって、
    前記酸化物ギャップフィル材料を堆積することは、化学気相堆積プロセスによって実行される、方法。
  8. 請求項1に記載の方法であって、
    前記酸化物ギャップフィル材料は、酸化ケイ素である、方法。
  9. 請求項1に記載の方法であって、
    前記パターン化されたフィーチャのアスペクト比は、約5:1~約80:1である、方法。
  10. 請求項1に記載の方法であって、
    前記堆積された酸化物ギャップフィル材料を熱処理することは、少なくとも約400℃の温度で実行される、方法。
  11. 請求項1に記載の方法であって、
    前記堆積された酸化物ギャップフィル材料を熱処理することは、約400℃~約850℃の温度で実行される、方法。
  12. 請求項1から11のいずれか一項に記載の方法であって、
    前記堆積された酸化物ギャップフィル材料を熱処理することは、H2Oを形成する条件下で実行される、方法。
  13. 請求項1から11のいずれか一項に記載の方法であって、
    前記堆積された酸化物ギャップフィル材料を熱処理することは、H2およびO2の存在下で実行される、方法。
  14. 請求項13に記載の方法であって、
    前記堆積された酸化物ギャップフィル材料を熱処理する間のH2対O2の体積比は、約10:1~約1:1である、方法。
  15. 請求項1から11のいずれか一項に記載の方法であって、
    前記酸素含有化合物は、N2O、CO2、H2O、またはそれらの組み合わせを含む、方法。
  16. 請求項1から11のいずれか一項に記載の方法であって、
    前記水素含有化合物は、プロトン性酸を含む、方法。
  17. 請求項1から11のいずれか一項に記載の方法であって、
    前記堆積された酸化物ギャップフィル材料を熱処理する前の前記堆積された酸化物ギャップフィル材料内の水素%は、少なくとも約0.1%である、方法。
  18. 請求項1から11のいずれか一項に記載の方法であって、
    前記層は、ポリSi-SiO2、W-SiO2、SiN-SiO2、SiNO-SiO2、SiCO-SiO2、SiC-SiO2、Ta-SiO2、Ta、Hf、Zr、Ge、GeO2、Al23、TiO2、NiO、CoO、Co2O、MoO3、HfO、TaO、またはそれらの組み合わせを含む、方法。
  19. 第1の層内にパターン化されたフィーチャを有する基板を受け取り、
    前記パターン化されたフィーチャに酸化物ギャップフィル材料を堆積し、
    水素含有化合物および/または酸素含有化合物の存在下で前記堆積された酸化物ギャップフィル材料を熱処理すること
    を備える、方法。
  20. 基板を熱処理する前に、原子層堆積(ALD)プロセスによって前記基板のパターン化されたフィーチャに酸化物ギャップフィル材料を堆積することを備え、前記ALDプロセスは、
    ギャップフィル前駆体を流すこと、ならびに
    2およびO2を含む酸化化学物質を流すこと
    の1つまたは複数のサイクルを含むこと
    を含む、方法。
  21. 酸化物ギャップフィル材料内に継ぎ目を有する前記酸化物ギャップフィル材料で充填されたパターン化された第1の層を有する基板を受け取り、
    水素含有化合物および/または酸素含有化合物の存在下で前記酸化物ギャップフィル材料を熱処理し、それによって前記継ぎ目の範囲を低減すること
    を備える、方法。
  22. 請求項21に記載の方法であって、
    前記酸化物ギャップフィル材料は、水素含有酸化物化学物質を使用して堆積される、方法。
JP2022525181A 2019-10-29 2020-10-29 継ぎ目のない高品質のギャップフィルを可能にする方法 Pending JP2023500828A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962927549P 2019-10-29 2019-10-29
US62/927,549 2019-10-29
PCT/US2020/057991 WO2021087132A1 (en) 2019-10-29 2020-10-29 Methods to enable seamless high quality gapfill

Publications (1)

Publication Number Publication Date
JP2023500828A true JP2023500828A (ja) 2023-01-11

Family

ID=75714698

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022525181A Pending JP2023500828A (ja) 2019-10-29 2020-10-29 継ぎ目のない高品質のギャップフィルを可能にする方法

Country Status (5)

Country Link
US (1) US20220384186A1 (ja)
JP (1) JP2023500828A (ja)
KR (1) KR20220087551A (ja)
CN (1) CN114868234A (ja)
WO (1) WO2021087132A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115278575B (zh) * 2022-09-26 2023-03-14 深圳国人无线通信有限公司 实现电梯移动网络信号覆盖的方法和系统

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8372744B2 (en) * 2007-04-20 2013-02-12 International Business Machines Corporation Fabricating a contact rhodium structure by electroplating and electroplating composition
US9406544B1 (en) * 2015-06-12 2016-08-02 Lam Research Corporation Systems and methods for eliminating seams in atomic layer deposition of silicon dioxide film in gap fill applications
CN110892505B (zh) * 2017-07-12 2023-05-16 应用材料公司 用于硅间隙填充的循环保形沉积/退火/蚀刻
US11114333B2 (en) * 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film

Also Published As

Publication number Publication date
US20220384186A1 (en) 2022-12-01
KR20220087551A (ko) 2022-06-24
CN114868234A (zh) 2022-08-05
WO2021087132A1 (en) 2021-05-06

Similar Documents

Publication Publication Date Title
CN109937467B (zh) 用于高模数ALD SiO2间隔物的方法
JP7022537B2 (ja) プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR102273916B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
CN105990108B (zh) 超薄原子层沉积膜厚度的精密控制
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
JP2023519849A (ja) シーム軽減、およびギャップ充填用一体化ライナー
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
KR20210150606A (ko) 변조된 원자 층 증착
US20230154754A1 (en) Loss prevention during atomic layer deposition
US20220384186A1 (en) Methods to enable seamless high quality gapfill
US20230220544A1 (en) In-feature wet etch rate ratio reduction
WO2023076524A1 (en) Atomic layer deposition seam reduction
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
TW202418351A (zh) 表面抑制原子層沉積
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition