CN110892505B - 用于硅间隙填充的循环保形沉积/退火/蚀刻 - Google Patents

用于硅间隙填充的循环保形沉积/退火/蚀刻 Download PDF

Info

Publication number
CN110892505B
CN110892505B CN201880046268.5A CN201880046268A CN110892505B CN 110892505 B CN110892505 B CN 110892505B CN 201880046268 A CN201880046268 A CN 201880046268A CN 110892505 B CN110892505 B CN 110892505B
Authority
CN
China
Prior art keywords
amorphous silicon
substrate
silicon film
features
annealed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880046268.5A
Other languages
English (en)
Other versions
CN110892505A (zh
Inventor
程睿
杨奕
A·B·玛里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110892505A publication Critical patent/CN110892505A/zh
Application granted granted Critical
Publication of CN110892505B publication Critical patent/CN110892505B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • H01L21/32155Doping polycristalline - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3247Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering for altering the shape, e.g. smoothing the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Abstract

提供了用于无接缝和空隙的间隙填充方法,诸如用非晶硅对高纵横比的沟槽进行间隙填充。一种方法通常包括:在其上具有一个或多个特征的半导体器件上沉积非晶硅;将所述沉积的非晶硅退火以使所述一个或多个特征之间的所述沉积的非晶硅中的一个或多个接缝修复;以及蚀刻所述经退火的非晶硅以去除所述一个或多个特征之间的所述经退火的非晶硅中的一个或多个空隙。通常,将沉积、退火和蚀刻工艺重复任何合适的次数以实现非晶硅间隙填充,而在一个或多个特征之间没有任何接缝或空隙。

Description

用于硅间隙填充的循环保形沉积/退火/蚀刻
背景技术
技术领域
本公开内容的实施方式总的来说涉及半导体制造工艺,并且更具体地涉及用于用非晶硅膜对半导体器件的高纵横比沟槽进行间隙填充并且以形成无接缝或空隙的半导体器件的方法。
相关技术的描述
对于许多半导体器件制造工艺,需要填充具有大于例如10:1的高纵横比的窄沟槽。此类工艺的一个示例是浅沟槽隔离(STI),其中膜需要具有高品质并在整个沟槽中具有非常低的泄漏。随着半导体器件结构尺寸的不断减小和纵横比的增大,后固化工艺变得越来越困难,并且导致在整个经填充的沟槽中膜具有变化的成分。
常规上,已将非晶硅(a-Si)用于半导体制造工艺中,因为a-Si通常相对于诸如氧化硅(SiO)和非晶碳(aC)等的其他膜提供良好的蚀刻选择性。然而,诸如等离子体增强化学气相沉积(PECVD)和保形沉积等的常规a-Si沉积方法不能用于对高纵横比沟槽进行间隙填充,常规a-Si沉积方法的沉积速率通常朝向沟槽顶部较高并且朝向沟槽底部较低,并且由于沉积速率不均匀,在高纵横比沟槽之间形成接缝。接缝在后固化工艺中进一步打开,并最终导致降低的产量,或者甚至半导体器件故障。接缝常规地通过热退火来修复。然而,热退火通常导致沟槽内部沉积的ids的收缩。
因此,需要用于对半导体器件的高纵横比沟槽进行间隙填充的方法,所述方法可提供无接缝和空隙的膜生长。
发明内容
提供了用于无接缝和空隙的间隙填充的方法,诸如用非晶硅对高纵横比的沟槽进行间隙填充。一种方法通常包括:在其上具有一个或多个特征的半导体器件上沉积非晶硅;将所述沉积的非晶硅退火以使所述一个或多个特征之间的所述沉积的非晶硅中的一个或多个接缝修复;以及蚀刻所述经退火的非晶硅以去除所述一个或多个特征之间的所述经退火的非晶硅中的一个或多个空隙。通常,将沉积、退火和蚀刻工艺重复任何合适的次数以实现非晶硅间隙填充,而在一个或多个特征之间没有任何接缝或空隙。
在一个实施方式中,公开了一种用于制造半导体器件的方法。所述方法包括:将基板定位在工艺腔室中,所述基板具有形成在所述基板的表面中的一个或多个特征,所述一个或多个特征中的每一个具有侧壁和底表面;在具有一个或多个特征的所述基板上沉积非晶硅膜;对所述非晶硅膜进行退火;以及蚀刻所述非晶硅膜的一部分。
在另一个实施方式中,公开了一种用于制造半导体器件的方法。所述方法包括:将基板定位在高压腔室中,所述基板具有形成在所述基板的表面中的一个或多个高纵横比沟槽,所述一个或多个高纵横比沟槽中的每一个具有侧壁和底表面;在所述一个或多个高纵横比沟槽中沉积非晶硅膜;对所述非晶硅膜进行退火以使形成在所述一个或多个高纵横比沟槽中的一个或多个接缝修复;以及蚀刻所述非晶硅膜到低于所述基板的顶表面的距离,所述距离等于所述基板的所述顶表面与所述一个或多个特征中的一个或多个空隙的最低处的底部之间的距离。
在又一实施方式中,公开了一种用于制造半导体器件的方法。所述方法包括将基板定位在第一腔室中,所述基板具有形成在所述基板的表面中的一个或多个特征,所述一个或多个特征中的每一个具有侧壁和底表面;在所述第一腔室中在具有一个或多个特征的所述基板上沉积材料;在所述第一腔室中对所述材料进行退火以使所述材料中的一个或多个接缝修复;将具有一个或多个特征的所述基板转移到第二腔室中;以及蚀刻所述经退火的材料的一部分以去除所述经退火的材料中的一个或多个空隙。
附图说明
为了能够详细地理解本公开内容的上述特征,可以参考实施方式来对以上简要概述的公开内容进行更具体的描述,所述实施方式中的一些在附图中示出。然而,应当注意,附图仅示出了示例性实施方式,并因此不应被认为是对公开内容的范围的限制,并且可允许其他等效的实施方式。
图1是概述根据本公开内容的实施方式的方法的流程图。
图2A至图2E描绘了根据本公开内容的实施方式的半导体器件的制造的阶段。
图3是根据本公开内容的实施方式的用于执行方法的设备的示意图。
为了便于理解,已经尽可能使用相同的附图标记来表示附图共有的相同元件。预期到的是,一个实施方式的元件和特征可以有益地并入其他的实施方式中,而无需进一步叙述。
具体实施方式
提供了用于无接缝和空隙的间隙填充的方法,诸如用非晶硅对高纵横比沟槽进行间隙填充。一种方法通常包括:在其上具有一个或多个特征的半导体器件上沉积非晶硅;将所述沉积的非晶硅退火以使所述一个或多个特征之间的所述沉积的非晶硅中的一个或多个接缝修复;以及蚀刻所述经退火的非晶硅以去除所述一个或多个特征之间的所述经退火的非晶硅中的一个或多个空隙。通常,将沉积、退火和蚀刻工艺重复任何合适的次数以实现非晶硅间隙填充,而在一个或多个特征之间没有任何接缝或空隙。
下面的描述将以用非晶硅对在基板上形成的高纵横比沟槽进行间隙填充作为示例。然而,本文描述的方法通常可用于用任何材料来对任何器件特征进行间隙填充或从沉积的材料去除接缝和/或空隙。通常,“特征”是指任何故意的表面不规则。特征通常具有任何合适的形状,包括但不限于过孔、沟槽、线、接触孔、通孔,或半导体、太阳能或其他电子器件中使用的其他特征定义,诸如高比率接触插塞。
在本文中用作示例的沟槽通常具有顶部和两个侧壁,并且形成在峰之间,所述峰通常具有顶部和两个侧壁。特征可具有任何合适的纵横比,所述纵横比为特征的深度与特征的宽度的比率。在一些示例中,高纵横比沟槽是具有的纵横比大于或等于约5:1、10:1、15:1、25:1、30:1、35:1或40:1的沟槽。
图1是流程图,其概述了根据本公开内容的实施方式的用非晶硅膜对器件特征进行间隙填充的方法100。图2A至图2E描绘了例如根据方法100的根据本公开内容的实施方式的半导体器件200的制造的阶段。因此,作为示例,下面根据图2A至图2E所示的用非晶硅膜对半导体器件200的高纵横比沟槽进行间隙填充的阶段来描述方法100。
方法100在操作110处通过以下步骤开始:将基板202定位到一位置或环境(诸如工艺腔室)中以供进一步处理,所述基板202具有形成在层206(诸如含硅层或含碳层)中的一个或多个特征204(示出为两个高纵横比沟槽),如图2A所示。基板202通常是用于处理的任何合适的基板,包括但不限于硅(Si)和/或锗(Ge)基板,并且可以包括其他元素,诸如氧(O)、氮(N)和碳(C)。
如图2A所示,一个或多个特征204通常包括第一侧壁210、第二侧壁212和底部214。第一侧壁210和第二侧壁212限定一个或多个特征204的宽度(W)。一个或多个特征的高度(H)通常是从层206的顶表面208到层206的底表面216。虽然图2A示出了两个特征,但是本公开内容进一步预期到基板202可具有一个或多于一个特征204。
在操作120处,将材料218沉积在基板202的一个或多个特征204上以填充一个或多个特征204,如图2B所示。通常通过任何合适的沉积工艺来沉积材料218。合适的沉积工艺的示例包括但不限于化学气相沉积(CVD)、等离子体增强CVD(PECVD),原子层沉积(ALD)和等离子体增强的ALD(PEALD)。在一个示例中,通过热CVD来沉积材料218。
在一个实施方式中,材料218是非晶硅膜,并且沉积材料218包括将基板202的一个或多个特征204暴露于硅前驱物。合适的硅的示例包括但不限于以下中的一种或多种:硅烷(SiH4)、乙硅烷(H6Si2)、二氯硅烷(DCS)、丙硅烷(H8Si3)和丁硅烷(Si4H10)。硅前驱物可任选地在热罐中被加热以增大蒸汽压力,然后被输送到工艺腔室以使用超高纯(UHP)氩(Ar)载气来进行沉积。通常与硅前驱物共同引入的其他合适的载气包括但不限于氦(He)和氢气(H2)。
在另一实施方式中,材料218是通过以下步骤而沉积的经掺杂的非晶硅膜:将基板202的一个或多个特征204暴露于掺杂前驱物以及硅前驱物。通过掺杂非晶硅,通常降低了用于后续处理操作(诸如蚀刻经掺杂的a-Si层)的温度。掺杂剂的示例包括但不限于硼(B)、磷(P)、镓(Ga)、锡(Tin)、砷(As)、锗(Ge)、碳(C)、氮(N)和锑(Sb)。合适的掺杂前驱物的示例包括但不限于二甲胺硼烷[NH(CH3)2BH3](DMAS)、乙硼烷(B2H6)、锗烷(GeH4)和膦(PH3)
在基板202的一个或多个特征204上沉积材料218通常发生在介于约150摄氏度(℃)与约500℃之间的温度下。可在有或没有电容耦合等离子体(CCP)或电感耦合远程等离子体(ICP)的情况下执行沉积。在沉积工艺期间,工艺腔室中的压力通常介于约100毫托(mTorr)与约350托(Torr)之间。
如上所讨论的,在材料218的沉积期间,通常在一个或多个特征204中形成一个或多个接缝220。如果保持未被处理,则一个或多个接缝220通常在半导体器件200的进一步处理期间打开,这导致有缺陷的器件性能。
在操作130处,对沉积的材料218进行退火以使一个或多个接缝220修复。在一个实施方式中,通过原位热退火工艺对材料218进行退火。退火期间的温度通常介于约400℃与约1100℃之间。所述退火工艺可以在任何适当时间量内执行,执行达例如介于约0.1秒与约5小时之间。用于退火工艺的气体环境通常包括H2、Ar、He和氮气(N2)中的一种或多种。在退火期间,工艺腔室压力通常在约100毫托与约1个大气压(atm)之间。
在另一实施方式中,一个或多个接缝220使用等离子体处理而被修复。在又一实施方式中,一个或多个接缝220用电子束处理而被修复。在进一步实施方式中,一个或多个接缝220使用使非晶硅的硅(Si)原子回流的任何合适工艺而被修复。
通常,如图2C所示,在退火过程期间在材料218中形成一个或多个空隙222(作为示例示出了三个)。例如,基板202或层206的任何表面张力通常导致在材料218中形成一个或多个空隙222。像一个或多个接缝220一样,如果一个或多个空隙222没有被部分地或完全地去除,则半导体器件200的性能通常受到负面影响。
在操作140处,蚀刻经退火的材料218的至少一部分以去除一个或多个空隙222,如图2D所示。在一个实施方式中,蚀刻经退火的材料218的一部分包括将基板202的一个或多个特征204上的材料218暴露于蚀刻剂。将材料218向下蚀刻到一个或多个特征204中低于基板202的层206的顶表面208的一定距离处,所述距离等于顶表面208与一个或多个特征204中的一个或多个空隙222的最低处的底部之间的距离。通过将材料218的一部分蚀刻至等于或低于一个或多个空隙222的最低处的底部的距离,将一个或多个空隙222在随后沉积附加材料218或另一材料之前去除。
蚀刻工艺通常是任何合适的蚀刻工艺,包括但不限于:热蚀刻、或用电容耦合等离子体(CCP)或电感耦合等离子体(ICP)进行的等离子蚀刻。合适的蚀刻剂的示例包括但不限于三氟化氮(NF3)、氯气(Cl2)、盐酸(HCl)、溴化氢(HBr)、六氟-2-丁炔(C4F6),四氟乙烯(C2F4)、H2、Ar、He和N2中的一个或多个。
通常将操作120、操作130和操作140重复任何合适的次数,以用材料218来填充一个或多个特征204,而没有任何接缝或空隙或具有减少的接缝或空隙,如图2E所示。
图3是用于执行根据本文所述实施方式的方法的设备300的示意图。更具体地,设备300是用于根据上述方法制造半导体器件的群集工具。在设备300的中心处是转移腔室310。在转移腔室310中是基板转移机构312。基板转移机构312将基板分别从第一工艺腔室330、第二工艺腔室340或第三工艺腔室350中的一个转移至负载锁定腔室320,并且将基板分别从负载锁定腔室320转移至第一工艺腔室330、第二工艺腔室340或第三工艺腔室350中的一个。第一工艺腔室330、第二工艺腔室340和第三工艺腔室350连接到转移腔室310。负载锁定腔室320通过基板对准腔室322连接到转移腔室310。如图3所示,设备300包括三个工艺腔室。然而,设备300通常包括任何合适数量的腔室。
在一个实施方式中,第一工艺腔室330是沉积腔室,第二工艺腔室340是适用于执行退火工艺的腔室,并且第三工艺腔室350是蚀刻腔室。合适的腔室包括可从加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,inc.of Santa Clara,California)获得的腔室,诸如
Figure BDA0002362408330000051
腔室以及
Figure BDA0002362408330000052
腔室。操作120通常在第一工艺腔室330中发生。在一个实施方式中,在操作130处将基板202保留在第一工艺腔室330中进行退火工艺。在另一实施方式中,在操作130处将基板202转移到第二工艺腔室340进行退火工艺。然后,通常在操作140处将基板202转移到第三工艺腔室350以进行蚀刻工艺。
用于执行本文公开的操作的工艺腔室可从加利福尼亚州圣克拉拉市的应用材料公司获得。然而,预期的是也可以使用其他的工艺腔室,包括来自其他制造商的工艺腔室,并且可以从本公开内容的各方面受益。
本公开内容的实施方式提供了用于半导体器件特征的无接缝和空隙的间隙填充,诸如用于高纵横比沟槽的非晶硅间隙填充。由于间隙填充是无接缝和空隙的,或者包括减少的数量的接缝和空隙,所以改进了半导体器件的整体性能。
虽然前述内容是针对本公开内容的实施方式,但是可以在不脱离本公开内容的基本范围的情况下设计出本公开内容的其他和进一步的实施方式,并且本公开内容的所述范围由所附的权利要求来确定。

Claims (20)

1.一种用于制造半导体器件的方法,所述方法包括:
将基板定位在工艺腔室中,所述基板具有形成在所述基板的表面中的一个或多个特征,所述一个或多个特征中的每一个具有侧壁和底表面;
在具有一个或多个特征的所述基板上沉积非晶硅膜;
对沉积的非晶硅膜进行退火以使形成在所述一个或多个特征中的一个或多个接缝修复;以及
蚀刻经退火的非晶硅膜的一部分以去除所述一个或多个特征中的所述经退火的非晶硅膜中形成的一个或多个空隙。
2.根据权利要求1所述的方法,其中所述一个或多个特征为具有大于或等于5:1的纵横比的沟槽。
3.根据权利要求1所述的方法,其中所述沉积非晶硅膜包括:将所述基板暴露于硅前驱物。
4.根据权利要求3所述的方法,所述方法还包括:
将所述基板暴露于硼、磷、镓、锡、砷、锗、碳、氮、锑或铟前驱物。
5.根据权利要求3所述的方法,其中所述硅前驱物选自由以下项组成的群组:硅烷、乙硅烷、二氯硅烷、丙硅烷和丁硅烷。
6.根据权利要求1所述的方法,其中所述沉积非晶硅膜发生在介于150摄氏度与500摄氏度之间的温度和介于100毫托与350托之间的压力下。
7.根据权利要求1所述的方法,其中所述对所述沉积的非晶硅膜进行退火发生在介于400摄氏度与1100度之间的温度和介于100毫托与1atm之间的压力下。
8.根据权利要求1所述的方法,其中所述蚀刻所述经退火的非晶硅膜的一部分包括:使所述非晶硅膜暴露于蚀刻剂,所述蚀刻剂包括NF3、Cl2、HCl、HBr、C4F6、C2F4、H2、Ar、He和N2中的一种或多种。
9.根据权利要求1所述的方法,其中所述蚀刻所述经退火的非晶硅膜的所述一部分还包括:使所述经退火的非晶硅膜凹陷低于所述基板的顶表面的距离,所述距离等于所述基板的所述顶表面与所述一个或多个特征中的所述一个或多个空隙的最低处的底部之间的距离。
10.一种用于制造半导体器件的方法,所述方法包括:
将基板定位在工艺腔室中,所述基板具有形成在所述基板的表面中的一个或多个高纵横比沟槽,所述一个或多个高纵横比沟槽中的每一个具有侧壁和底表面;
在所述一个或多个高纵横比沟槽中沉积非晶硅膜;
对沉积的非晶硅膜进行退火以使形成在所述高纵横比沟槽中的一个或多个接缝修复;以及
将经退火的非晶硅膜蚀刻至低于所述基板的顶表面的距离,所述距离等于所述基板的所述顶表面与所述一个或多个高纵横比沟槽中的一个或多个空隙的最低处的底部之间的距离。
11.根据权利要求10所述的方法,其中所述非晶硅膜被掺杂。
12.根据权利要求11所述的方法,其中所述沉积非晶硅膜包括:
使所述基板暴露于硅前驱物;以及
使所述基板暴露于硼、磷、镓、锡、砷、锗、碳、氮、锑或铟前驱物。
13.根据权利要求12所述的方法,其中所述沉积非晶硅膜发生在介于150摄氏度与500摄氏度之间的温度和介于100毫托与350托之间的压力下。
14.根据权利要求13所述的方法,其中所述对非晶硅膜进行退火发生在介于400摄氏度与1100度之间的温度和介于100毫托与1atm之间的压力下。
15.根据权利要求14所述的方法,其中所述蚀刻所述经退火的非晶硅膜的一部分包括:使所述非晶硅膜暴露于蚀刻剂,所述蚀刻剂包括NF3、Cl2、HCl、HBr、C4F6、C2F4、H2、Ar、He和N2中的一种或多种。
16.一种用于制造半导体器件的方法,所述方法包括:
将基板定位在第一腔室中,所述基板具有形成在所述基板的表面中的一个或多个特征,所述一个或多个特征中的每一个具有侧壁和底表面;
在所述第一腔室中在具有一个或多个特征的所述基板上沉积材料;
在所述第一腔室中对所述材料进行退火以使所述材料中的一个或多个接缝修复;
将具有一个或多个特征的所述基板转移到第二腔室;以及
蚀刻所述经退火的材料的一部分以去除所述经退火的材料中的一个或多个空隙。
17.根据权利要求16所述的方法,其中所述材料是非晶硅。
18.根据权利要求16所述的方法,其中所述沉积材料发生在介于150摄氏度与500摄氏度之间的温度和介于100毫托与350托之间的压力下。
19.根据权利要求18所述的方法,其中所述对所述材料进行退火发生在介于400摄氏度与1100摄氏度之间的温度和介于100毫托与1atm之间的压力下。
20.根据权利要求19所述的方法,其中所述蚀刻所述经退火的材料的一部分包括:使所述经退火的材料凹陷低于所述基板的顶表面的距离,所述距离等于所述基板的所述顶表面与所述一个或多个特征中的一个或多个空隙的最低处的底部之间的距离。
CN201880046268.5A 2017-07-12 2018-05-29 用于硅间隙填充的循环保形沉积/退火/蚀刻 Active CN110892505B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762531598P 2017-07-12 2017-07-12
US62/531,598 2017-07-12
PCT/US2018/034877 WO2019013891A1 (en) 2017-07-12 2018-05-29 CYCLIC CONFORMAL DEPOSITION / REINFORCEMENT / ETCHING FOR FILLING INS

Publications (2)

Publication Number Publication Date
CN110892505A CN110892505A (zh) 2020-03-17
CN110892505B true CN110892505B (zh) 2023-05-16

Family

ID=64999643

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880046268.5A Active CN110892505B (zh) 2017-07-12 2018-05-29 用于硅间隙填充的循环保形沉积/退火/蚀刻

Country Status (4)

Country Link
US (1) US10510589B2 (zh)
JP (1) JP7252935B2 (zh)
CN (1) CN110892505B (zh)
WO (1) WO2019013891A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10692812B2 (en) * 2018-05-15 2020-06-23 Globalfoundries Inc. Interconnects with variable space mandrel cuts formed by block patterning
US11075219B2 (en) 2019-08-20 2021-07-27 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11563022B2 (en) 2019-08-25 2023-01-24 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11205654B2 (en) 2019-08-25 2021-12-21 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11244955B2 (en) 2019-08-25 2022-02-08 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11195848B2 (en) 2019-08-25 2021-12-07 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11011408B2 (en) 2019-10-11 2021-05-18 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11195850B2 (en) 2019-10-18 2021-12-07 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11101210B2 (en) 2019-10-25 2021-08-24 Micron Technology, Inc. Methods for manufacturing a memory array having strings of memory cells comprising forming bridge material between memory blocks
US11094627B2 (en) 2019-10-25 2021-08-17 Micron Technology, Inc. Methods used in forming a memory array comprising strings of memory cells
CN114868234A (zh) * 2019-10-29 2022-08-05 朗姆研究公司 实现无缝高质量填隙的方法
US11094595B2 (en) 2019-12-27 2021-08-17 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11444093B2 (en) 2020-01-10 2022-09-13 Micron Technology, Inc. Memory arrays and methods of forming memory arrays
US11437271B2 (en) * 2020-05-05 2022-09-06 Applied Materials, Inc. Seamless gap fill
US11430877B2 (en) 2020-11-13 2022-08-30 Applied Materials, Inc. Ion implantation to reduce nanosheet gate length variation

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002203895A (ja) * 2000-12-08 2002-07-19 Samsung Electronics Co Ltd トレンチ素子分離膜の形成方法
CN1971950A (zh) * 2005-11-22 2007-05-30 大同股份有限公司 抗反射层基板及其制作方法
CN101183659A (zh) * 2007-12-13 2008-05-21 上海集成电路研发中心有限公司 一种在硅片表面实施的沟槽隔离工艺
WO2012015610A2 (en) * 2010-07-30 2012-02-02 Applied Materials, Inc. Oxide-rich liner layer for flowable cvd gapfill
CN105826326A (zh) * 2016-03-22 2016-08-03 上海华力微电子有限公司 一种改善深亚微米级闪存器件耦合率的沟槽氧化物的刻蚀方法
CN105845619A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种层间介质层的形成方法及半导体器件的形成方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69030864T2 (de) * 1989-12-01 1997-11-13 Texas Instruments Inc Verfahren der in-situ-Dotierung von abgeschiedenem Silizium
US5888876A (en) * 1996-04-09 1999-03-30 Kabushiki Kaisha Toshiba Deep trench filling method using silicon film deposition and silicon migration
TWI248159B (en) * 2002-01-25 2006-01-21 Nanya Technology Corp Manufacturing method for shallow trench isolation with high aspect ratio
TW540135B (en) 2002-04-24 2003-07-01 Nanya Technology Corp Method of forming shallow trench isolation region
US7157327B2 (en) * 2004-07-01 2007-01-02 Infineon Technologies Ag Void free, silicon filled trenches in semiconductors
US7109097B2 (en) * 2004-12-14 2006-09-19 Applied Materials, Inc. Process sequence for doped silicon fill of deep trenches
KR100732288B1 (ko) * 2005-04-15 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20080305609A1 (en) * 2007-06-06 2008-12-11 Hui-Shen Shih Method for forming a seamless shallow trench isolation
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US9048296B2 (en) 2011-02-11 2015-06-02 International Business Machines Corporation Method to fabricate copper wiring structures and structures formed thereby
JP2014212230A (ja) * 2013-04-19 2014-11-13 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置の製造方法
JP6059085B2 (ja) * 2013-05-27 2017-01-11 東京エレクトロン株式会社 トレンチを充填する方法及び処理装置
US9798317B2 (en) 2013-07-03 2017-10-24 Tokyo Electron Limited Substrate processing method and control apparatus
JP6174943B2 (ja) 2013-08-22 2017-08-02 東京エレクトロン株式会社 凹部を充填する方法
JP6150724B2 (ja) * 2013-12-27 2017-06-21 東京エレクトロン株式会社 凹部を充填する方法
JP6541591B2 (ja) * 2016-03-07 2019-07-10 東京エレクトロン株式会社 凹部内の結晶成長方法および処理装置
JP6554438B2 (ja) * 2016-03-30 2019-07-31 東京エレクトロン株式会社 シリコン膜の形成方法および形成装置
KR102271729B1 (ko) * 2017-04-24 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002203895A (ja) * 2000-12-08 2002-07-19 Samsung Electronics Co Ltd トレンチ素子分離膜の形成方法
CN1971950A (zh) * 2005-11-22 2007-05-30 大同股份有限公司 抗反射层基板及其制作方法
CN101183659A (zh) * 2007-12-13 2008-05-21 上海集成电路研发中心有限公司 一种在硅片表面实施的沟槽隔离工艺
WO2012015610A2 (en) * 2010-07-30 2012-02-02 Applied Materials, Inc. Oxide-rich liner layer for flowable cvd gapfill
CN105845619A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种层间介质层的形成方法及半导体器件的形成方法
CN105826326A (zh) * 2016-03-22 2016-08-03 上海华力微电子有限公司 一种改善深亚微米级闪存器件耦合率的沟槽氧化物的刻蚀方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
沟槽功率MOS器件的多晶Si填槽工艺研究;秦晓静等;《半导体技术》(第04期);第365-368页 *

Also Published As

Publication number Publication date
JP7252935B2 (ja) 2023-04-05
KR20200019242A (ko) 2020-02-21
WO2019013891A1 (en) 2019-01-17
JP2020526923A (ja) 2020-08-31
US10510589B2 (en) 2019-12-17
CN110892505A (zh) 2020-03-17
WO2019013891A9 (en) 2020-01-02
US20190019724A1 (en) 2019-01-17

Similar Documents

Publication Publication Date Title
CN110892505B (zh) 用于硅间隙填充的循环保形沉积/退火/蚀刻
CN110431661B (zh) 用于用非晶硅膜对高深宽比沟槽进行间隙填充的两步工艺
US9837271B2 (en) Process for forming silicon-filled openings with a reduced occurrence of voids
US8207023B2 (en) Methods of selectively depositing an epitaxial layer
JP6554438B2 (ja) シリコン膜の形成方法および形成装置
TWI400745B (zh) 選擇性磊晶製程控制
TWI385732B (zh) 使用和碳形成合金的矽膜來製造超淺接合區的方法
JP7213827B2 (ja) 高アスペクト比構造における間隙充填方法
US11233123B2 (en) Fully strained channel
WO2004082003A2 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
KR20180117714A (ko) 고 종횡비 구조들에서의 갭충전을 위한 방법들
TWI738207B (zh) 用於金屬矽化物沉積的方法及設備
TWI791029B (zh) 沉積半導體膜的方法
TWI484556B (zh) 在矽基材上形成高生長速率低電阻率的鍺膜之方法(二)
US11848232B2 (en) Method for Si gap fill by PECVD
KR102654856B1 (ko) Si 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭
CN107026113B (zh) 半导体装置的制造方法和系统
TW202218133A (zh) 形成含矽層之方法
CN104465346B (zh) 形成栅极的方法
JP2023029868A (ja) タングステン柱を形成する方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant