JP2020526923A - Si間隙充填のための周期的な共形堆積/アニーリング/エッチング - Google Patents

Si間隙充填のための周期的な共形堆積/アニーリング/エッチング Download PDF

Info

Publication number
JP2020526923A
JP2020526923A JP2020500218A JP2020500218A JP2020526923A JP 2020526923 A JP2020526923 A JP 2020526923A JP 2020500218 A JP2020500218 A JP 2020500218A JP 2020500218 A JP2020500218 A JP 2020500218A JP 2020526923 A JP2020526923 A JP 2020526923A
Authority
JP
Japan
Prior art keywords
amorphous silicon
substrate
silicon film
features
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020500218A
Other languages
English (en)
Other versions
JP7252935B2 (ja
JP2020526923A5 (ja
Inventor
ルイ チェン,
ルイ チェン,
イー ヤン,
イー ヤン,
アブヒジット バス マリック,
アブヒジット バス マリック,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020526923A publication Critical patent/JP2020526923A/ja
Publication of JP2020526923A5 publication Critical patent/JP2020526923A5/ja
Application granted granted Critical
Publication of JP7252935B2 publication Critical patent/JP7252935B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • H01L21/32155Doping polycristalline - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3247Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering for altering the shape, e.g. smoothing the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Element Separation (AREA)
  • Drying Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)
  • Semiconductor Memories (AREA)

Abstract

高アスペクト比のトレンチをアモルファスシリコンで間隙充填するといった、シーム及びボイドのない間隙充填のための方法が提供される。方法は、一般に、その上に1つ又は複数の特徴を有する半導体デバイスの上にアモルファスシリコンを堆積することと、堆積したアモルファスシリコンをアニーリングして、1つ又は複数の特徴の間の堆積したアモルファスシリコンの1つ又は複数のシームを解消することと、アニーリングされたアモルファスシリコンをエッチングして、1つ又は複数の特徴の間のアニーリングされたアモルファスシリコンの1つ又は複数のボイドを除去することとを含む。堆積、アニーリング、及びエッチングプロセスは一般に、1つ又は複数の特徴の間のシーム又はボイドのないアモルファスシリコン間隙充填を実現するために、任意の適切な回数繰り返される。
【選択図】図1

Description

[0001]本開示の実施形態は、概して、半導体製造プロセスに関し、より具体的には、アモルファスシリコン膜で半導体デバイスの高アスペクト比のトレンチを間隙充填するための方法、及びシーム又はボイドのない半導体デバイスを形成する方法に関する。
[0002]多くの半導体デバイス製造プロセスでは、たとえば10:1を超える高アスペクト比の狭いトレンチを充填する必要がある。そのようなプロセスの一例は、シャロートレンチアイソレーション(STI)であり、膜は高品質でトレンチ全体にわたってリークが非常に低くなければならない。半導体デバイス構造体の寸法が減少し続け、アスペクト比が増加するにつれて、硬化後のプロセスがますます困難になり、膜は、充填されたトレンチ全体で様々な組成を有することになる。
[0003]従来、アモルファスシリコン(a−Si)は、一般に酸化ケイ素(SiO)及びアモルファスカーボン(a−C)などの他の膜に対して良好なエッチング選択性を提供するため、a−Siが半導体製造プロセスで使用されてきた。しかしながら、プラズマ化学気相堆積(PECVD)や共形堆積といった従来のa−Si堆積方法は、高アスペクト比のトレンチを間隙充填するために使用することができない。従来のa−Si堆積方法の堆積速度は、一般にトレンチの上部に向かってより高くなり、トレンチの底部に向かってより低くなり、堆積速度が一様でないため、高アスペクト比のトレンチ間にシームが形成される。硬化後のプロセス中にシームが更に開き、最終的にスループットの低下や半導体デバイスの不具合すら引き起こす。従来、シームは熱アニーリングによって解消されてきた。しかし、熱アニーリングは一般に、堆積膜の収縮を引き起こし、トレンチ内部にボイドを形成する。
[0004]したがって、シーム及びボイドのない膜成長を提供することができる半導体デバイスの高アスペクト比のトレンチを間隙充填するための方法が必要である。
[0005]高アスペクト比のトレンチをアモルファスシリコンで間隙充填するといった、シーム及びボイドのない間隙充填のための方法が提供される。方法は、一般に、その上に1つ又は複数の特徴を有する半導体デバイスの上にアモルファスシリコンを堆積することと、堆積したアモルファスシリコンをアニーリングして、1つ又は複数の特徴の間の堆積したアモルファスシリコンの1つ又は複数のシームを解消することと、アニーリングされたアモルファスシリコンをエッチングして、1つ又は複数の特徴の間のアニーリングされたアモルファスシリコンの1つ又は複数のボイドを除去することとを含む。堆積、アニーリング、及びエッチングプロセスは一般に、1つ又は複数の特徴の間のシーム又はボイドのないアモルファスシリコン間隙充填を実現するために、任意の適切な回数繰り返される。
[0006]一実施形態では、半導体デバイスを製造するための方法が開示される。方法は、基板の表面に形成された1つ又は複数の特徴であって、側壁及び底面を各々が有する1つ又は複数の特徴を有する基板を処理チャンバに配置することと、1つ又は複数の特徴を有する基板の上にアモルファスシリコン膜を堆積することと、アモルファスシリコン膜をアニーリングすることと、アモルファスシリコン膜の一部をエッチングすることとを含む。
[0007]別の実施形態では、半導体デバイスを製造するための方法が開示される。方法は、基板の表面に形成された1つ又は複数の高アスペクト比のトレンチであって、側壁及び底面を各々が有する1つ又は複数の高アスペクト比のトレンチを有する基板を処理チャンバに配置することと、1つ又は複数の高アスペクト比のトレンチにアモルファスシリコン膜を堆積することと、アモルファスシリコン膜をアニーリングして、1つ又は複数の高アスペクト比のトレンチに形成された1つ又は複数のシームを解消することと、アモルファスシリコン膜を、基板の上面の下方に、ある距離だけエッチングすることであって、距離が、基板の上面と、1つ又は複数の特徴の1つ又は複数のボイドの最下部のボイドの底部との間の距離に等しい、アモルファスシリコン膜をエッチングすることとを含む。
[0008]更に別の実施形態では、半導体デバイスを製造するための方法が開示される。方法は、基板の表面に形成された1つ又は複数の特徴であって、側壁及び底面を各々が有する1つ又は複数の特徴を有する基板を第1のチャンバに配置することと、第1のチャンバに1つ又は複数の特徴を有する基板の上に材料を堆積することと、第1のチャンバの材料をアニーリングして、材料の1つ又は複数のシームを解消することと、1つ又は複数の特徴を有する基板を第2のチャンバに移送することと、アニーリングされた材料の一部をエッチングして、アニーリングされた材料の1つ又は複数のボイドを除去することとを含む。
[0009]本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、それらの実施形態の一部が添付図面に示される。しかしながら、添付図面は例示的な実施形態を示しているにすぎず、従って、本開示の範囲を限定すると見なされるべきではなく、その他の等しく有効な実施形態を許容しうることに留意されたい。
[0010]本開示の実施形態による方法を要約するフロー図である。 [0011]本開示の実施形態による半導体デバイスの製造段階を示す。 本開示の実施形態による半導体デバイスの製造段階を示す。 本開示の実施形態による半導体デバイスの製造段階を示す。 本開示の実施形態による半導体デバイスの製造段階を示す。 本開示の実施形態による半導体デバイスの製造段階を示す。 [0012]本開示の実施形態による方法を実行するための装置の概略図である。
[0013]理解を容易にするため、可能な場合には、複数の図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれることがあると想定される。
[0014]高アスペクト比のトレンチをアモルファスシリコンで間隙充填するといった、シーム及びボイドのない間隙充填のための方法が提供される。方法は、一般に、その上に1つ又は複数の特徴を有する半導体デバイスの上にアモルファスシリコンを堆積することと、堆積したアモルファスシリコンをアニーリングして、1つ又は複数の特徴の間の堆積したアモルファスシリコンの1つ又は複数のシームを解消することと、アニーリングされたアモルファスシリコンをエッチングして、1つ又は複数の特徴の間のアニーリングされたアモルファスシリコンの1つ又は複数のボイドを除去することとを含む。堆積、アニーリング、及びエッチングプロセスは一般に、1つ又は複数の特徴の間のシーム又はボイドのないアモルファスシリコン間隙充填を実現するために、任意の適切な回数繰り返される。
[0015]以下の説明は、一例として、基板上に形成された高アスペクト比のトレンチをアモルファスシリコンで間隙充填することについて言及することになる。しかしながら、本明細書に記載の方法は、一般に、いかなるデバイス特徴をいかなる材料で間隙充填するのにも有用であり、又は堆積材料からシーム及び/又はボイドを除去するのにも有用である。一般に、「特徴」とは、任意の意図的な表面の不規則性を意味する。特徴は一般に、任意の適切な形状を有しており、その形状は、ビア、トレンチ、ライン、接触孔、貫通孔、又は半導体、ソーラー、若しくは高比率接触プラグなどの他の電子デバイスで利用される他の特徴定義を含むが、これらに限定されない。
[0016]本明細書の例として使用されるトレンチは、一般に上部と2つの側壁を有し、一般に上部と2つの側壁を有するピーク間に形成される。特徴は、任意の適切なアスペクト比のものとすることができ、このアスペクト比は、特徴の深さ対特徴の幅の比率である。いくつかの例では、高アスペクト比のトレンチは、約5:1、10:1、15:1、25:1、30:1、35:1、又は40:1以上のアスペクト比を有するトレンチである。
[0017]図1は、本開示の実施形態による、アモルファスシリコン膜でデバイス特徴を間隙充填するための方法100を要約するフロー図である。図2A〜2Eは、例えば方法100による、本開示の実施形態による半導体デバイス200の製造段階を示す。したがって、一例として、方法100が、図2A〜2Eに示されるアモルファスシリコン膜で半導体デバイス200の高アスペクト比のトレンチを間隙充填する段階に従って、以下に説明される。
[0018]方法100は、図2Aに示すように、基板202上のシリコン又は炭素含有層といった層206に形成された1つ又は複数の特徴204(2つの高アスペクト比のトレンチとして示される)を有する基板202を、更なる処理のために、処理チャンバなどの位置又は環境に配置することにより、工程110で開始する。基板202は、一般に、ケイ素(Si)及び/又はゲルマニウム(Ge)基板を含むがこれらに限定されない処理に適した任意の基板であり、酸素(O)、窒素(N)、及び炭素(C)などの他の元素を含みうる。
[0019]図2Aに示されるように、1つ又は複数の特徴204は一般に、第1の側壁210、第2の側壁212、及び底部214を含む。第1の側壁210及び第2の側壁212は、1つ又は複数の特徴204の幅(W)を画定する。1つ又は複数の特徴の高さ(H)は一般に、層206の上面208から層206の底面216までである。図2Aは2つの特徴を示すが、本開示は、基板202が1つ以上の特徴204を有することができることを更に企図する。
[0020]工程120では、図2Bに示すように、材料218が基板202の1つ又は複数の特徴204の上に堆積されて、1つ又は複数の特徴204を充填する。材料218は一般に、任意の適切な堆積プロセスにより堆積される。適切な堆積プロセスの例は、化学気相堆積(CVD)、プラズマCVD(PECVD)、原子層堆積(ALD)、及びプラズマALD(PEALD)を含むが、これらに限定されない。一例では、材料218は熱CVDにより堆積される。
[0021]一実施形態では、材料218はアモルファスシリコン膜であり、材料218を堆積することは、基板202の1つ又は複数の特徴204をケイ素前駆体に曝露することを含む。適切なケイ素前駆体の例は、シラン(SiH)、ジシラン(HSi)、ジクロロシラン(DCS)、トリシラン(HSi)、及びテトラシラン(Si10)のうちの1つ又は複数を含むが、これらに限定されない。ケイ素前駆体は、オプションで、蒸気圧を上昇させるために熱缶の中で加熱され、その後、超高純度(UHP)アルゴン(Ar)キャリアガスを使用する堆積のために処理チャンバに供給される。一般にケイ素前駆体と共に導入される他の適切なキャリアガスは、ヘリウム(He)及び水素ガス(H)を含むが、これらに限定されない。
[0022]別の実施形態では、材料218は、ケイ素前駆体に加えて、基板202の1つ又は複数の特徴204をドーピング前駆体に曝露することにより堆積された、ドープされたアモルファスシリコン膜である。アモルファスシリコンをドープすることにより、ドープされたa−Si層のエッチングなどの後続の処理動作の温度が一般に低下する。ドーパントの例は、ホウ素(B)、リン(P)、ガリウム(Ga)、スズ(Tin)、ヒ素(As)、ゲルマニウム(Ge)、炭素(C)、窒素(N)、及びアンチモン(Sb)を含むが、これらに限定されない。適切なドーピング前駆体の例は、ジメチルアミン ボラン[NH(CH3)2BH3](DMAB)、ジボラン(B)、ゲルマン(GeH)、及びホスファン(PH)を含むが、これらに限定されない。
[0023]基板202の1つ又は複数の特徴204の上に材料218を堆積することは一般に、摂氏約150度(℃)から約500℃までの間の温度で行われる。堆積は、容量結合プラズマ(CCP)若しくは誘導結合遠隔プラズマ(ICP)を用いて実行されてもよく、又はそれらを用いずに実行されてもよい。堆積プロセス中に、処理チャンバ内の圧力は一般に、約100mTorrから約350Torrの間である。
[0024]上述のように、1つ又は複数のシーム220が、一般に、材料218の堆積中に、1つ又は複数の特徴204に形成される。未処理のままにすると、1つ又は複数のシーム220が、半導体デバイス200の更なる処理中に開くことが多く、デバイス性能の欠陥につながることがある。
[0025]工程130では、堆積材料218がアニーリングされて、1つ又は複数のシーム220を解消する。一実施形態では、材料218は、インシトゥ(その場)熱アニーリングプロセスによってアニーリングされる。アニーリング工程中の温度は一般に、約400℃から約1100℃までの間である。アニーリングプロセスは、任意の適切な時間にわたって、例えば、約0.1秒から約5時間の間、実行されうる。アニーリングプロセスのガス雰囲気は一般に、H、Ar、He、及び窒素ガス(N)のうちの1つ又は複数を含む。処理チャンバの圧力は一般に、アニーリング中に約100mTorrから約1気圧(atm)の間の範囲である。
[0026]別の実施形態では、1つ又は複数のシーム220は、プラズマ処理により解消される。更に別の実施形態では、1つ又は複数のシーム220は、電子ビーム処理で解消される。更なる実施形態では、1つ又は複数のシーム220は、アモルファスシリコンのケイ素(Si)原子をリフローするための任意の適切なプロセスで解消される。
[0027]一般に、図2Cに示すように、1つ又は複数のボイド222(3つが例として示される)がアニーリングプロセス中に材料218に形成される。例えば、基板202又は層206の任意の表面張力により、材料218内に1つ又は複数のボイド222が形成されることが多い。1つ又は複数のシーム220と同様に、1つ又は複数のボイド222が部分的に又は完全に除去されない場合、半導体デバイス200の性能は、一般に悪影響を受ける。
[0028]工程140では、図2Dに示すように、アニーリングされた材料218の少なくとも一部がエッチングされて、1つ又は複数のボイド222が除去される。一実施形態では、アニーリングされた材料218の一部をエッチングすることは、基板202の1つ又は複数の特徴204の上の材料218をエッチャントに曝露することを含む。材料218は、基板202の層206の上面208の下方に、ある距離だけ1つ又は複数の特徴204にエッチングされ、その距離は、上面208と1つ又は複数の特徴204の1つ又は複数のボイド222の最下部のボイドの底部との間の距離に等しい。材料218の一部を1つ又は複数のボイド222の最下部のボイドの底部以下の距離までエッチングすることにより、1つ又は複数のボイド222は、その後に追加の材料218又は別の材料を堆積する前に、除去される。
[0029]エッチングプロセスは、一般に、熱エッチング又は容量結合プラズマ(CCP)又は誘導結合プラズマ(ICP)によるプラズマエッチングを含むがこれらに限定されない、任意の適切なエッチングプロセスである。適切なエッチャントの例は、三フッ化窒素(NF)、塩素ガス(Cl)、塩酸(HCl)、臭化水素(HBr)、ヘキサフルオロ−2−ブチン(C)、テトラフルオロエチレン(C)、H、Ar、He、及びNのうちの1つ又は複数を含むが、これらに限定されない。
[0030]工程120、工程130、及び工程140は、一般に、任意の適切な回数繰り返されて、図2Eに示されるように、シーム若しくはボイドがまったくない状態、又はシーム若しくはボイドが低減された状態で、1つ又は複数の特徴204を材料218で充填する。
[0031]図3は、本明細書に記載の実施形態による方法を実行するための装置300の概略図である。より具体的には、装置300は、上述の方法に従って半導体デバイスを製造するためのクラスタツールである。装置300の中心には、移送チャンバ310がある。移送チャンバ310内には、基板移送機構312がある。基板移送機構312は、第1、第2、又は第3の処理チャンバ330、340、及び350の1つからそれぞれロードロックチャンバ320に、並びにその逆に、基板を移送する。第1、第2、及び第3の処理チャンバ330、340、及び350は、移送チャンバ310に結合される。ロードロックチャンバ320は、基板位置合わせチャンバ322を介して移送チャンバ310に結合される。図3に示されるように、装置300は3つの処理チャンバを含む。しかしながら、装置300は一般に、任意の適切な数のチャンバを含む。
[0032]一実施形態では、第1の処理チャンバ330は堆積チャンバであり、第2の処理チャンバ340はアニーリングプロセスを実行するのに適したチャンバであり、第3の処理チャンバ350はエッチングチャンバである。適切なチャンバは、PRODUCER(登録商標)チャンバ及びVANTAGE(登録商標)チャンバといった、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なチャンバを含む。工程120は一般に、第1の処理チャンバ330で行われる。一実施形態において、基板202は、工程130でのアニーリングプロセスのために第1の処理チャンバ330内に残る。別の実施形態では、基板202は、工程130のアニーリングプロセスのために第2の処理チャンバ340に移送される。次に、基板202は一般に、工程140でのエッチングプロセスのために第3の処理チャンバ350に移送される。
[0033]本明細書に開示される工程を実行するための処理チャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能である。しかしながら、他の製造業者からのものを含む他の処理チャンバが使用されてもよく、本開示の態様から利益が得られうることが企図される。
[0034]本開示の実施形態は、高アスペクト比のトレンチのためのアモルファスシリコン間隙充填といった、半導体デバイス特徴のためのシーム及びボイドのない間隙充填を提供する。間隙充填はシーム及びボイドがないか、又は含まれるシーム及びボイドの数が低減されているため、半導体デバイスの全体的な性能が向上する。
[0035]上記は本開示の実施形態を対象としているが、本開示の他の更なる実施形態が、その基本的な範囲から逸脱することなく考案されてもよく、その範囲は以下の特許請求の範囲によって決定される。

Claims (15)

  1. 半導体デバイスを製造するための方法であって、
    基板の表面に形成された1つ又は複数の特徴であって、側壁及び底面を各々が有する1つ又は複数の特徴を有する前記基板を処理チャンバに配置することと、
    1つ又は複数の特徴を有する前記基板の上にアモルファスシリコン膜を堆積することと、
    前記アモルファスシリコン膜をアニーリングすることと、
    前記アモルファスシリコン膜の一部をエッチングすることと
    を含む方法。
  2. 前記アモルファスシリコン膜を堆積することが、シラン、ジシラン、ジクロロシラン、トリシラン、及びテトラシランからなる群から選択されたケイ素前駆体に前記基板を曝露することを含む、請求項1に記載の方法。
  3. 前記基板をホウ素、リン、ガリウム、スズ、ヒ素、ゲルマニウム、炭素、窒素、アンチモン又はインジウム前駆体に曝露すること
    を更に含む、請求項2に記載の方法。
  4. 前記アモルファスシリコン膜の一部をエッチングすることが、前記アモルファスシリコン膜を、前記基板の上面の下方に、ある距離だけ凹ませることを含み、前記距離が、前記基板の前記上面と、前記1つ又は複数の特徴の1つ又は複数のボイドの最下部のボイドの底部との間の距離に等しい、請求項1に記載の方法。
  5. 半導体デバイスを製造するための方法であって、
    基板の表面に形成された1つ又は複数の高アスペクト比のトレンチであって、側壁及び底面を各々が有する1つ又は複数の高アスペクト比のトレンチを有する前記基板を処理チャンバに配置することと、
    前記1つ又は複数の高アスペクト比のトレンチにアモルファスシリコン膜を堆積することと、
    前記アモルファスシリコン膜をアニーリングして、前記1つ又は複数の高アスペクト比のトレンチに形成された1つ又は複数のシームを解消することと、
    前記アモルファスシリコン膜を、前記基板の上面の下方に、ある距離だけエッチングすることであって、前記距離が、前記基板の前記上面と、前記1つ又は複数の特徴の1つ又は複数のボイドの最下部のボイドの底部との間の距離に等しい、前記アモルファスシリコン膜をエッチングすることと
    を含む方法。
  6. 前記アモルファスシリコン膜がドープされている、請求項5に記載の方法。
  7. 前記アモルファスシリコン膜を堆積することが、
    前記基板をケイ素前駆体に曝露することと、
    前記基板をホウ素、リン、ガリウム、スズ、ヒ素、ゲルマニウム、炭素、窒素、アンチモン又はインジウム前駆体に曝露することと
    を含む、請求項6に記載の方法。
  8. 前記アモルファスシリコン膜を堆積することが、摂氏約150度から摂氏約500度の間の温度で、かつ約100mTorrから約350Torrの間の圧力で行われる、請求項7に記載の方法。
  9. 前記アモルファスシリコン膜をアニーリングすることが、摂氏約400度から摂氏約1100度の間の温度で、かつ約100mTorrから約1atmの間の圧力で行われる、請求項8に記載の方法。
  10. 前記アモルファスシリコン膜の一部をエッチングすることが、前記アモルファスシリコン膜を、NF、Cl、HCl、HBr、C、C、H、Ar、He、及びNのうちの1つ又は複数を含むエッチャントに曝露することを含む、請求項9に記載の方法。
  11. 半導体デバイスを製造するための方法であって、
    基板の表面に形成された1つ又は複数の特徴であって、側壁及び底面を各々が有する1つ又は複数の特徴を有する基板を第1のチャンバに配置することと、
    前記第1のチャンバで1つ又は複数の特徴を有する前記基板の上に材料を堆積することと、
    前記第1のチャンバで前記材料をアニーリングして、前記材料の1つ又は複数のシームを解消することと、
    前記1つ又は複数の特徴を有する前記基板を第2のチャンバに移送することと、
    アニーリングされた前記材料の一部をエッチングして、アニーリングされた前記材料の1つ又は複数のボイドを除去することと
    を含む方法。
  12. 前記材料がアモルファスシリコンである、請求項11に記載の方法。
  13. 前記材料を堆積することが、摂氏約150度から摂氏約500度の間の温度で、かつ約100mTorrから約350Torrの間の圧力で行われる、請求項11に記載の方法。
  14. 前記材料をアニーリングすることが、摂氏約400度から摂氏約1100度の間の温度で、かつ約100mTorrから約1atmの間の圧力で行われる、請求項13に記載の方法。
  15. アニーリングされた前記材料の一部をエッチングすることが、アニーリングされた前記材料を、前記基板の上面の下方に、ある距離だけ凹ませることを含み、前記距離が、前記基板の前記上面と、前記1つ又は複数の特徴の前記1つ又は複数のボイドの最下部のボイドの底部との間の距離に等しい、請求項14に記載の方法。
JP2020500218A 2017-07-12 2018-05-29 Si間隙充填のための周期的な共形堆積/アニーリング/エッチング Active JP7252935B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762531598P 2017-07-12 2017-07-12
US62/531,598 2017-07-12
PCT/US2018/034877 WO2019013891A1 (en) 2017-07-12 2018-05-29 CYCLIC CONFORMAL DEPOSITION / REINFORCEMENT / ETCHING FOR FILLING INS

Publications (3)

Publication Number Publication Date
JP2020526923A true JP2020526923A (ja) 2020-08-31
JP2020526923A5 JP2020526923A5 (ja) 2021-07-26
JP7252935B2 JP7252935B2 (ja) 2023-04-05

Family

ID=64999643

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020500218A Active JP7252935B2 (ja) 2017-07-12 2018-05-29 Si間隙充填のための周期的な共形堆積/アニーリング/エッチング

Country Status (5)

Country Link
US (1) US10510589B2 (ja)
JP (1) JP7252935B2 (ja)
KR (1) KR102654856B1 (ja)
CN (1) CN110892505B (ja)
WO (1) WO2019013891A1 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10692812B2 (en) * 2018-05-15 2020-06-23 Globalfoundries Inc. Interconnects with variable space mandrel cuts formed by block patterning
US11075219B2 (en) 2019-08-20 2021-07-27 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11195848B2 (en) 2019-08-25 2021-12-07 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11244955B2 (en) 2019-08-25 2022-02-08 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11205654B2 (en) * 2019-08-25 2021-12-21 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11563022B2 (en) 2019-08-25 2023-01-24 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11011408B2 (en) 2019-10-11 2021-05-18 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11195850B2 (en) 2019-10-18 2021-12-07 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11094627B2 (en) 2019-10-25 2021-08-17 Micron Technology, Inc. Methods used in forming a memory array comprising strings of memory cells
US11101210B2 (en) 2019-10-25 2021-08-24 Micron Technology, Inc. Methods for manufacturing a memory array having strings of memory cells comprising forming bridge material between memory blocks
US20220384186A1 (en) * 2019-10-29 2022-12-01 Lam Research Corporation Methods to enable seamless high quality gapfill
US11094595B2 (en) 2019-12-27 2021-08-17 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11444093B2 (en) 2020-01-10 2022-09-13 Micron Technology, Inc. Memory arrays and methods of forming memory arrays
US11437271B2 (en) * 2020-05-05 2022-09-06 Applied Materials, Inc. Seamless gap fill
US11430877B2 (en) 2020-11-13 2022-08-30 Applied Materials, Inc. Ion implantation to reduce nanosheet gate length variation

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03230521A (ja) * 1989-12-01 1991-10-14 Texas Instr Inc <Ti> シリコン膜堆積法
JPH1056154A (ja) * 1996-04-09 1998-02-24 Toshiba Corp 半導体装置の製造方法
US20060003523A1 (en) * 2004-07-01 2006-01-05 Moritz Haupt Void free, silicon filled trenches in semiconductors
JP2008523640A (ja) * 2004-12-14 2008-07-03 アプライド マテリアルズ インコーポレイテッド 深トレンチのドープシリコン充填のプロセスシーケンス
JP2013533639A (ja) * 2010-07-30 2013-08-22 アプライド マテリアルズ インコーポレイテッド 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
JP2014212230A (ja) * 2013-04-19 2014-11-13 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置の製造方法
JP2014229857A (ja) * 2013-05-27 2014-12-08 東京エレクトロン株式会社 トレンチを充填する方法及び処理装置
JP2015126161A (ja) * 2013-12-27 2015-07-06 東京エレクトロン株式会社 凹部を充填する方法及び処理装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100354439B1 (ko) * 2000-12-08 2002-09-28 삼성전자 주식회사 트렌치 소자 분리막 형성 방법
TWI248159B (en) * 2002-01-25 2006-01-21 Nanya Technology Corp Manufacturing method for shallow trench isolation with high aspect ratio
TW540135B (en) 2002-04-24 2003-07-01 Nanya Technology Corp Method of forming shallow trench isolation region
KR100732288B1 (ko) * 2005-04-15 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 제조 방법
CN1971950B (zh) * 2005-11-22 2010-09-15 大同股份有限公司 抗反射层基板及其制作方法
US20080305609A1 (en) * 2007-06-06 2008-12-11 Hui-Shen Shih Method for forming a seamless shallow trench isolation
CN101183659B (zh) * 2007-12-13 2012-06-06 上海集成电路研发中心有限公司 一种在硅片表面实施的沟槽隔离工艺
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US9048296B2 (en) * 2011-02-11 2015-06-02 International Business Machines Corporation Method to fabricate copper wiring structures and structures formed thereby
US9798317B2 (en) * 2013-07-03 2017-10-24 Tokyo Electron Limited Substrate processing method and control apparatus
JP6174943B2 (ja) 2013-08-22 2017-08-02 東京エレクトロン株式会社 凹部を充填する方法
CN105845619A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种层间介质层的形成方法及半导体器件的形成方法
JP6367734B2 (ja) * 2015-02-18 2018-08-01 東京エレクトロン株式会社 凹部を充填する方法及び処理装置
JP6541591B2 (ja) * 2016-03-07 2019-07-10 東京エレクトロン株式会社 凹部内の結晶成長方法および処理装置
CN105826326B (zh) * 2016-03-22 2018-11-09 上海华力微电子有限公司 一种改善深亚微米级闪存器件耦合率的沟槽氧化物的刻蚀方法
JP6554438B2 (ja) * 2016-03-30 2019-07-31 東京エレクトロン株式会社 シリコン膜の形成方法および形成装置
US10580650B2 (en) * 2016-04-12 2020-03-03 Tokyo Electron Limited Method for bottom-up formation of a film in a recessed feature
JP6693292B2 (ja) * 2016-06-20 2020-05-13 東京エレクトロン株式会社 半導体装置の製造方法及び半導体製造装置
US10615050B2 (en) * 2017-04-24 2020-04-07 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03230521A (ja) * 1989-12-01 1991-10-14 Texas Instr Inc <Ti> シリコン膜堆積法
JPH1056154A (ja) * 1996-04-09 1998-02-24 Toshiba Corp 半導体装置の製造方法
US20060003523A1 (en) * 2004-07-01 2006-01-05 Moritz Haupt Void free, silicon filled trenches in semiconductors
JP2008523640A (ja) * 2004-12-14 2008-07-03 アプライド マテリアルズ インコーポレイテッド 深トレンチのドープシリコン充填のプロセスシーケンス
JP2013533639A (ja) * 2010-07-30 2013-08-22 アプライド マテリアルズ インコーポレイテッド 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
JP2014212230A (ja) * 2013-04-19 2014-11-13 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置の製造方法
JP2014229857A (ja) * 2013-05-27 2014-12-08 東京エレクトロン株式会社 トレンチを充填する方法及び処理装置
JP2015126161A (ja) * 2013-12-27 2015-07-06 東京エレクトロン株式会社 凹部を充填する方法及び処理装置

Also Published As

Publication number Publication date
CN110892505A (zh) 2020-03-17
KR102654856B1 (ko) 2024-04-03
CN110892505B (zh) 2023-05-16
JP7252935B2 (ja) 2023-04-05
US20190019724A1 (en) 2019-01-17
WO2019013891A9 (en) 2020-01-02
US10510589B2 (en) 2019-12-17
KR20200019242A (ko) 2020-02-21
WO2019013891A1 (en) 2019-01-17

Similar Documents

Publication Publication Date Title
KR102654856B1 (ko) Si 갭충전을 위한 순환 컨포멀 증착/어닐링/에칭
US11501968B2 (en) Method for providing a semiconductor device with silicon filled gaps
US10777453B2 (en) Low resistivity films containing molybdenum
TWI838458B (zh) 用於3d nand應用中之插塞填充沉積之設備及方法
TWI780160B (zh) 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
US9837271B2 (en) Process for forming silicon-filled openings with a reduced occurrence of voids
KR102158406B1 (ko) 실리콘막의 형성 방법 및 형성 장치, 및 기억 매체
US10854461B2 (en) Tungsten deposition without barrier layer
US8207023B2 (en) Methods of selectively depositing an epitaxial layer
TW201843331A (zh) 具有非晶矽填充間隙之半導體裝置及形成該裝置之方法
JP6640596B2 (ja) 成膜方法
US7029995B2 (en) Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
TWI738207B (zh) 用於金屬矽化物沉積的方法及設備
TWI791029B (zh) 沉積半導體膜的方法
JP2022008194A (ja) シリコンを備える層を形成するための方法
JP2020029617A (ja) 基材表面および関連する半導体デバイス構造上のギャップ特徴を充填するための方法
KR20180107729A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR102489425B1 (ko) 장벽 층이 없는 텅스텐 증착
TWI780922B (zh) 形成鎢支柱的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210528

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210528

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220629

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230309

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230324

R150 Certificate of patent or registration of utility model

Ref document number: 7252935

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150