JP2008523640A - 深トレンチのドープシリコン充填のプロセスシーケンス - Google Patents

深トレンチのドープシリコン充填のプロセスシーケンス Download PDF

Info

Publication number
JP2008523640A
JP2008523640A JP2007546817A JP2007546817A JP2008523640A JP 2008523640 A JP2008523640 A JP 2008523640A JP 2007546817 A JP2007546817 A JP 2007546817A JP 2007546817 A JP2007546817 A JP 2007546817A JP 2008523640 A JP2008523640 A JP 2008523640A
Authority
JP
Japan
Prior art keywords
trench
amorphous silicon
wafer
layer
arsenic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007546817A
Other languages
English (en)
Other versions
JP5252417B2 (ja
JP2008523640A5 (ja
Inventor
アジット パランジュペ,
ソムナス ナグ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008523640A publication Critical patent/JP2008523640A/ja
Publication of JP2008523640A5 publication Critical patent/JP2008523640A5/ja
Application granted granted Critical
Publication of JP5252417B2 publication Critical patent/JP5252417B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors

Abstract

膜堆積がトレンチの底部から上に向かって生じるようなシラン比に対する温度、圧力およびドーパントで第1の充填が実施される、深トレンチ構造のイン・シトゥー・ドープアモルファスシリコンによるボイドフリー充填方法が提供される。この第1の充填によって、100%を超えるステップカバレージウェルが達成される。第2の充填ステップにおいて、堆積レートに対するドーパントの影響を低減するために変更された条件で堆積が実施されることによって、トレンチ充填が、第1の充填の堆積レートを超える堆積レートで完了される。深トレンチキャパシタ構造を形成するためのこの方法の用途において、中間ステップはさらに、アモルファスシリコン層によるボイドフリー充填トレンチのキャッピング、その後のウェーハの平坦化、およびドーパントを再分布させるための熱アニーリングを含む。
【選択図】 図3

Description

発明の分野
[0001]本発明の実施形態は概して、深トレンチキャパシタの製作と関連した、深トレンチを抵抗率の低いドープαシリコン膜で充填するための方法に関する。より具体的には、本発明は、イン・シトゥー・ドープαシリコンによる該深トレンチ構造のボイドフリー充填のための逐次方法を取り扱っている。本発明の更なる特徴は、平行ウェーハ処理反応器を使用してイン・シトゥー・ドープシリコン膜の生産価値のあるアプローチを提供することである。
関連技術の説明
[0002]ヒ素(As)濃度が1020/cm〜1021cm/に及ぶヒ素ドープαシリコン膜が多様な半導体デバイス用途において使用される。これらは、ROMデバイスにおけるワードライン、ビットライン、ランディングパッド、記憶ノード/ビットラインコンタクトプラグ、ゲート電極、ならびに不揮発性メモリデバイスにおけるフローティングゲート電極/コントロールゲート電極を含んでいる。このような構造の製作と関連して、プロセスの容易さ、歩留まりおよびギャップ充填コントロールゆえにイン・シトゥー・ドーププロセスを使用することが好ましい。とりわけ、本発明の関心は、深トレンチDRAMデバイスにおける電極の製作においてこのようなドープシリコン膜を使用することである。これらの膜の重要なプロセス要件は、優れた厚さ均一性、ドーパント濃度およびシート抵抗、高ドーパント活性化、低抵抗率、および良好な膜コンフォーマリティである。
[0003]これらのトレンチ電極の形成は、埋め込みキャパシタ構造を形成するために使用される深トレンチの充填を含む複数のプロセスステップを伴う複雑なプロセスである。図3を参照すると、25:1より大きなアスペクト比を有する充填深トレンチ構造50が図示されており(正確な縮尺ではない)、処理されたウェーハは、エッチングなどのプロセスによる深トレンチの形成を含む多数の製作ステップに付されている。ドープウェル54がトレンチに隣接する結晶シリコン52に形成され、このドープウェルは(例えば、ドーパントをウェーハに拡散させる熱アニーリングが続く高ドープシリコンによる充填によるなどの)従来技術およびキャパシタ誘電体56によって製作されており、酸化物、窒化物および酸化物(ONO)の層のスタックが、従来の薄膜堆積技術を使用して壁に形成されてトレンチを裏打ちする。
[0004]その後、第2のキャパシタ電極が、ドープαシリコンによる深トレンチ充填60によって、低抵抗率のドープアモルファスシリコン膜から形成される。トレンチが充填されると、無ドープシリコンのキャップ層(図示せず)が堆積されて、ウェーハ表面58を平坦化するために化学的機械的研磨(CMP)が続くことができる。そこから、ウェーハは、充填されたトレンチの材料全体にわたってドーパントをさらに一様に分布させ、かつこれを電気的に活性化させるためにアニーリングされる。更なる処理ステップにおいて、キャパシタは、ウェーハ表面上の他の構造および確立されたコンタクト接続から電気的に分離される。
[0005]通常、トレンチ充填は、水平または垂直のいずれかに整列されることができる従来の拡散炉で実行されており、この両者はほぼ同様に操作する。図示によって、通常の垂直LPCVD(低圧化学気相成長)炉が図1に描かれている。図示されている炉は、石英チューブ10と、複数の基板16を担持するためのボート14が挿入されるチャンバシールプレート12とからなるチャンバを含んでいる。イン・シトゥー・ドープシリコン層の形成において、シラン(SiH)または他の類似の前駆体、水素または窒素などのキャリアガス、およびアルシン(AsH)またはホスフィン(PH)などのドーパントガスが、チャンバシールプレート12を介してガス入口チューブ20からガス噴射チューブ18に入る。ガスはシールプレート12および排出ポート24を介してプロセスチャンバを出る。ガス噴射チューブ18からチャンバ排出ポート24へガスが流れると、複数のヒーター要素26は別個にコントロールされて、供給ガス濃度の既知の枯渇を補償するように適合される。
[0006]このような拡散炉で遭遇する枯渇効果ゆえに、概して1トール未満、普通は100〜200ミリトール範囲の極めて低い圧力で操作する必要がある。そうでなければ、不十分なドーパントが充填材料に導入されることになり、これらの深トレンチキャパシタ構造での効果的な使用に対して高すぎる抵抗率につながることになる。しかしながら、このような低い圧力での操作の必要性を考えると、膜堆積レートはかなり低く、例えば純シリコンの堆積には通常30〜200オングストローム毎分であり、ドーパントガスが導入されるならば5〜30オングストローム毎分である。従って一度に50〜100個以上のウェーハの同時処理が、時間スループット当たりの申し分のない処理済みウェーハを達成するために必要とされる。
[0007]堆積レートを増加させるための従来技術の拡散炉における、より高い濃度の反応ガスでの操作は、基板にわたる不均一な堆積と、基板から基板への堆積レートの許容できない相違をもたらす。流量の増加はより高い圧力での堆積均一性を改善可能である。しかしながら、ガス流の増加は反応ガス圧力を増加させ、基板の結果的な粒子汚染によって気相核生成をトリガする。図1の反応器と関連した別の欠点は、内部石英チューブ10およびガス噴射チューブ18への膜堆積を含んでいる。この不要な堆積は、基板16の表面近くの反応供給ガス濃度の分圧を減少させ、チューブ10および噴射チューブ18の壁に堆積された膜が剥がれ落ち、かつ基板16上に堆積する場合に引き起こされる、堆積レートの低下および潜在的汚染をもたらす。最終的に、このスタイルの反応器の入口から出口までの反応化学種の枯渇をオフセットするために、温度勾配が基板ロードゾーンにわたって確立された。しかしながら、このような勾配の有無は多結晶シリコンの場合に別の問題を生む(creates)。粒子サイズは温度依存性であるため、必要な温度勾配は、多結晶シリコン粒子サイズをロードゾーンにわたって変化させることになる。複数の基板内の基板から基板への粒子サイズのこの変化は、多結晶シリコンの後続のパターニングによる問題を引き起こし、かつ得られる集積回路の電気的性能の変化をもたらす恐れがある。
[0008]反対に、単一ウェーハCVD処理はより広いプロセスウィンドウを提示する。図2に示されるこのような反応器は、基板28を置くことが可能であり、かつ上部石英ドーム32、下部石英ドーム34および関連チャンバ壁36を有する気密チャンバに囲まれた、回転基板キャリア30を含んでいる。基板28は上部ランプ38および下部ランプ40によって加熱される。反応ガスはガス入力ポート42を介して噴射され、かつ排出ポート44を介して排出される。
[0009]単一ウェーハ反応器は、図1の垂直炉の制限の一部を克服する。これは垂直LPCVD炉よりも高い圧力(通常は10トールより高い)で操作されてもよく、またこの関連問題の噴射チューブを有することはない。このタイプの反応器の構造の詳細は、第5,108,792号、5,576,059号、5,607,724号および5,614,257号などの米国特許でカバーされている。
[0010]通常、より高い機械生産性をもたらし、より重要なことには、基板が高温、つまり600℃より高い温度に暴露される時間を短縮することになるため、プロセス堆積レートを増加させることが望ましい。このような高温において、長時間の暴露は、半導体デバイス構造の不要な変更を引き起こす恐れがあり、この影響は、デバイスサイズが小さくなるほど顕著になる。
[0011]膜均一性を著しく劣化させ、かつ堆積レートを許容できないほど低い値に低下させる「中毒」効果ゆえに、ヒ素による(例えば、アルシンAsHを使用する)α−Si膜のイン・シトゥードーピングは、拡散炉において生産価値があると証明しなかった。一つのアプローチにおいて、無ドープα−Si膜が堆積中周期的に(アルシンなどの)ヒ素ソースに暴露される逐次プロセスが試みられた。例えば、200nm膜は50nm×4層で堆積されてもよく、ここでこの膜は50nmごとの堆積に続いてアルシンに暴露される。アルシンを伴う炉ベースプロセスにおいて不可避なプロセスメモリ効果を含むこのプロセスと関連した複雑さに加えて、容易に克服不可能な2、3の固有の制限が存在する。このようなプロセスによって、次世代深トレンチ充填用途について許容できないほど高い膜抵抗率に変わる、1×1020/cm未満のドーパント濃度のわずかにドープされた膜のみが達成可能である。また達成可能な最大ステップカバレージ(電界厚に対する側壁膜厚の比)は無ドープα−Siに近づくが、100%を超えない。反対に、単一ウェーハCVDプロセスはより広いプロセスウィンドウを提示し、かつヒ素によるイン・シトゥードーピングを可能にする。しかし、堆積レート(つまり、スループット)およびステップカバレージならびに堆積均一性との間にトレードオフがある。しかしながら、いずれのアプローチによっても、100%を超えるステップカバレージを達成する能力は達成されたままである。
[0012]深トレンチキャパシタ(10:1より大きなアスペクト比)の場合、製作プロセスは、シリコンウェーハへの深トレンチのエッチングによって開始する。90nm処理について、これらのトレンチは通常6〜8μmの深さおよび0.15μmの幅であり、40:1〜50:1より高いアスペクト比を呈している。とりわけ、このような深トレンチキャパシタの場合の関心は、トレンチのシームレスかつボイドレス充填であり、この問題は、寸法が縮小し、アスペクト比がさらに積極的、例えば70:1と高くなると、より重大である。トレンチの上部にボイドを形成することは特に問題であり、このボイドは通常、上部から約10〜30%下方のトレンチの上部付近に形成し、このようなボイドの存在は、後のコンタクト形成、およびキャパシタ構造の一部を形成する他の分離構造と干渉する。
[0013] ステップカバレージが100%を超え、好ましくは150%と高いイン・シトゥーAsHドープα−Si膜は、これらの深トレンチキャパシタ構造のボイドフリー充填に必要である。さらに、現在使用中の従来のα−Siシリコンプロセスは、最大100%までのステップカバレージを達成できるにすぎず、これは深トレンチの上部のボイドをもたらす。さらに、高アスペクト比特性はしばしばわずかにリエントラント型であり、これによってトレンチ内のあるポイントにおけるトレンチの幅は、この上方のある場所の幅よりもわずかに大きい。このような構造のボイドフリー充填は、堆積膜のステップカバレージが100%を超えなければ不可能である。
[0014]従って既存の拡散炉および/または単一ウェーハ処理炉の使用は問題があるままである。アルシンが拡散機構によってウェーハにわたって広がるはずの拡散炉の場合、AsHは反応し、かつウェーハの外縁で大部分が消費されるため、ウェーハにわたる堆積の均一性に大きく影響し、かつトレンチのヒ素堆積αシリコン層において十分なAsを取得することを非常に困難にする。生産性の点で競合する単一ウェーハ炉の場合、必要な膜成長レートを取得するために、これらは、例えば50〜200トールの範囲のかなり高い圧力で稼動しなければならない。これらの圧力において、しかしながら、気相核生成は問題であり、これは部分的には比較的高い流量によって対処される。
[0015]従って、低抵抗率かつ高品質のドープαシリコンによる深トレンチキャパシタの製作における深トレンチのボイドフリー充填に対して生産価値のあるプロセスの必要性がある。
発明の概要
[0016]本発明は概して「超充填」プロセスに関し、異なる分圧および常駐時間が、ガス流量およびチャンバ圧力を適切に調整することによってトレンチ内と比較してウェーハ表面上のポイントについて達成可能であるという事実に依拠している。トレンチ内のあるポイントに対してトレンチの表面においてアルシン濃度が高く、かつガス常駐時間が短い場合、得られる中毒効果は、表面に対してトレンチ内においてより高い堆積レートをもたらす。従って、重要な点は、表面に対してトレンチ内で異なる濃度およびガス常駐時間を達成することである。
[0017]この新規の深トレンチ超充填つまり「V」充填プロセスは、パターン化基板上のAsドープアモルファスシリコン(α−Si)の堆積の選択条件下で達成された深トレンチの上部から底部へと低下するAsH濃度の勾配を利用する。十分なAsH濃度勾配を達成するために必要なプロセス条件は、3つのパラメータ:AsH/SiH比、全ガス流および圧力を使用して最適化される。このAsH勾配は、トレンチの上部から底部への堆積レートの逆勾配をもたらす。結果として、側壁に沿って堆積された膜の厚さはトレンチの上部から底部へと増加し、最大堆積が底部で生じ、これは100%を超えるステップカバレージをもたらす。
[0018]ガス常駐時間および分圧の両方は注意深くコントロールされなければならないため、プロセスは、高い全流、AsHの高い分圧、および高い全圧で最良に操作される。高い全流は、ウェーハ表面のガスの短い常駐時間をもたらす。ウェーハにわたる対流は、ウェーハ表面へのアルシンおよびシラン両方の適切かつ連続的な供給を保証するため、ウェーハ表面にわたる反応剤濃度の勾配は非常に小さく、これはウェーハにわたる良好な膜均一性に不可欠である。トレンチの上部のウェーハ表面のSiHの短い常駐時間は、そこでのシリレン(SiH)の形成を防止し、従ってその場所での堆積レートの無ドープ成分を削減することができる。同時に、トレンチの上部のAsHの高い分圧はまたAsH種の高い表面濃度をもたらす。これは、トレンチの上部のゆっくりとした堆積レートおよび高いAs濃度をもたらす。
[0019]プロセスの高い接着係数および高い全圧ゆえに、トレンチへのAsHの拡散レートはSiHと比較して非常に低い。トレンチにおける高濃度のSiHおよび高い全圧はトレンチ内部の高レートのSiH形成をもたらすのに対して、トレンチにおけるAsHの低拡散率は表面中毒効果を低下させる。結合効果はトレンチの底部において高い堆積レートをもたらすのに対して、対応するアルシン濃度は最小になる。従って、膜厚の勾配の増加と、トレンチの上部から底部への膜内As濃度の勾配の減少とがある。トレンチの底部におけるアルシン濃度は依然として比較的高いため、堆積されたSi膜は、1×1020/cmを超えるアルシン濃度によってイン・シトゥー・ドープされる。
[0020]注目すべきことに、シリレンはシランよりもかなり反応性である。しかしながら過剰なシリレンはヒ素堆積膜の表面粗さを増加させ、ステップカバレージを劣化させ、かつ場合によっては粒子の気相核生成を始める恐れがある。加えて、シリレン駆動堆積が極めて急速に進む場合、不十分なAsがトレンチ充填に組み込まれることになり、許容可能なレベルのAsドーピングは達成されない。この点において、コントロールされた量の水素をアルシン/シラン流ストリームに含ませる(水素の存在は遅延の役割をする)ことによって、シリレンの形成を調節する方法を提供することが有用であると分かっている。
[0021]生産価値のあるスループットを達成するために、Torrex Equipment Corporationによって登録商標FlexStar(商標)によって以前販売されており、現在はApplied Materialsによって販売されているような平行ウェーハ処理堆積システムが、本発明のプロセスにおいて使用可能である。ウェーハ横断ガス流は、ウェーハにわたる反応剤の常駐時間(供給)を直接コントロールすることを可能にするため、FlexStar(商標)システムの一意のアーキテクチャによって反応器は、必要なレジームで稼動させられる。従って、通常の拡散炉におけるように、反応剤をウェーハ表面の全部分に供給するために拡散への依存性はない。粒子の気相核生成はまたこの特性ゆえに防止される。FlexStar(商標)堆積システムはまた、均一なウェーハ横断ガス流のためのマルチプレナム温度コントロール垂直シャワーヘッド噴射器を、広いプロセス空間にわたって無ドープかつ均一なイン・シトゥー・ドープα−Si膜の堆積を可能にする優れたウェーハ温度均一性をもたらす等温ウェーハ環境と結合させる。FlexStar平行ウェーハ処理反応器の詳細は、発行された米国特許第6,352,593号および公開特許出願US2003/0049372A1号に見ることができ、この両者は参照として本明細書に組み込まれている。
[0022]本発明の上記引用された特性が詳細に理解可能なように、上記簡潔に要約された本発明のより具体的な説明が実施形態を参照してなされてもよく、この一部が添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、また本発明は他の等しく効果的な実施形態を認めてもよいため、この範囲を制限するものと解釈されるべきではない点に注目されたい。
詳細な説明
[0035]本発明によって、ボイドの形成なく深トレンチをイン・シトゥー・ドープアモルファスシリコン、とりわけAsドープアモルファスシリコン膜で充填する一方で、同時に、150%という100%より大きなステップカバレージと、300mmウェーハについては<±2%〜±5%のWIW(With In Wafer)不均一性と、少なくとも1×1020/cmのヒ素濃度とを有する高品質の堆積膜を生成することが可能である。加えて、以下に説明されるようにFlexStarチャンバで達成可能なような複数ウェーハ処理の場合、26〜51個のウェーハが一度に処理可能である。
[0036]深トレンチキャパシタ構造の製作において、高アスペクト比のトレンチが最初に単結晶ウェーハに形成され、このようなトレンチは25−50:1以上のアスペクト比を有しており、より小さな臨界寸法構造にも必要とされることがある。図4および5を参照すると、ボイドフリートレンチ充填に提供するために、充填シーケンスが多数の個別ステップで実施される。スタートするために、部分的に処理されたウェーハが提供され(ステップ101)、ここで深トレンチは単結晶シリコンウェーハ52、トレンチを囲むAsドープウェル54、および薄いONO層56でコーティングされたトレンチの壁にエッチングされている。任意に、トレンチ充填前に、薄層の無ドープαシリコンはトレンチに堆積され(ステップ102)、この層はバリアとして作用して、後の熱アニーリング中のONO/αシリコン界面へのAsの移入を防止する。このバリア層は2、3〜100Åの厚さに堆積される。約50Åの通常のバリア層厚および毎分約50Åの堆積レートについて、堆積時間は約1分である。
[0037]本発明の第1の超充填ステップ104において、イン・シトゥー・ドープ(ISD)シリコン60はトレンチに堆積され、AsH/SiHの比は、「V」状充填プロファイルが取得されるようにコントロールされる。このステップは概して、520℃〜570℃に及ぶ可能性がある温度T1、かつ所与のAsH/SiH比に対して0.5〜10トールに及ぶ可能性がある圧力P1で適切なLPCVD反応器において実施される。このステップの堆積レートは概して1〜10Å/分に及ぶ。通常のレシピによって、後述されるように、約3Å/分の堆積レートが実現可能である。
[0038]図5を参照すると、充填シーケンスの第1のステップの結果が描かれている。イン・シトゥー・ドープαシリコンによるトレンチの充填に影響を与えるために、アルシンと混合されたシランがチャンバに導入され、窒素および/または水素がキャリアガスとして使用されている。注目すべきことに、所与のアルシン対シラン比について、窒素流は、ウェーハ、ならびに反応チャンバ内の反応圧力調節手段にわたって全ガス流量を調節するために使用可能である。水素の存在は、比較的高い反応圧力(例えば、1〜4トール以上)でのシリレンの気相形成を抑制するために使用可能である。堆積温度は概して、アモルファスシリコンの形成を助けるために520〜570℃に設定される。
[0039]堆積が生じ、かつトレンチが充填されると、トレンチ内かつこれにわたる深さによって膜内As濃度のドーパントプロファイルは平らにされる必要があるため、ヒ素堆積膜はアモルファスシリコンであることが重要である。これはウェーハの熱アニーリングによって達成される。アモルファスシリコン形成に必要な低温で操作することによって、堆積反応の良好なコントロールが達成され、粒子の形成が少なく、またヒ素堆積アモルファスシリコン膜のヒ素イオンがより大きな移動度を有し、従ってAs濃度の等価はより容易に達成される。ヒ素堆積膜が多結晶シリコンを備えるより高い温度の場合、多結晶シリコンの粒子境界は、アニーリング中に堆積された充填材料内のヒ素の周辺移動を引き起こす。
[0040]この第1の層の形成において、供給ガスにおける高いAs濃度は、100%より大きなステップカバレージを提供するために、トレンチの底部と比較してトレンチの上部に向かって減少する堆積レートを取得するために使用される。最小量のAsがヒ素堆積αシリコンに組み込まれることを確実にするために、アニーリング後に350Ω/sq未満のシート抵抗を有する充填とすると、トレンチの底部のヒ素堆積As濃度は概して2×1020cm/〜1×1021/cmであるべきであり、ターゲット濃度は4×1020/cmである。トレンチ内部ではAsH濃度はより小さいと想定され、SiH常駐時間は電界領域に対してより長いと想定される。得られる充填は概して図6Aに図示されているように見える。
[0041]次のステップ(106)において、トレンチの残りの充填62が完了される。このステップは、約100%の堆積膜のステップカバレージをもたらす堆積プロセス条件として定義されたベースラインプロセスを構成する。このステップの堆積充填におけるヒ素のターゲット濃度は>3×1020/cm〜5×1020/cmに及ぶ。第1の充填を形成するために使用される場合の、このようなベースラインプロセスは、図6Bに示されるような堆積プロファイルをもたらす。トレンチがベースラインプロセスのみを使用して充填される場合、ボイド含有充填がもたらされることが理解されるであろう。しかしながら、超充填プロセスを最初に実行して、堆積されたイン・シトゥー・ドープ膜の厚さが深さによって増加するV状充填プロファイルを作成することによって、(例えば、少ないアルシンを使用する)より速いベースラインプロセスが、ボイドフリー充填操作を完了させて、図7に図示されるようなトレンチ充填プロファイルを生成するために用いられることが可能である。
[0042]ベースライン充填プロセス自体は520℃〜570℃に及ぶ可能性がある温度T2で稼動され、ステップ104のT1と同じ温度であるように最も便宜的に選択される。圧力P2は0.5トール〜10トールに及ぶ可能性があるが、トレンチの充填をより急速にするために、概してP1より低く選択される。代替的に、アルシンの濃度は、より低い圧力でのさらに早い充填に影響を与えるために低下可能である。ステップ106は好ましくは、ステップ104で使用されるのと同じプロセスチャンバにある(複数の)ウェーハによって稼動される。このプロセスにおいて、約3e〜4の選択AsH/SiH比、かつ2〜4トールの圧力で、得られる膜は、〜5×1020/cmのドーパント濃度を有することになり、堆積レートは概して20〜30Å/分に及ぶ。
[0043]次のステップ108において、無ドープブランケットキャップ層64は、ウェーハ表面の平坦化プロセスの一部としてウェーハ/充填トレンチにわたって堆積される(図8参照)。ブランケット堆積層の厚さは重大ではないが、概して少なくとも約1000Aであり、より一般的にはおよそ1000A〜2500Aである。最初の2つの充填ステップの温度および圧力で、膜は約50〜60Å/分の堆積レートで堆積される。このブランケット堆積ステップにおいて、トレンチ充填はもはや問題ではなく、良好な充填均一性はより低い圧力で取得され、かつ気相核生成のリスクが低下されるため、反応圧力P3は概して、第1のステップ104(または第2のステップ106)の反応圧力未満になるように選択される。堆積される膜の厚さを考えると、このプロセスステップは約20〜40分かかる。
[0044]最初の2つの堆積ステップ、実際には全3つのシリコン堆積ステップは、ウェーハをプロセスチャンバから除去するか、そうでなければ真空破壊する必要のない同じチャンバにおいて、同じレシピ構造内で順次実施可能であることが認識されるべきである。従って、3つの堆積ステップならびに初期バリア層堆積は、AsH/SiH比、温度、全ガス流および圧力の変更が容易に適合可能である同じチャンバにおいて、比較的迅速に遂行可能である。
[0045]充填プロセスを完了させるために、ブランケットウェーハは化学的機械的研磨ステップ(110)に付される。その後、ウェーハは、堆積されたαシリコン充填材料内のドーパントの分布をさらに等化するために熱アニーリング(ステップ112)に付される。このアニーリングプロセスは通常、ランダムに配向された小型粒子多結晶シリコンに変換されたアモルファスシリコンのプロセス中に約900℃で30〜60分間Nにおいて稼動される。この期間中、イン・シトゥー・ドープAsは電気的に活性化され、かつシリコン充填内の拡散を介して再分布されて、深さによるAs濃度のプロファイルを平らにする。
[0046]充填されるトレンチの深さおよび幅(アスペクト比)を含む既知の特性設計の所与のパターン化ウェーハのレシピを展開する際に、多数のプロセス稼動が実行可能であり、測定がなされ、かつ実験データから確立されたAsH/SiH比、全圧、流量およびAs濃度の関連性が展開される。
[0047]複数の個別堆積が種々の時間長さで実施可能である。調整可能な変数を考えると、アルシン流量、シラン流量、反応器の温度、および反応器内の全圧が適合可能である。以下の説明において、充填されるトレンチにおけるアモルファスシリコンの形成を助けるために約540℃〜550℃に保持される温度で、以下により完全に説明されるように、テスト稼動がFlexStarチャンバで遂行された。アルシンおよびシランの流れは標準MFCを使用して調節される。圧力は、窒素または水素と組み合わせた窒素を使用して、あるいはチャンバ排出開口をコントロールするスロットルバルブを調節することによって調節された。プロセスは2、4および8トールに及ぶ種々の全圧で稼動された。
[0048]ウェーハが処理されると、これらはセクショニングおよびSEM分析に付された。電界膜圧ならびにトレンチ壁に沿った種々のポイントでの堆積された側壁膜の厚さの測定がなされた。これらの測定より、堆積時間を考えると、トレンチ内の種々のポイントでの堆積レートおよびステップカバレージの両方が計算およびプロット可能であった。事前アニーリングされたヒ素堆積膜のヒ素濃度はマイクロSIMS(二次イオン質量分光法)分析を使用して測定され、電界膜ならびにトレンチの測定がなされた。ウェーハ内でブランケットウェーハの均一性が測定された。実験的に導出されたデータは、図9A〜9Gのプロットを準備するために使用された。
[0049]これらの例示的結果から分かるように、AsH対SiHの比が増加されるにつれて、ヒ素堆積膜におけるAs濃度は増加する。さらに記されるように(図9B)、アルシン量が増加されるにつれて、堆積レートが減少する。Asの存在が堆積レートを遅らせる傾向があるのに対して、より高い圧力がより速い堆積を助ける傾向があることもまた観察される。これは実際図9Bに図示されており、膜堆積レートは概してAsH濃度の増加によってのみではなく、同様に圧力の低下によっても減少することが分かる。この観察はさらに、図9Cおよび9Dにおいてプロットされたモデリングデータによって確認されており、ここでは圧力が増加されるにつれて膜におけるヒ素濃度が任意の所与のアルシン/シラン流比について低下される傾向があることと、トレンチ内のアルシン枯渇が、シラン枯渇よりも全圧によって迅速に増加することが明らかである。
[0050]このデータから、修正プロセスが、優れたステップカバレージを達成するために展開された。以下の論述において、ウェーハの処理は、40:1のアスペクト比を有するトレンチについて200/300mmFlexStarチャンバにおいて実施された。イン・シトゥーAsドープαシリコンの堆積レートに対する無ドープα−Siの堆積レートの比(つまり、AsH=0)が、150%のステップカバレージをターゲットとする少なくとも1.5倍となるようにAsH流が選択された。堆積レートは、プロセスデータベースに基づいて、無ドープα−Siと比較して膜のドーパント濃度を推定するための測定基準として使用された。図9Bに示された堆積レート対AsH流のプロットが、AsH流を選択するために使用された。付加的に、堆積レート、厚さ不均一性および表面粗さが、プロセスを終了させるために最適基準として使用可能であり、これは〜9×1020/cm−3のドーパント濃度を有すると推定される(図9C)。
[0051]ボトムアップ充填を達成するために、少しのAsH枯渇でもトレンチ内の堆積レートの大きな増加をもたらすように、AsH/SiH比に対する堆積比の感応性を最大化することが望ましい。これは、(a)SiH定数の分圧を維持しつつ堆積圧を増加させることによって、および(b)SiH分圧を低くキープしつつ高いAsH/SiH比を維持することによる、2つの方法で達成可能である。全圧が増加されると、トレンチ内のAsH枯渇は増加する。より高い圧力は、堆積された膜内で消費されると最終的な枯渇をもたらすトレンチへのアルシンの分散を遅らせる。深トレンチ内のAsH濃度(図9F)はまた、AsH/SiH比およびプロセス圧力を考えると予測可能である。これは、深トレンチの壁に沿った任意のポイントに堆積された膜厚を測定することによって達成される。想定通り、トレンチ内のより低いAsH濃度は、トレンチの表面に対してトレンチの底部においてより厚いがわずかにドープされている膜をもたらす。
[0052]モデリングデータは、150%のステップカバレージが、1020/cmという最小As濃度で深トレンチ内で達成可能であることを示している。プロセスは次いで、入口ガスストリームにおけるSiHおよびAsHの分圧を変更せずに2、5および8トールで稼動された。ウェーハ表面の堆積レートはシランおよびアルシンの分圧に一次的に依存しているため、ウェーハ表面の堆積レート(図5の要素58)は、全圧が増加されると比較的一定のままであった。しかしながら、トレンチ内の堆積レートは定常的に増加した。この実験からのステップカバレージデータは、事前に取得された実験データのプロットから取得可能な予測を立証するために使用された。想定されたように、最大ステップカバレージは、図9Eを参照して予測されたようにより高い圧力で取得された。
[0053]次に、一連の堆積が、AsH分圧を増加させながら(つまり、アルシン流を増加させながら)8トールで実行された。これらのテストからの結果は、110〜128%という最大ステップカバレージが、標準全流9.63slmおよびAsH/SiH比5×10−4で可能であることを示した。ステップカバレージは(ウェーハ表面での常駐時間を短縮する)全流と、(ウェーハ表面でのアルシン中毒を増加させる)AsH流と、(枯渇がトレンチ内に深くなるほど厳しくなる)深トレンチへの深さとを増加させることによって改善したことも明示している。110%という最大ステップカバレージはこの修正プロセスによって達成された。
[0054]ステップカバレージをさらに増加させ、かつ最終的な深トレンチV充填プロセスを選択するために、3セットの堆積が、ブランクウェーハ上のベースライン流の全流量の3つの設定、1倍、2倍および3倍でAsH/SiH比を変化させることによって実行された。このデータは図9Gに示されている。このシリーズからの堆積レートデータは次いで、堆積レートがAsH=0の場合の堆積レートの<0.25倍である3つの条件を選ぶために使用された。これらの条件は、ステップカバレージを測定するために深トレンチウェーハにわたってV状超充填膜を堆積するために使用された。結果は以下に示される。
Figure 2008523640
[0055]上記のプロセス展開/特徴化研究に基づいて、超充填プロセスの最終シーケンスが以下のように選ばれた:
ステップ−1:薄層がV充填プロセスを使用して堆積された(8トール、28.89slm全流、AsH/SiH=4×10−4およびTdep=540℃)。これは、ベースラインAsドープα−Siプロセスによる充填を完了させる前に深トレンチ内で必要とされる初期V状プロファイルを形成する。この層の厚さは、V状プロファイルがトレンチ内で取得されるように選ばれた。
ステップ−2:トレンチはベースラインイン・シトゥーAsHドープα−Siプロセスで充填された(4トール、9.63slm全流、AsH/SiH=2e−4およびTdep=540℃)。
ステップ−3:厚い無ドープα−Siキャップ層が堆積されて、表面を平坦化した。
[0056]通常のレシピがAsドープシリコンのボイドフリー充填の堆積について以下に図示されている。
Figure 2008523640
[0057]上記のように、本発明のプロセスシーケンスは単一ウェーハLPCVDチャンバで実施可能である。しかしながら、このような単一ウェーハ処理は、最大4〜5個の単一ウェーハプロセスチャンバが中央転送チャンバに直接接続されているクラスターツールプラットフォームでたとえ実施されたとしても、必要とされる比較的長い堆積時間を考えると、商業的に実行可能なプロセスをもたらさない。しかしながら、FlexStar(商標)堆積システムは、事実上の単一ウェーハ処理チャンバの垂直スタックを具備しており、本発明のプロセスにとりわけ適している。このシステムは、200mmおよび300mmのウェーハを処理可能であり、(均一なウェーハ横断ガス流の)マルチプレナム温度コントロール垂直シャワーヘッド噴射器を、広いプロセス空間にわたって無ドープかつ均一なイン・シトゥー・ドープα−Si膜の堆積を可能にする優れたウェーハ温度均一性をもたらす等温ウェーハ環境と結合させる。ウェーハ横断ガス流は、ウェーハの各々にわたる反応剤の常駐時間(供給)を直接コントロールすることを可能にするため、FlexStar(商標)システムの一意のアーキテクチャによって反応器はこのプロセスレジームで稼動させられる。従って、通常の拡散炉におけるように、ウェーハ表面の全部分に反応剤を供給するために拡散への依存性はない。粒子の気相核生成もまたこの特性ゆえに防止される。
[0058]本発明のボイドフリートレンチ充填の全プロセス時間は約154分である。単一ウェーハ処理の場合、これは製造環境において簡単に受容可能ではない。一度に最大26個のウェーハを処理するFlexStar平行ウェーハ処理反応器によって、6WPHのスループットが可能である。51ウェーハボートを収容するように設計された修正平行処理チャンバを用いることによって、生産性は倍増可能である。更なる生産性利得は、図12に図示された装置を使用して実現可能であり、ここでは(他のプロセスチャンバ202A、202Bと結合されてもよい)2つのTorrexチャンバ200が多側面転送チャンバ112に固定されている。
[0059]FlexStar(商標)チャンバ200(図10〜11)は、ガス噴射用の垂直温度コントロールシャワーヘッド210と、垂直温度コントロール排出300と、処理されるウェーハを保持するためのサセプタボート(図示せず)を加熱するための熱放射拡散シールド422を具備する4つのPID/高温計コントロールマルチゾーン線形ランプアレイ400とを含んでいる。(最大51個のウェーハを保持するように構成可能な)26個のウェーハに対して構成された回転ボートは、複数の対のサセプタ間のウェーハサポートピン上にポート360を介してロボット制御でロードされたウェーハを具備する、高質量SiCコートグラファイトサセプタ424からなる。この形状は、複数の対のサセプタ間に事実上のブラック本体キャビティを確立する。ボートの長さに沿った、かつ各サセプタにわたる熱対称性は、小さな熱暴走に対して感応性がないウェーハロードおよびウェーハ温度とは無関係のプロセス結果をなす。
[0060]200mmおよび300mmウェーハの両方が、ハードウェア変更を全く必要とせずに同じ稼動でロード可能であるように、2つの個別セットのサポートピンが各サセプタに含まれている。ボートは、熱拡散シールドからの再放射によって設定温度に加熱される。垂直シャワーヘッド210からボートを介して垂直排出300へ確立されたウェーハ横断ガス流はガス沈滞を排除し、気相枯渇を最小化し、気相粒子生成を抑制する役割をする常駐時間にわたり正確なコントロールを提供する。シールド形状は、ボートを介するウェーハ横断ガス流を強いるボート周辺のガス流を妨害する。サセプタの直径はウェーハの直径を超えているため、プロセスガスは事前加熱され、境界層は、流れがウェーハに達する前に完全に確立され、ウェーハ縁効果を最小化する。流れコンダクタンスは、流れコンダクタンスがボートにロードされたウェーハに影響される垂直拡散炉とは異なり、サセプタ間間隔によってコントロールされる。ゆえに、サセプタボート内に軸方向にセンタリングされた部分的ロットは処理可能であり、ロードゾーンの残りの部分のダミーウェーハの必要性を取り除く。ウェーハ横断ガス流と結合された等温環境は、広い圧力範囲(0.5〜10トール)にわたる超均一性の堆積膜をもたらす。
[0061]ウェーハは複数の対のホットサセプタ間のウェーハボートにロードされるのに対して、ボートは、ローディングゾーン220b内に位置決めされ、全ウェーハがロードされるまで持ち上げ/回転機構600によって垂直にインクリメントされる。その後、充填されたボートはチャンバ200の処理ゾーン220aに上げられて、ここでウェーハは処理中回転される。図12をさらに参照すると、処理が完了した後、ウェーハはロボットアーム113によって開口360を介してボートからアンロードされて、2つのアクティブ冷却ロードロックチャンバ106Aおよび106Bのうちの一方に置かれる。ウェーハが十分に冷却されると、処理されたウェーハはロードロックから除去されて、大気ロードポートにおいてFOUPつまりカセット105A〜105Dに戻される。
[0062]要約すると、本発明のV充填プロセスは、異なる分圧および常駐時間は、ガス流量およびチャンバ圧力を適切に調整することによってトレンチ内に比較してウェーハの表面のポイントで達成可能であるという事実に依拠している。トレンチ内のポイントに対してトレンチの表面においてアルシン濃度がより高く、かつガス常駐時間がより短い限り、中毒効果は、表面に対してトレンチ内のほうがより高い堆積レートをもたらす。従って、重要なことは、表面に対してトレンチ内で異なる濃度およびガス常駐時間を達成することである。
[0063]ガス常駐時間および分圧は注意深くコントロールされなければならないため、プロセスは高い全流および圧力で最良に操作される。高い全流はウェーハ表面上のガスの短い常駐時間をもたらす。ウェーハにわたるこの対流は、ウェーハ表面へのアルシンおよびシラン両方の適切かつ連続的な供給を保証するため、ウェーハ表面にわたる反応剤濃度の勾配は非常に小さく、これはウェーハにわたる良好な膜均一性にとって不可欠である。反対に、トレンチ内の反応剤輸送は、ウェーハ表面で動作するかなり高速な対流輸送よりもむしろ比較的ゆっくりとした拡散プロセスを介して生じるため、トレンチ内のガス常駐時間はより長くなる。
[0064]本発明の代替実施形態では、AsHを使用するのではなく、tブチルアルシンなどのより高い分子量のヒ素ソースを使用することによって、トレンチへのアルシンソースガスの拡散はさらにスローダウン可能である。他の適切なガスソースはトリメチルアルシン、tフェニルアルシン、トリエチルアルシン、トリシリルアルシンおよびトリプロピルアルシンを含んでいる。より重い分子はより軽いAsH分子よりもゆっくりと拡散するため、正味効果は、トレンチの底部のAs濃度を低下させることであり、従ってその場所でのアモルファスシリコンの堆積レートを増加させる。
[0065]さらに別の代替例において、Asソースはプロセスチャンバにパルス可能である。このように、トレンチへの拡散に使用可能なAs量は低下され、ウェーハの上部にわたるガス流は、AsHガス流が中断される期間中ウェーハの上方でAsソースガスを急速に枯渇させる傾向がある。ALDプロセスに類似の方法でガスを迅速にパルスすることによって、高ヒ素濃度がウェーハの表面で達成されるのに対して、トレンチへのアルシンの拡散はさらに低下される。
[0066]さらに別の実施形態では、トレンチへのヒ素ソースの拡散は、より軽くかつより一般的なN希釈剤の代わりにより高い分子量の希釈ガスを使用することによって、さらにゆっくりにされることが可能である。例えば、高次アルカン類および環状アルカン類などの(適切に気化される場合の)種々の溶媒は、トレンチへのアルシンの拡散をゆっくりにするための希釈ガスとして使用可能である。例示的気化溶媒はブタン、ペンタン、ヘキサン、オクタンおよびヘキサデクタンを含んでいる。
[0067]本発明はここでヒ素をドーパントとして使用することと関連して説明されてきたが、他のドーパントも使用されてもよいことが認識されるべきである。また、本明細書に説明された反応の温度、圧力および時間は図示目的にすぎない。ここでの教示と一貫した他の時間、圧力および反応剤濃度は、本発明の主旨および範囲から逸脱することなく使用可能であることが認識されるであろう。
[0068]上記は本発明の実施形態を目的としているが、本発明の他の更なる実施形態がこの基本的範囲から逸脱することなく考案されてもよく、またこの範囲は以下の請求項によって判断される。
従来技術の垂直拡散炉の垂直断面図である。 従来技術の単一ウェーハ処理炉の垂直断面図である。 ウェーハ表面のCMPが続くキャパシタ形成の中間段階の、ボイドフリードープシリコンが充填された深トレンチキャパシタ構造の図である。 図3の中間深トレンチキャパシタ構造のボイドフリー充填を取得するために使用されるプロセスステップのフローチャートである。 本発明の超充填方法に従った、ドープアモルファスシリコンによる部分的充填後の未充填深トレンチ構造の図である。 図5に描かれたような深トレンチの超充填後の分割ウェーハの図である。 ベースライン充填プロセスを使用する第1の充填後の第2のウェーハの図である。 ベースライン充填プロセスを使用してドープアモルファスシリコンによるボイドフリートレンチ充填が完了された図5の構造の図である。 α−シリコンのキャップ層が適用された図7の深トレンチ構造の図である。 本発明の方法に従ったウェーハ処理から導出された実験データのプロットを示している。 本発明の方法に従ったウェーハ処理から導出された実験データのプロットを示している。 本発明の方法に従ったウェーハ処理から導出された実験データのプロットを示している。 本発明の方法に従ったウェーハ処理から導出された実験データのプロットを示している。 本発明の方法に従ったウェーハ処理から導出された実験データのプロットを示している。 本発明の方法に従ったウェーハ処理から導出された実験データのプロットを示している。 本発明の方法に従ったウェーハ処理から導出された実験データのプロットを示している。 本発明の方法に従った、ボイドフリー深トレンチキャパシタ構造の商業生産において使用するためのFlexStar(商標)平行ウェーハ処理反応器を描いている。 本発明の方法に従った、ボイドフリー深トレンチキャパシタ構造の商業生産において使用するためのFlexStar(商標)平行ウェーハ処理反応器を描いている。 2つのFlexStar(商標)反応器を含む、Santa Clara,CAのApplied Materialsによって販売されているCentura(登録商標)プラットフォームに基づいたクラスターツールの図である。
符号の説明
10…石英チューブ、12…チャンバシールプレート、14…ボート、16、28…基板、18…ガス噴射チューブ、20…ガス入口チューブ、24…排出ポート、26…ヒーター要素、30…回転基板キャリア、32…上部石英ドーム、34…下部石英ドーム、36…チャンバ壁、38…上部ランプ、40…下部ランプ、42…ガス入力ポート、44…排出ポート、50…充填深トレンチ構造、52…結晶シリコン、54…ドープウェル、56…キャパシタ誘電体、58…ウェーハ表面、60…深トレンチ充填、105A〜105D…カセット、106A、106B…ロードロックチャンバ、112…多側面転送チャンバ、113…ロボットアーム、200…チャンバ、202A、202B…プロセスチャンバ、210…垂直シャワーヘッド、220a…処理ゾーン、220b…ローディングゾーン、300…垂直排出、360…ポート、400…PID/高温計コントロールマルチゾーン線形ランプアレイ、422…熱放射拡散シールド、424…サセプタ、600…持ち上げ/回転機構。

Claims (31)

  1. イン・シトゥー・ドープシリコンによってボイドフリー高アスペクト比トレンチを形成するための方法であって、
    高アスペクト比を有する深トレンチを提供するステップと、
    前記トレンチ内に第1のドープアモルファスシリコン層を形成するステップであって、ヒ素堆積された前記形成された層がV状プロファイルを提示するように、前記層が100%より大きなステップカバレージを有するステップと、
    前記トレンチを充填するために前記第1の層にわたって第2のドープアモルファスシリコン層を形成するステップと、
    を備える方法。
  2. 前記第2のドープアモルファスシリコン層の前記膜が、前記第1のドープアモルファスシリコン層より低いヒ素堆積ドーパント濃度を有する、請求項1に記載の方法。
  3. 前記第1および第2のドープアモルファスシリコン層がヒ素(As)ドープ層である、請求項1に記載の方法。
  4. 前記深トレンチが25:1より大きなアスペクト比を有する、請求項1に記載の方法。
  5. 前記第1および第2のドープアモルファスシリコン層にわたって第3の無ドープ層を形成するステップをさらに含む、請求項1に記載の方法。
  6. ヒ素堆積された前記第1のドープアモルファスシリコン層が100%より大きなステップカバレージを有する、請求項1に記載の方法。
  7. 前記形成ステップが平行マルチウェーハ処理チャンバで実施される、請求項1に記載の方法。
  8. 前記第1のドープアモルファスシリコン層のヒ素ドーパントの最小濃度が、熱アニーリング処理ステップを実行する前に少なくとも1×1020cm−3である、請求項1に記載の方法。
  9. 膜がボトムアップから堆積する第1のアモルファスシリコン堆積層を形成するステップを備える、深トレンチをボイドフリー充填するための方法。
  10. 高アスペクト比トレンチのボイドフリー充填を達成するために、複数ウェーハ処理チャンバで複数のウェーハを同時処理するための方法であって、
    ウェーハサポートを含むプロセスチャンバを提供するステップであって、前記サポートが1つ以上のウェーハを保持可能であるステップと、
    1つ以上のウェーハをプロセスチャンバに提供し、かつ前記ウェーハを前記サポート内に位置決めするステップであって、前記提供されたウェーハの各々が複数の高アスペクト比トレンチをその中に形成しているステップと、
    アルシンソースガスおよびシランソースガスの混合物を前記ウェーハに同時に導入することによって、前記混合ガスが前記ウェーハにわたって流されるステップと、
    前記トレンチ内の前記堆積層の前記ステップカバレージが100%より大きくなるように、前記ガスを一定温度に加熱することによって、前記シランおよびアルシンが前記ウェーハの前記表面および前記深トレンチ内で反応して、ヒ素ドープアモルファスシリコンの層を堆積するステップと、
    を備える方法。
  11. 前記方法がさらに、前記トレンチの充填が完了される前記プロセスチャンバから前記ウェーハを除去せずに実行される第2の堆積ステップと、ヒ素ドープアモルファスシリコンの更なる層を堆積するためにより高い堆積レートで実施される第2の反応とを含む、請求項9に記載の方法。
  12. 前記プロセスチャンバから前記ウェーハを除去せずに、アモルファスシリコンの無ドープ層が前記充填されたトレンチの上部に堆積される第3の堆積ステップが実施される、請求項10に記載の方法。
  13. 前記第1の堆積膜の前記ステップカバレージが100%〜150%である、請求項9に記載の方法。
  14. 少なくとも25個のウェーハが同時に処理される、請求項9に記載の方法。
  15. 複数の深トレンチをその中でエッチングする半導体ウェーハであって、前記トレンチのうちの1つ以上が、
    ウェーハサポートを含むプロセスチャンバを提供するステップであって、前記サポートが1つ以上のウェーハを保持可能であるステップと、
    1つ以上のウェーハをプロセスチャンバに提供し、かつ前記ウェーハを前記サポート内に位置決めするステップであって、前記提供されたウェーハの各々が複数の高アスペクト比トレンチをその中に形成しているステップと、
    アルシンソースガスおよびシランソースガスの混合物を前記ウェーハに同時に導入することによって、前記混合ガスが前記ウェーハにわたって流されるステップと、
    前記トレンチ内の前記堆積層の前記ステップカバレージが100%より大きくなるように、前記ガスをある温度に加熱することによって、前記シランおよびアルシンが前記ウェーハの表面および前記深トレンチ内で反応して、ヒ素ドープアモルファスシリコンの層を堆積するステップと、
    を備える方法に従って、ヒ素ドープアモルファスシリコン膜によって充填されている半導体ウェーハ。
  16. 深トレンチが誘電層によって裏打ちされ、かつヒ素ドープアモルファスシリコンによってボイドフリー充填される、深トレンチキャパシタ構造前駆体をその中に形成している半導体ウェーハを備える製品。
  17. 前記誘電層が、酸化物、窒化物および酸化物の個別層を含む、請求項16に記載の製品。
  18. 半導体ウェーハに配置された深トレンチのボイドフリー充填の方法であって、
    ヒ素(As)ドープアモルファスシリコンを備える前記トレンチ内に第1の堆積コンフォーマル層を形成するステップであって、前記層がアルシン(AsH)およびシラン(SiH)の反応によって形成され、前記AsH/SiH比が2×10−4〜8×10−4であり、前記反応圧力が0.5トール〜10トールであり、前記堆積温度が520℃〜570℃であるステップと、
    ヒ素(As)ドープアモルファスシリコンを備える前記トレンチ内に第2の堆積層を形成するステップであって、前記第2の層がアルシン(AsH)およびシラン(SiH)の反応によって形成され、前記AsH/SiH比が2×10−4〜8×10−4であり、前記反応圧力が0.5トール〜10トールであり、前記堆積温度が520℃〜570℃であるステップと、を含むことによって、
    前記第2の堆積層の前記AsH/SiH比および圧力が、前記第2の層の前記堆積レートが前記第1の層の前記堆積レートよりも速くなるように選択され、かつ第2の堆積層形成ステップが、前記充填材料にボイドを形成せずに前記トレンチを充填するのに十分な時間継続される方法。
  19. 前記ウェーハ表面の平坦化の前に前記充填された深トレンチにわたって、厚い無ドープアモルファスシリコンキャップ層を形成する更なるステップを含む、請求項18に記載の方法。
  20. 窒素ガスが前記第1の堆積ステップ中に導入される、請求項18に記載の方法。
  21. 水素ガスが前記第1の堆積ステップ中に導入される、請求項18に記載の方法。
  22. イン・シトゥー・ドープシリコンによってボイドフリー高アスペクト比トレンチを形成するための方法であって、
    電界領域および高アスペクト比深トレンチをその中に形成している基板を、処理流域を有する基板処理チャンバに提供するステップと、
    前記高アスペクト比深トレンチにおいて100%より高いステップカバレージを有する前記高アスペクト比深トレンチ内に、第1のドープアモルファスシリコン層を堆積するステップであって、
    前記基板を約520℃〜約570℃の温度に加熱する工程と、
    第1のガスを前記処理領域に流す工程と、
    シラン含有ガスを前記処理領域に流す工程と、
    ヒ素(As)含有ガスを前記処理領域に流す工程であって、ヒ素の全濃度が第1の濃度に等しい工程とを備えるステップと、
    前記高アスペクト比深トレンチ内に第2のドープアモルファスシリコン層を堆積するステップであって、
    前記基板を約520℃〜約570℃の温度に加熱する工程と、
    第1のガスを前記処理領域に流す工程と、
    シラン含有ガスを前記処理領域に流す工程と、
    ヒ素(As)含有ガスを前記処理領域に流す工程であって、ヒ素の全濃度が前記第1の濃度未満の第2の濃度に等しい工程とを備えるステップとを備える方法。
  23. 前記第1の濃度が、前記電界領域上の前記第1のドープアモルファスシリコン層の堆積を阻害するのに十分高い、請求項21に記載の方法。
  24. 前記第1のガスが窒素、水素およびヘリウムからなる群より選択される、請求項21に記載の方法。
  25. 第1のドープアモルファスシリコン層がV状プロファイルを有する、請求項21に記載の方法。
  26. イン・シトゥー・ドープシリコンによってボイドフリー高アスペクト比トレンチを形成するための方法であって、
    電界領域および高アスペクト比深トレンチをその中に形成している基板を、処理領域を有する基板処理チャンバに提供するステップと、
    前記高アスペクト比深トレンチにおいて100%より大きなステップカバレージを有する前記高アスペクト比深トレンチ内に、第1のドープアモルファスシリコン層を堆積するステップであって、
    前記基板を約520℃〜約570℃の温度に加熱する工程と、
    シラン含有ガスを前記処理領域に流す工程と、
    ヒ素(As)含有ガスを前記処理領域に流す工程と、
    第1のガスを前記処理領域に流す工程と、
    前記処理領域において第1の全圧に達するまで、前記第1のガス、前記シラン含有ガスおよび前記ヒ素(As)含有ガスの流れを適合させる工程と、を備えるステップと、
    前記高アスペクト比深トレンチ内に第2のドープアモルファスシリコン層を堆積するステップであって、
    前記基板を約520℃〜約570℃の温度に加熱する工程と、
    シラン含有ガスを前記処理領域に流す工程と、
    ヒ素(As)含有ガスを前記処理領域に流す工程と、
    第1のガスを前記処理領域に流す工程と、
    前記処理領域において第2の全圧に達するまで前記第1のガス、前記シラン含有ガスおよび前記ヒ素(As)含有ガスの流れを適合させる工程であって、前記第2の全圧が前記第1の全圧未満である工程と、を備えるステップとを備える方法。
  27. 前記第1のガスが窒素、水素およびヘリウムからなる群より選択される、請求項25に記載の方法。
  28. 第1のドープアモルファスシリコン層がV状プロファイルを有する、請求項25に記載の方法。
  29. ボイドフリー充填ヒ素(As)ドープアモルファスシリコン深トレンチ構造。
  30. 前記深トレンチが40:1より大きなアスペクト比を有する、請求項28に記載の深トレンチ構造。
  31. 前記トレンチ内のヒ素ドーパントの最小濃度が熱アニーリング前に1×1020である、請求項28に記載の深トレンチ構造。
JP2007546817A 2004-12-14 2005-12-13 深トレンチのドープシリコン充填のプロセスシーケンス Expired - Fee Related JP5252417B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/011,550 2004-12-14
US11/011,550 US7109097B2 (en) 2004-12-14 2004-12-14 Process sequence for doped silicon fill of deep trenches
PCT/US2005/044985 WO2006065776A2 (en) 2004-12-14 2005-12-13 Process sequence for doped silicon fill of deep trenches

Publications (3)

Publication Number Publication Date
JP2008523640A true JP2008523640A (ja) 2008-07-03
JP2008523640A5 JP2008523640A5 (ja) 2011-08-18
JP5252417B2 JP5252417B2 (ja) 2013-07-31

Family

ID=36584548

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007546817A Expired - Fee Related JP5252417B2 (ja) 2004-12-14 2005-12-13 深トレンチのドープシリコン充填のプロセスシーケンス

Country Status (6)

Country Link
US (3) US7109097B2 (ja)
EP (1) EP1829095A2 (ja)
JP (1) JP5252417B2 (ja)
KR (1) KR100930140B1 (ja)
CN (1) CN100561694C (ja)
WO (1) WO2006065776A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015126161A (ja) * 2013-12-27 2015-07-06 東京エレクトロン株式会社 凹部を充填する方法及び処理装置
JP2019165079A (ja) * 2018-03-19 2019-09-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2020526923A (ja) * 2017-07-12 2020-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Si間隙充填のための周期的な共形堆積/アニーリング/エッチング

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3998677B2 (ja) * 2004-10-19 2007-10-31 株式会社東芝 半導体ウェハの製造方法
US7109097B2 (en) * 2004-12-14 2006-09-19 Applied Materials, Inc. Process sequence for doped silicon fill of deep trenches
US8012847B2 (en) 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US7344975B2 (en) * 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7608195B2 (en) * 2006-02-21 2009-10-27 Micron Technology, Inc. High aspect ratio contacts
JP4640221B2 (ja) * 2006-03-10 2011-03-02 セイコーエプソン株式会社 インクカートリッジ及びプリンタ
KR20100040455A (ko) * 2008-10-10 2010-04-20 주식회사 동부하이텍 반도체 소자의 제조 방법
US7943463B2 (en) * 2009-04-02 2011-05-17 Micron Technology, Inc. Methods of semiconductor processing involving forming doped polysilicon on undoped polysilicon
CN101859700B (zh) * 2009-04-09 2012-05-30 上海先进半导体制造股份有限公司 多晶硅淀积工艺
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
US8105956B2 (en) * 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
US8293625B2 (en) 2011-01-19 2012-10-23 International Business Machines Corporation Structure and method for hard mask removal on an SOI substrate without using CMP process
KR20130087929A (ko) * 2012-01-30 2013-08-07 에스케이하이닉스 주식회사 트랜치 소자분리층을 갖는 반도체소자 및 그 제조방법
JP6059085B2 (ja) * 2013-05-27 2017-01-11 東京エレクトロン株式会社 トレンチを充填する方法及び処理装置
US9704708B2 (en) 2014-07-11 2017-07-11 Applied Materials, Inc. Halogenated dopant precursors for epitaxy
US20160020094A1 (en) * 2014-07-18 2016-01-21 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
KR102318197B1 (ko) 2014-09-22 2021-10-26 삼성전자주식회사 씨모스 이미지 센서의 픽셀 및 이를 포함하는 이미지 센서
US9401410B2 (en) * 2014-11-26 2016-07-26 Texas Instruments Incorporated Poly sandwich for deep trench fill
CN105826312B (zh) * 2015-01-04 2019-01-11 旺宏电子股份有限公司 半导体元件及其制造方法
KR101706747B1 (ko) * 2015-05-08 2017-02-15 주식회사 유진테크 비정질 박막의 형성방법
US10480066B2 (en) 2015-12-19 2019-11-19 Applied Materials, Inc. Metal deposition methods
US10991586B2 (en) 2015-12-19 2021-04-27 Applied Materials, Inc. In-situ tungsten deposition without barrier layer
US10468263B2 (en) 2015-12-19 2019-11-05 Applied Materials, Inc. Tungsten deposition without barrier layer
US9768072B1 (en) 2016-06-30 2017-09-19 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with reduced dimensional variations
KR102499035B1 (ko) 2016-07-25 2023-02-13 삼성전자주식회사 반도체 장치의 제조 방법
WO2018052476A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
KR20230162158A (ko) * 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
WO2019074877A1 (en) * 2017-10-09 2019-04-18 Applied Materials, Inc. DOPED AMORPHOUS SILICON CONFORMS AS A METAL DEPOSITION NUCLEATION LAYER
CN109904057A (zh) * 2017-12-11 2019-06-18 中芯国际集成电路制造(北京)有限公司 半导体装置的制造方法
CN109300781B (zh) * 2018-09-11 2020-08-11 上海华虹宏力半导体制造有限公司 Ono膜层的制造方法
TWI768860B (zh) * 2021-04-29 2022-06-21 力晶積成電子製造股份有限公司 沉積製程控制方法
CN113628959A (zh) * 2021-07-19 2021-11-09 华虹半导体(无锡)有限公司 应用于功率器件的沟槽填充方法
CN117238839B (zh) * 2023-11-10 2024-02-09 合肥晶合集成电路股份有限公司 一种浅沟槽隔离结构及其形成方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01304723A (ja) * 1988-06-01 1989-12-08 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH05109637A (ja) * 1991-08-19 1993-04-30 Toshiba Corp 半導体薄膜の形成方法および半導体装置の製造方法
JPH07307300A (ja) * 1994-03-15 1995-11-21 Toshiba Corp 凹部内に膜を形成する方法
JP2000243930A (ja) * 1999-02-22 2000-09-08 Toshiba Corp 半導体装置の製造方法
JP2001189274A (ja) * 1999-12-27 2001-07-10 Toshiba Corp 成膜方法
JP2001196573A (ja) * 1999-10-28 2001-07-19 Denso Corp 半導体基板とその製造方法
JP2002505532A (ja) * 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド 高段差被覆性を伴うシリコン堆積方法
JP2002299242A (ja) * 2001-03-29 2002-10-11 Fuji Electric Co Ltd 半導体装置の製造方法
JP2003218036A (ja) * 2002-01-21 2003-07-31 Denso Corp 半導体装置の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4528831A (en) * 1980-10-27 1985-07-16 Sleeper & Hartley Corp. Wire coiling machine
US4454646A (en) * 1981-08-27 1984-06-19 International Business Machines Corporation Isolation for high density integrated circuits
US4473598A (en) * 1982-06-30 1984-09-25 International Business Machines Corporation Method of filling trenches with silicon and structures
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
US5198387A (en) * 1989-12-01 1993-03-30 Texas Instruments Incorporated Method and apparatus for in-situ doping of deposited silicon
US5256566A (en) * 1991-05-08 1993-10-26 Texas Instruments Incorporated Method for in-situ doping of deposited silicon
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
TW426947B (en) * 1999-12-09 2001-03-21 Mosel Vitelic Inc Method of producing trench capacitor
US6436760B1 (en) * 2001-04-19 2002-08-20 International Business Machines Corporation Method for reducing surface oxide in polysilicon processing
US6930345B2 (en) * 2001-05-10 2005-08-16 Infineon Technologies Richmond, Lp Increase in deep trench capacitance by a central ground electrode
TW556311B (en) * 2001-07-31 2003-10-01 Infineon Technologies Ag Method for filling trenches in integrated semiconductor circuits
DE10225941A1 (de) * 2002-06-11 2004-01-08 Infineon Technologies Ag Verfahren zur Füllung von Graben- und Reliefgeometrien in Halbleiterstrukturen
DE10234952B3 (de) * 2002-07-31 2004-04-01 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur mit einem Graben, insbesondere zur Verwendung bei der Herstellung eines Grabenkondensators
US7494894B2 (en) * 2002-08-29 2009-02-24 Micron Technology, Inc. Protection in integrated circuits
US6815077B1 (en) * 2003-05-20 2004-11-09 Matrix Semiconductor, Inc. Low temperature, low-resistivity heavily doped p-type polysilicon deposition
DE102004020834B4 (de) * 2004-04-28 2010-07-15 Qimonda Ag Herstellungsverfahren für eine Halbleiterstruktur
US7109097B2 (en) * 2004-12-14 2006-09-19 Applied Materials, Inc. Process sequence for doped silicon fill of deep trenches

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01304723A (ja) * 1988-06-01 1989-12-08 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH05109637A (ja) * 1991-08-19 1993-04-30 Toshiba Corp 半導体薄膜の形成方法および半導体装置の製造方法
JPH07307300A (ja) * 1994-03-15 1995-11-21 Toshiba Corp 凹部内に膜を形成する方法
JP2002505532A (ja) * 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド 高段差被覆性を伴うシリコン堆積方法
JP2000243930A (ja) * 1999-02-22 2000-09-08 Toshiba Corp 半導体装置の製造方法
JP2001196573A (ja) * 1999-10-28 2001-07-19 Denso Corp 半導体基板とその製造方法
JP2001189274A (ja) * 1999-12-27 2001-07-10 Toshiba Corp 成膜方法
JP2002299242A (ja) * 2001-03-29 2002-10-11 Fuji Electric Co Ltd 半導体装置の製造方法
JP2003218036A (ja) * 2002-01-21 2003-07-31 Denso Corp 半導体装置の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015126161A (ja) * 2013-12-27 2015-07-06 東京エレクトロン株式会社 凹部を充填する方法及び処理装置
JP2020526923A (ja) * 2017-07-12 2020-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Si間隙充填のための周期的な共形堆積/アニーリング/エッチング
JP7252935B2 (ja) 2017-07-12 2023-04-05 アプライド マテリアルズ インコーポレイテッド Si間隙充填のための周期的な共形堆積/アニーリング/エッチング
JP2019165079A (ja) * 2018-03-19 2019-09-26 東京エレクトロン株式会社 成膜方法及び成膜装置
US11367611B2 (en) 2018-03-19 2022-06-21 Tokyo Electron Limited Film forming method and film forming apparatus

Also Published As

Publication number Publication date
US20080318441A1 (en) 2008-12-25
US20060128139A1 (en) 2006-06-15
CN100561694C (zh) 2009-11-18
KR20070086885A (ko) 2007-08-27
US7109097B2 (en) 2006-09-19
JP5252417B2 (ja) 2013-07-31
WO2006065776A3 (en) 2006-11-30
US7713881B2 (en) 2010-05-11
US7446366B2 (en) 2008-11-04
EP1829095A2 (en) 2007-09-05
KR100930140B1 (ko) 2009-12-07
US20060234470A1 (en) 2006-10-19
WO2006065776A2 (en) 2006-06-22
CN101084574A (zh) 2007-12-05

Similar Documents

Publication Publication Date Title
JP5252417B2 (ja) 深トレンチのドープシリコン充填のプロセスシーケンス
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
US6162715A (en) Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
JP4174424B2 (ja) 一連の堆積技術を用いる耐火性金属層を堆積する方法
JP3341619B2 (ja) 成膜装置
US6348420B1 (en) Situ dielectric stacks
WO2019147462A1 (en) Treatment methods for silicon nitride thin films
US20080246101A1 (en) Method of poly-silicon grain structure formation
US20040175893A1 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
US20070111538A1 (en) Method of fabricating a silicon nitride stack
JP2004529489A (ja) 高誘電率ゲート絶縁層の形成方法
JP4126165B2 (ja) マルチデポジションsacvdリアクタ
US20090065816A1 (en) Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure
US10090152B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
WO2005096358A1 (en) A silicon germanium surface layer for high-k dielectric integ ration
US5783257A (en) Method for forming doped polysilicon films
JP5224628B2 (ja) ランダム粒子構造を持つ多結晶シリコン膜の堆積方法、ドープされたランダム粒子構造を持つ多結晶シリコンゲート電極を堆積させる方法及びタングステン/シリコン複合膜を形成する方法
US20100203243A1 (en) Method for forming a polysilicon film
KR19980036462A (ko) 플라즈마를 이용한 반도체장치 제조방법
KR20000077176A (ko) 다결정 실리콘 증착방법 및 이 방법에 의해 형성된 반도체 디바이스

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080930

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110624

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20110624

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20110624

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110929

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20110929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111025

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120124

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120723

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130125

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130312

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130409

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160426

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees