JP4126165B2 - マルチデポジションsacvdリアクタ - Google Patents

マルチデポジションsacvdリアクタ Download PDF

Info

Publication number
JP4126165B2
JP4126165B2 JP2001224037A JP2001224037A JP4126165B2 JP 4126165 B2 JP4126165 B2 JP 4126165B2 JP 2001224037 A JP2001224037 A JP 2001224037A JP 2001224037 A JP2001224037 A JP 2001224037A JP 4126165 B2 JP4126165 B2 JP 4126165B2
Authority
JP
Japan
Prior art keywords
reactor
susceptor
sacvd
gas
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001224037A
Other languages
English (en)
Other versions
JP2002110572A (ja
Inventor
パトリック・ラファン
ファブリス・ドラリュー
ジャン・マルク・ウェシテ
クリストフ・バルサン
ジョエル・ジュルヌ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2002110572A publication Critical patent/JP2002110572A/ja
Application granted granted Critical
Publication of JP4126165B2 publication Critical patent/JP4126165B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Description

【0001】
【発明の属する技術分野】
本発明は、半導体集積回路(IC)の製造に関し、詳細には、Si34、SiO2、SiONなどの誘電材料およびポリシリコンなどの非誘電材料を基板に高速熱付着させることができるマルチデポジション・サブアトモスフェリック化学蒸着(SACVD)リアクタに関する。本発明によれば、前記誘電/非誘電材料を、マルチデポジションSACVDリアクタの同じチャンバ内で所望の順序に従って付着させることができ、これによってサイクル・タイム、総サーマル・バジェット(thermal budget:熱収支)およびパターン・ファクタの影響が相当に低減される。
【0002】
同時係属特許出願
「An improved method of depositing a conformal H-rich Si3N4 layer onto a patterned structure」、出願第00480071.0号、2000年7月25日出願、係属中。
【0003】
【従来の技術】
サイクル・タイムが短いこと、およびサーマル・バジェットが低いことはそれぞれ、特定用途向けIC(ASIC)およびダイナミック・ランダム・アクセス・メモリ(DRAM)製品の製造で最も重要なポイントであることは疑いない。この数十年間の絶え間ない技術進歩によって、製品の集積度および速度を向上させる新たな技法が出現した。このことは、付着ツールの特性の向上により深く取り組むことの必要性を明示した。低サーマル・バジェット、低パターン・ファクタ、異物(foreign element)の制御、短いサイクル・タイムなどの要件は現在、極めて重要になっている。低いサーマル・バジェットは、IGFET(insulated gate FET)の実効チャネル長(Leff)を仕様の範囲内に維持し、プロセス・ウィンドウを増大させ、接合接触抵抗を低くするのに欠かせない。他の重要なパラメータが、論理チップへのメモリ・セルの組込みを決定するパターン・ファクタである。その他のパラメータに、(以前には副次的とみなされていた)異物の制御がある。製造中に付着膜中に取り込まれた異物もデバイス性能を左右し、したがって、デバイスがますます高密度化し複雑になるにつれ、異物はますます重要になっている。付着膜中に存在する異物を制御することができれば、デバイスのチューニング、ならびに接合リークなどの電気故障およびリテンション・タイムの修正または調整が容易になる。最後に、製造スループットを向上させるサイクル・タイムの短縮も、コスト低減に関して取り組む価値がある。半導体デバイスにおいて、特に、化学蒸着(CVD)技法に基づいて誘電および非誘電材料を順次に付着させる必要があるときに、これらのパラメータはいずれも非常に重要となっている。製造スループットを向上させるため、およびサイクル・タイムを短縮するためには、ウェハを取り出さずに同じ装置内でできるだけ多くの付着段階を実施することが非常に望ましい。
【0004】
標準DRAM製品用のIGFETの製造では、ゲート導体形成の段階で、厚さ10nmの下部SiO2ゲート層、次いで厚さ80nmのドープト・ポリシリコン層、厚さ70nmのケイ化タングステン(WSix)層、最後に厚さ180nmの上部保護キャップSi34層から成るスタック(GCスタック)を形成する必要がある。次に、このGCスタックをドライ・エッチングによってパターニングしてゲート導線(GC線)を形成し、ドープト・ポリシリコン材料の露出した側壁に熱酸化によって薄いSiO2スペーサを形成する。これらの処理段階は全て、いわゆるミドル・エンド・オブ・ザ・ライン(MEOL)モジュールの異なるツール内で実施される。
【0005】
例えば、薄い下部SiO2ゲート層は、米カリフォルニア州サンホゼ(San Jose)のSVG−THERMCOによって販売されているSVG VTR 7000(酸化)縦型炉などの常圧酸化炉(Atmospheric Pressure Oxidation furnace:APOX)を使用した標準の熱酸化によって得る。厚さ80nmのドープト・ポリシリコン層および厚さ70nmのWSix層の付着は、米カリフォルニア州サンタクララ(Santa Clara)のアプライド・マテリアルズ社(Applied Materials Inc.)製のSACVD Centura HTFリアクタの2つの異なるチャンバ内で、以下の操作条件を使用して実施される。
ドープト・ポリシリコンの付着
圧力: 80Torr
温度: 660℃
SiH4流量: 0.3slm
PH3流量: 0.09slm
2流量: 9.9slm
付着速度: 80nm/分
ここで「sccm」は、standard cubic centimers per minuteを、「slm」は、standard liters per minuteをそれぞれ意味する。
WSixの付着
圧力: 1Torr
温度: 550℃
WF6流量: 2.4sccm
SiH2Cl2流量: 175sccm
Ar流量: 1500sccm
付着速度: 12nm/分
【0006】
最後に、Si34材料は、東京エレクトロン(東京)製のTEL Alpha8sなどのLPCVDバッチ炉内で以下に挙げた操作条件を使用して付着させる。
Si34の付着
ステップ1
圧力: 150mTorr
温度: 715℃
NH3流量: 250sccm
DCS流量: 50sccm
ウェハ間隔: 約0.5cm(0.2インチ)
付着速度: 0.7nm/分
付着時間: 40分
ステップ2
圧力: 80mTorr
温度: 770℃
NH3流量: 400sccm
DCS流量: 80sccm
ウェハ間隔: 約0.5cm(0.2インチ)
付着速度: 1.5nm/分
付着時間: 120分
【0007】
ボートを炉に挿入する際のWsixの酸化を防ぐために、ステップ1は、低温で実施しなければならない。合計約375分(ロード/アンロード(loading/unloading)操作を含む)の間に約100枚のウェハが処理される。
【0008】
下表Iに、MEOLモジュールで実施されるこの一連の付着段階を要約して示した。
【0009】
【表1】
Figure 0004126165
【0010】
より一般化して、DRAM製造ラインで実施される全処理段階を考えた場合、さまざまな種類の材料の付着に対して下表IIに要約したとおり、LPCVDおよびAPOX炉の使用は実質的に、フロント・エンド・オブ・ザ・ライン(FEOL)およびMEOLモジュールに限定される。
【0011】
【表2】
Figure 0004126165
【0012】
(1)および(2)はそれぞれ、LPCVD/APOXおよびSACVDプロセスの所要時間を示す。SACVDツールのチャンバ1からチャンバ2にウェハを移すときにブレークダウンはないが、LPCVD炉にロードするためにウェハをチャンバ2から取り出した後にはかなりのブレークダウンがある。LPCVDツールはバッチ式なので、1バッチ100枚のウェハを全てロードするまでにはかなりの待ち時間がある。そのため、ボートを炉に挿入する前に、ウェハを、例えばFSIスプレー・ツール(米ミネアポリス(Minneapolis)のフルオロウェア・システムズ社(FLUOROWARE SYSTEMS Inc.))内でSP/ホワンAB洗浄シーケンスを使用して洗浄する必要がある。全体としてこれらの操作は時間がかかる。
【0013】
AME Centuraリアクタは、その処理チャンバがポリシリコン膜またはWSix膜の付着に厳しく制限されているため、Si34材料をこの同じリアクタ内で付着させることはできない。
【0014】
出願人の発明者らは、通常もっぱらポリシリコンの付着に使用されているこの従来のSACVDリアクタを修正して、ポリシリコンの他に、Si34、SiONおよびSiO2などの誘電材料を付着させる能力を追加する方法を発見した。その結果、誘電体とポリシリコンといった、異なる種類の材料をこのリアクタ・チャンバ内で、前述の不都合(待ち時間、洗浄および長いサイクル・タイム)を生じることなく、所望の順序に従って付着させるマルチデポジションが可能となった。
【0015】
【発明が解決しようとする課題】
したがって本発明の主な目的は、Si34、SiO2、SiONなどの誘電材料およびポリシリコンなどの非誘電材料をリアクタの同じチャンバ内で基板に高速熱付着させることができるマルチデポジションCVDリアクタを提供することにある。
【0016】
したがって本発明の主な目的は、複数のチャンバを備え、Si34、SiO2、SiONなどの誘電材料およびポリシリコンなどの非誘電材料をリアクタの2つの専用チャンバ内で基板に高速熱付着させることができるマルチデポジションCVDリアクタを提供することにある。
【0017】
本発明の他の目的は、Si34、SiO2、SiONなどの誘電材料およびポリシリコンなどの非誘電材料を基板に高速熱付着させることができるマルチデポジションCVDリアクタであって、特にASIC製造(短いサイクル・タイムおよび低サーマル・バジェット)に適合したマルチデポジションCVDリアクタを提供することにある。
【0018】
本発明の他の目的は、Si34、SiO2、SiONなどの誘電材料およびポリシリコンなどの非誘電材料を基板に高速熱付着させることができるマルチデポジションCVDリアクタであって、複数の材料の付着に適合した改良型のサセプタおよびガス分配システムを備えたマルチデポジションCVDリアクタを提供することにある。
【0019】
本発明の他の目的は、Si34、SiO2、SiONなどの誘電材料およびポリシリコンなどの非誘電材料を基板に高速熱付着させることができるマルチデポジションCVDリアクタであって、高スループットが可能なマルチデポジションCVDリアクタを提供することにある。
【0020】
本発明の他の目的は、Si34、SiO2、SiONなどの誘電材料およびポリシリコンなどの非誘電材料を基板に高速熱付着させることができるマルチデポジションCVDリアクタであって、モジュール(FEOL/MEOL)にかかわりなく製造ラインで広く使用することができるマルチデポジションCVDリアクタを提供することにある。
【0021】
本発明の他の目的は、Si34、SiO2、SiONなどの誘電材料およびポリシリコンなどの非誘電材料を基板に高速熱付着させることができるマルチデポジションCVDリアクタであって、先進のEDRAM(embedded DRAM)/SDRAM(synchronous DRAM)シリコン・チップでのボーダレス・ポリシリコン・コンタクトの製作によく適合したマルチデポジションCVDリアクタを提供することにある。
【0022】
本発明の他の目的は、Si34、SiO2、SiONなどの誘電材料およびポリシリコンなどの非誘電材料を基板に高速熱付着させることができるマルチデポジションCVDリアクタであって、先進のSDRAMシリコン・チップのGCスタック製作プロセスを完全に統合するように適合されたマルチデポジションCVDリアクタを提供することにある。
【0023】
【課題を解決するための手段】
本発明に基づき、マルチデポジション・サブアトモスフェリック化学蒸着(SACVD)リアクタを記述する。このリアクタは、
基板処理チャンバと、
SACVD操作中に前記基板処理チャンバ内で基板を保持するように適合されたカーボン・サセプタと、
前記基板処理チャンバ内にガスを導入するように適合され、前記基板処理チャンバ内にガスを流入させるのに必要な適当な弁、ガス供給管路およびその他の装置を含むガス分配システム
を備え、
前記ガスが、誘電/非誘電材料フォーミング・ガスおよびカーボンに対して攻撃的なin−situ洗浄ガスを含み、
さらに、
前記サセプタ/ウェハを適当な付着温度まで加熱する加熱システムと、
前記基板処理チャンバ内の圧力レベルを設定するように適合された与圧システムと、
前記ガス分配システムおよび与圧システムに結合され、SACVDリアクタの動作を指図するコントローラと
を備え、
前記洗浄ガスから保護するために、前記カーボン・サセプタがポリシリコン膜によってコーティングされる。
【0024】
SACVD Centuraリアクタをベース付着ツールとして使用するとき、本発明はさらに、付着させる誘電材料がSi34である場合に、前記カーボン・プレートをコーティングしてカーボン・プレートをNF3抵抗性とする方法に関する。この方法は、
a)前記リアクタの処理チャンバ内に前記標準カーボン・サセプタを配置する段階と、
b)前記チャンバの内部容積をHClで洗浄する段階と、
c)前記内部容積をH2でパージする段階と、
d)DCS(ジクロロシラン)前駆体を使用して前記サセプタの底面をポリシリコン膜でコーティングする段階と、
e)前記内部容積をH2でパージする段階と、
f)SiH4前駆体を使用して前記サセプタの上面をポリシリコン膜でコーティングする段階と、
g)前記内部容積をH2でパージする段階
を含む。
【0025】
複数のチャンバを備えたAME Centura HTFリアクタなどのツールを用いたマルチデポジション・プロセスが可能となった。このプロセスでは、完全に統合されたプロセス(クラスタ・モード)とするために、全てのチャンバを、単一の材料(誘電材料またはポリシリコン)の付着に専用とすることができる。
【0026】
【発明の実施の形態】
歴史的にLPCVDプロセスは縦型炉内で実施されてきた。この数年の間に、枚葉処理ベースのシステムである縦型炉の限界を克服した新たな付着ツールが使用できるようになった。SACVD Centura HTF(High Temperature Film)リアクタは、この新世代の付着装置の好例である。しかし、このリアクタはポリシリコン膜の付着に厳しく制限されている。このリアクタは、熱エネルギーの輻射加熱を使用するコールド・ウォール型リアクタである。このリアクタは、減圧下で、550〜1200℃(操作の種類、すなわち付着か洗浄かによって決まる)の温度範囲で動作する。図1に、SACVD Centuraリアクタの断面図をその主要な部品とともに概略的に示す。リアクタ10は、基板、一般にシリコン・ウェハ12をロードすることができる真空処理チャンバ11の内部容積を画定する上面および底面壁(ドーム)、側壁ならびに底面壁を有する。ウェハ12は、回転可能な石英ペデスタル14によって支持されたカーボン・サセプタ13の上に載置される。サセプタ13の周囲には予熱リング15がある。ウェハ12およびサセプタ13は、処理チャンバ11の外部の上方および下方に位置する数列のランプ16によって加熱される。サセプタ、ウェハおよび予熱リングを加熱するためチャンバの上面および底面壁は石英でできており、したがって外部ランプからの光に対して透明である。ガス分配システム17は、複数のパイプを通して処理チャンバ11に1種類のガスまたは混合ガスを供給するガス・マニホルドに接続されたガス注入口18Aを備える。これらのそれぞれのパイプ内のガス濃度および流量は、標準どおり、付着プロセスを最適化する反応物ガス・フローおよび濃度プロファイルが得られるように選択される。リアクタ10はさらに、ガス排出口18Bに接続され、処理チャンバ11内に所望の真空を生み出す与圧手段、および温度測定手段、一般に高温計19を含む。これらは当業者に周知のものである。
【0027】
AME Centuraリアクタの特有の構造のため、処理チャンバ11の上部容積と下部容積の間に実質的な連絡はない。したがって図1から明らかなように、ある種のガス、例えばSiH4、PH3、DCS、HCl、N2は上部容積(ウェハ12の上方)に注入され、その他のガス、例えばDCS、N2、HClは下部容積(サセプタ13の下)に注入される(入口18近くの矢印を参照されたい)。通常動作では、ガスは、ガス注入口18Aから、予熱リング15(ここでガスは温められる)、次いでウェハ12の表面を横切って排出口18Bの方へ流れ、ポリシリコン膜の付着を実行する。処理ガスは、ウェハの上を、層流パターンを描いてガス注入口から予熱リングおよびウェハを横断して排出口へ水平に流れ、そこから排出される。
【0028】
本発明に基づくマルチデポジション特徴が可能なように図1のリアクタ10を適合させるため、いくつかの重要な修正を加えた。
【0029】
本来のガス分配システム17を本発明に基づいて修正した。次に図2を参照する。後述するように本発明により必要となるNF3、NH3およびN2Oを輸送するための新たなガス管路および弁(グレーで示されている)を追加した。
【0030】
アプライド・マテリアルズ社から販売されているこの装置では、圧力測定に使用するバラトロン・ゲージがリアクタの排出口18Bに取り付けられている。本発明によれば、その膜にSi34が付着し、それによって膜が損傷しないようにこれを約150℃に加熱する。
【0031】
最初の誘電材料、一般にSi34の付着にAME Centuraリアクタを使用する前に、本来のカーボン・サセプタをin−situで、図3に示した流れ図20を参照して次に説明する一連の段階にかける。以下に説明するポリシリコン・コーティング手順は、AME Centuraリアクタおよびその常駐ソフトウェアの特有の構造のため、比較的に複雑である。サセプタはカーボンから作られているので、特別な調整が必要である。リアクタの壁およびサセプタに付着したSi34材料を除去する好ましい洗浄用化合物であるNF3はカーボンに対して非常に攻撃的であることが知られている(その他のフッ化物、例えばClF3も適当である)。NF3に対するカーボン・サセプタの保護はまず、SiH2Cl2(DCS)ケミストリを用いてサセプタの底面に実施したポリシリコンのコーティング(厚さ約4μm)によって保証される。実際、このポリシリコン・コーティングは2つの役割を果たす。すなわち、このコーティングはサセプタの底面を保護するだけでなく、その放射率の程度によってサセプタの温度を決定することもできる。次いで、SiH4ケミストリを用いてサセプタの上面に別のポリシリコン・コーティング(厚さ約1.5μm)を実施する。
【0032】
次に図3を参照する。最初に、以下の操作条件を使用してカーボン・サセプタを洗浄する(囲み21)。
HCl洗浄
ランプ電力: 43kW(#1200℃)
圧力: 660Torr
HCl流量: 9.9slm
2流量: 5slm
【0033】
HCl洗浄後、チャンバを950℃まで冷却し、圧力を80Torrまで下げる。
【0034】
圧力および温度が安定した後、チャンバの下部容積内にDCSを流し、サセプタの底面をポリシリコンでコーティングする(囲み22)。操作条件は以下のとおりである。
ポリシリコン・コーティング(サセプタ底面)
ランプ電力: 26kW(#950℃)
所要時間: 540秒
DCS流量: 0.4slm
2流量: 19slm
付着速度: 300nm/分
【0035】
この底面コーティングの間、温度は監視せず、ランプ電力によって設定する。これは、このコーティング操作の間、高温計19の読みが変動するからである。底面ポリシリコン・コーティングの良好な放射率を保証するため、適当な厚さのポリシリコン膜を得ることが重要である。保護および正確な温度測定のためには、厚さ約4μmが適当である。この場合、300nm/分〜350nm/分の付着速度で、数分しかかからない。この速度が得られるように電力目標値を調節する。DCSが好ましいのは、この高温(950℃)での付着速度がSiH4よりも大きいためである。さらに、DCSは、SiH4を用いた場合よりも薄い結晶粒を持ったポリシリコンを生成し、これによってその放射率は増大し、そのため光高温計19による温度測定がより正確になる。
【0036】
最後に、SiH4前駆体を使用してサセプタ上面をポリシリコンでコーティングする(段階23)。チャンバをまず675℃まで冷却し、次いで以下の操作条件を用いて上面コーティングを実施する。
ポリシリコン・コーティング(サセプタ上面)
温度: 675℃
SiH4流量: 0.5slm
2流量: 9.5slm
所要時間: 400秒
付着速度: 150nm/分
【0037】
この上面ポリシリコン・コーティングは、ドープト・ポリシリコンを付着させる目的でSiH4およびPH3を使用しても、またはイントリンシック・ポリシリコンを付着させる目的で前述のとおりにSiH4を単独で使用しても実施できることに留意されたい。上面コーティングの厚さは、この処理チャンバ内での後段の誘電体/ポリシリコン付着段階においてカーボン・サセプタの十分な保護を保証するために重要である。上面コーティングはこの温度によって正確に制御されるので、高温計19は正しくセットアップしなければならない。
【0038】
なお、それぞれのポリシリコン・コーティング段階の後に、下記のようなH2パージを実施する。
2パージ
2流量: 10slm
ランプ電力: 43kw
所要時間: 60秒
【0039】
これで、このカーボン・サセプタを、AME Centuraツール内での誘電材料、一般にSi34の付着に使用することができる。
【0040】
多数のウェハを処理したときには、リアクタ壁およびサセプタの全面的な洗浄を実施する必要がある。NF3を使用してその上に付着した全てのSi34材料を除去し、次いでHClを用いて、損傷したサセプタのポリシリコン・コーティングを除去する。以下の操作条件を使用する。
NF3洗浄
圧力: 500Torr
温度: 850℃
NF3流量: 250sccm
2流量: 2sccm
Si34エッチング速度: 1μm/分
ポリシリコン・エッチング速度: 0.3μm/分
HCl洗浄
ランプ電力: 43kw
圧力: 660Torr
HCl流量: 9.9slm
2流量: 5slm
温度: 1200℃
ポリシリコン・エッチング速度: 2μm/分
【0041】
実際、15μmのSi34材料を付着させた後、すなわち約6000枚のウェハを処理した後に、処理チャンバを洗浄し、サセプタを調整しなおす必要がある。サセプタは、図3を参照して先に説明したとおりに再調整する。こうすることによってこのチャンバで、多数の誘電/非誘電材料の付着段階を再び実行することができる。
【0042】
誘電材料の付着
Si34の付着
圧力: 80〜150Torr
温度: 600〜950℃
NH3流量: 3.2slm
SiH4流量: 30sccm
2流量: 5slm
SiO2の付着
圧力: 50〜100Torr
温度: 600〜950℃
SiH4流量: 60sccm
2O流量: 2.8slm
2流量: 9.2slm
【0043】
SiO2スペーサの形成において水素原子の存在は問題とはならないが、SiO2ゲート層の付着の場合には、SiO2材料が完全に純粋でなければならず、汚染されていてはならないので、上記の付着プロセスを使用することはできない。
【0044】
本発明に基づいて修正したものなどのSACVDリアクタを、以下の操作条件を使用して、SiONなどのより複雑な誘電材料の付着に一般化することができる。
SiONの付着
圧力: 80〜150Torr
温度: 600〜950℃
NH3流量: 1slm
DCS流量: 200sccm
2O流量: 2.8slm
2流量: 5slm
【0045】
AME Centuraリアクタ内に置いたとき、ポリシリコンをコーティングしたこのカーボン・サセプタを、これまでどおりポリシリコンの付着に使用することもできるが、このサセプタは、使用する洗浄ガスがカーボンに対して攻撃的であるときの金属などのその他の材料の付着に適当である。
【0046】
非誘電材料の付着
ドープト・ポリシリコンの付着
圧力: 80〜160Torr
温度: 600〜700℃
SiH4流量: 0.3slm
2流量: 9.9slm
PH3流量: 0.09slm
イントリンシック・ポリシリコンの付着
圧力: 80〜160Torr
温度: 650〜750℃
SiH4流量: 0.3slm
2流量: 9.9slm
【0047】
この特許出願の従来の技術の項で先に説明したGCスタック形成について再び考える。本発明の教示に基づいて修正したAME Centuraツールを使用すると、新しい付着段階シーケンスは以下のようになる。
ドープト・ポリシリコンの付着
圧力: 80Torr
温度: 660℃
SiH4流量: 0.3slm
2流量: 9.9slm
PH3流量: 0.09slm
付着速度: 80nm/分
サイクル・タイム: 4分
WSixの付着
圧力: 1Torr
温度: 550℃
WF6流量: 2.4sccm
DCS流量: 175sccm
Ar流量: 1500sccm
付着速度: 12nm/分
サイクル・タイム: 5分
Si34の付着
圧力: 100Torr
温度: 785℃
NH3流量: 3.2slm
SiH4流量: 30sccm
2流量: 5slm
付着速度: 35nm/分
サイクル・タイム: 6分
【0048】
下表IIIから明らかなように、ドープト・ポリシリコンおよびSi34材料の付着は、AME Centuraリアクタの第1のチャンバで実施され、一方、WSix材料の付着は別のチャンバで実施される。
【0049】
【表3】
Figure 0004126165
【0050】
結果的に、このプロセスは完全に統合されたプロセスである(クラスタ・モード)。1枚のウェハを処理する合計サイクル・タイムは非常に短く(約15分)、ASICにとっては大きな利点である。その他の利点としては、汚染の低減、ロード/アンロード操作数の低減、待ち時間の解消、洗浄段階の排除などがある。最終的にはスループットが向上する。
【0051】
図4に、従来のボーダレス・ポリシリコン・コンタクト構造24を示す。図4を参照すると、拡散領域27を露出させる開口を有する薄いSiO2ゲート層26がその上に形成されたシリコン基板25が示されている。ゲート導体スタックは、下部ドープト・ポリシリコン/WSix層28および上部Si34キャップ層29から成る。このスタックは、標準どおりSiO2ゲート層26の上に形成されている。ボーダレス・ドープト・ポリシリコン・プラグ30は拡散領域27と接触し、複合絶縁層によってGCスタックから分離されている。この複合絶縁層は、側壁SiO2スペーサ31、Si34スペーサ32およびSi34バリア33を含む。構造24はさらに、BPSGおよびTEOS平坦化/絶縁層34および35を含む。このような構造において、本発明のマルチデポジションSACVDリアクタは、BPSG材料を除く上に述べた全ての材料の付着を実施することができる。BPSG材料が除かれるのは、BPSGがP型ドーパント(ホウ素)を含み、N型のドープト・ポリシリコン・コンタクト・プラグ30に有害な影響を与えるためである。
【0052】
下表IVは、異なるタイプの2種類の材料(誘電/非誘電材料)を、AME Centuraリアクタの同じチャンバ内で続けて付着させるときに推奨される作業条件を当業者に対して示すものである。
【0053】
【表4】
Figure 0004126165
【0054】
(1):この段階は、厚さが薄い(0.2μm)ことを除き、先に説明したサセプタ上面をコーティングする段階と同じである。サセプタ上に付着させたSi34材料上でのポリシリコンの核形成を容易にする必要がある。
(2):NF3洗浄後には、サセプタの上面を覆っているポリシリコンが損傷しており、そのため、先に説明したのと同じ操作条件を使用して新たなコーティングを実施しなければならない(図3の囲み23参照)。
【0055】
最善は、AME Centuraツール(マルチチャンバ装置である)の2つのチャンバを、一方をポリシリコン、他方をSi34専用にして、ウェハをツールから取り出さずに連続付着させることであろう。この場合、第1のチャンバでは、ポリシリコン付着用の本来のカーボン・サセプタを使用し、第2のチャンバには、ポリシリコンをコーティングした本発明のカーボン・サセプタを備え付ける。このような配置にすればサイクル・タイムを最も短くできよう。
【0056】
本発明のマルチデポジションSACVDリアクタを用いると、先に記載した表IIは以下のように書き直される。
【0057】
【表5】
Figure 0004126165
【0058】
表IIとVを比較すれば、本発明によって相当な改善がもたらされることは明らかである。新たな構成のIC製造ラインを想像することができよう。
【0059】
図5に、パターン・ファクタに対する付着速度の変化(nm/分)を示す。パターン・ファクタは、ウェハ上のエッチングされた面積とエッチングされていない面積との比として計算される。異なる容量のウェハ・ロットのさまざまなキャパシタ・セル密度について、深いトレンチにSi34を、LPCVDについては700℃(曲線36、37および38)、SACVD(曲線39)については785℃で付着させる実験を実施した(SACVDの付着速度はY軸目盛に合わせるために4で除してある)。曲線36/37/38と曲線39を簡単に比較すれば、LPCVDバッチの付着速度から、厚さの制御が重要なあらゆる段階に対してこの技法を使用することが許されないことが明らかである。LPCVDの付着速度は、パターン・ファクタとともに変化し、その程度は1バッチのウェハの数が多いほど大きく、一方、SACVDの付着速度は一定である。図5から明らかなように、EDRAM/SDRAMチップ製造における従来のLPCVD技法の再現性は明らかに限られている。この場合、ウェハ間の厚さの均一性の制御を保証するのには、SACVD枚葉式ツールのほうがはるかに好ましい。
【0060】
付着温度は、デバイス性能にとって非常に重要であり、サーマル・バジェットに強く影響する。このことを図6および7で明らかにする。
【0061】
図6は、所望の公称値Leff=0.28μm付近の実効チャネル長Leff(μm)の変化を、POR LPCVD技法(異なる2段階の温度)および本発明に基づくSACVD技法について、それぞれ2ロットのウェハに対して示したグラフである。2ロットのウェハLPlは700℃、2ロットのウェハLP2は650℃で、ほぼ同じ時間(3時間)、LPCVD技法を使用して処理した。2ロットのウェハSA1は、SACVD技法を使用して785℃で5分間処理した。図6から明らかなように、後者のケースのほうが、Leffの変動が公称値の周囲に限定され、1ロット内でも限られている。当業者なら分かるように、Leff値の低減は、デバイス(IGFET)の信頼性に有害な影響を及ぼす。
【0062】
図7は、所望の公称値Rs=3400オーム/スクエア付近のアレイ領域の拡散領域の面積抵抗Rs(オーム/スクエア)の変化を、図6を参照して先に説明した条件と同じ操作条件を使用したPOR LPCVD技法(異なる2段階の温度)および本発明に基づくSACVD技法について示したグラフである。SACVD技法を用いて処理した2つのロットSA1の面積抵抗は、LPCVD技法を用いて処理したロットLP1およびLP2の面積抵抗に比べて、変動がはるかに小さく、その中心が公称値に近い。
【0063】
図6および7は、サーマル・バジェット(温度/時間対)の役割が重要であることを明瞭に示している。
【0064】
以上に説明した新たな設計のマルチデポジションSACVDリアクタは、0.20μm未満の小スケールの先進のEDRAM/SDRAMシリコン・チップに求められるプロセス・ウィンドウおよびサーマル・バジェットを相当に改善する。さらに、このようなリアクタを用いた誘電材料付着のサイクル・タイムは、LPCVD炉に比べて大幅に短縮される。現在では、DRAMメモリの製造ラインを、ASIC製品の製造に迅速かつ少ないコストで適合させることが重要な技術パラメータとなっている。ASIC市場での競争力は、短いサイクル・タイム、顧客満足、および非常に競争的なOEM環境で新たなビジネス機会を活かす能力にかかっている。
【0065】
まとめとして、本発明の構成に関して以下の事項を開示する。
【0066】
(1)基板処理チャンバと、
SACVD操作中に前記基板処理チャンバ内で基板を保持するように適合されたカーボン・サセプタと、
前記基板処理チャンバ内にガスを導入するように適合され、前記基板処理チャンバ内にガスを流入させるのに必要な適当な弁、ガス供給管路およびその他の装置を含むガス分配システムと
を備え、
前記ガスが、誘電/非誘電材料フォーミング・ガスおよびカーボンに対して攻撃的なin−situ洗浄ガスを含み、
さらに、
前記サセプタ/ウェハを適当な付着温度まで加熱する加熱システムと、
前記基板処理チャンバ内の圧力レベルを設定するように適合された与圧システムと、
前記ガス分配システムおよび与圧システムに結合され、SACVDリアクタの動作を指図するコントローラと
を備え、
前記洗浄ガスから保護するために、前記カーボン・サセプタがポリシリコン膜によってコーティングされた
マルチデポジション・サブアトモスフェリック化学蒸着(SACVD)リアクタ。
(2)前記誘電材料がSi34、前記フォーミング・ガスがSiH4/NH3混合物である、上記(1)に記載のSACVDリアクタ。
(3)前記誘電材料がSiO2、前記フォーミング・ガスがSiH4/NO2混合物である、上記(1)に記載のSACVDリアクタ。
(4)前記誘電材料がSiON、前記フォーミング・ガスがDCS/N2O/NH3またはSiH4/N2O/NH3混合物である、上記(1)に記載のSACVDリアクタ。
(5)前記非誘電材料がドープト・ポリシリコン、前記フォーミング・ガスがSiH4/PH3混合物である、上記(1)に記載のSACVDリアクタ。
(6)前記洗浄ガスが、NF3およびHClを含むグループから選択される、上記(2)に記載のSACVDリアクタ。
(7)前記誘電材料がSi34であり、前記付着が、Centura HTFリアクタ内で、以下の操作条件を用いて実施される、上記(1)に記載のSACVDリアクタ。
圧力: 80〜150Torr
温度: 600〜800℃
NH3流量: 3.2slm
SiH4流量: 30sccm
2流量: 5slm
所要時間: 5分
(8)前記誘電材料がSiO2であり、前記付着が、Centura HTFリアクタ内で、以下の操作条件を用いて実施される、上記(1)に記載のSACVDリアクタ。
圧力: 50〜100Torr
温度: 600〜900℃
SiH4流量: 60sccm
2O流量: 2.8slm
2流量: 9.2slm
(9)前記誘電材料がSiONであり、前記付着が、Centura HTFリアクタ内で、以下の操作条件を用いて実施される、上記(1)に記載のSACVDリアクタ。
圧力: 80〜150Torr
温度: 650〜800℃
NH3流量: 1slm
DCS流量: 200sccm
2O流量: 2.8slm
2流量: 5slm
(10)SACVDリアクタ内でカーボン・サセプタをin−situ調整して、前記カーボン・サセプタをNF3抵抗性とする方法であって、
a)前記リアクタの処理チャンバ内に前記標準カーボン・サセプタを配置する段階と、
b)前記チャンバの内部容積をHClで洗浄する段階と、
c)前記内部容積をH2でパージする段階と、
d)DCS前駆体を使用して前記サセプタの底面をポリシリコン膜でコーティングする段階と、
e)前記内部容積をH2でパージする段階と、
f)SiH4前駆体を使用して前記サセプタの上面をポリシリコン膜でコーティングする段階と、
g)前記内部容積をH2でパージする段階
を含む方法。
(11)カーボン・サセプタの底面をコーティングする前記段階の操作条件が、ランプ電力: 26kW(#950℃)
所要時間: 540秒
DCS流量: 0.4slm
2流量: 19slm
付着速度: 300nm/分
である、上記(10)に記載の方法。
(12)前記底面ポリシリコン・コーティングの厚さが約4μmである、上記(11)に記載の方法。
(13)カーボン・サセプタの上面をコーティングする前記段階の操作条件が、温度: 675℃
SiH4流量: 0.5slm
2流量: 9.5slm
所要時間: 400秒
付着速度: 150nm/分
である、上記(10)に記載の方法。
(14)前記上面ポリシリコン・コーティングの厚さが約1.5μmである、上記(13)に記載の方法。
(15)SACVDリアクタ内で誘電および非誘電材料を付着させるための改良型のサセプタであって、ポリシリコン膜でコーティングされたカーボン・プレートから成り、NF3の攻撃に対して抵抗性であるサセプタ。
(16)底面ポリシリコン・コーティングの厚さが約4μm、上面ポリシリコン・コーティングの厚さが約1.5μmである、上記(15)に記載の改良型サセプタ。
【図面の簡単な説明】
【図1】従来のSACVDリアクタ、ここではAME HTF Centuraリアクタの概略断面図である。
【図2】本発明に基づいて修正したAME Centuraリアクタのさまざまなガス源および混合物を示す、ガス分配システムの図である。
【図3】NF3によるin−situ洗浄に対して抵抗性である本発明に基づく改良型サセプタを製造する主な段階を示す流れ図である。
【図4】本発明に基づいて修正したAME Centuraリアクタ内で実質的に全ての付着段階を実施することができる、ボーダレス・ポリシリコン・コンタクトによって代表される複雑なシリコン構造を示す図である。
【図5】パターン・ファクタ実効に対する付着速度の変化を示す図であって、EDRANチップ製造における従来のLPCVD技法の限界を再現性に関して示す図である。
【図6】POR LPCVD技法(異なる2段階の温度)および本発明に基づくSACVD技法について、異なるロットのウェハの実効チャネル長Leffの変動を示すグラフである。
【図7】POR LPCVD技法(異なる2段階の温度)および本発明に基づくSACVD技法について、異なるロットのウェハのアレイ領域の拡散領域の面積抵抗Rsの変動を示すグラフである。
【符号の説明】
10 SACVD Centuraリアクタ
11 処理チャンバ
12 シリコン・ウェハ
13 カーボン・サセプタ
14 石英ペデスタル
15 予熱リング
16 ランプ
17 ガス分配システム
18A 注入口
18B 排出口
19 高温計
24 ボーダレス・ポリシリコン・コンタクト構造
25 シリコン基板
26 SiO2ゲート層
27 拡散領域
28 ドープト・ポリシリコン/WSix
29 Si34キャップ層
30 ボーダレス・ドープト・ポリシリコン・プラグ
31 側壁SiO2スペーサ
32 側壁Si34スペーサ
33 側壁Si34バリア
34 BPSG平坦化/絶縁層
35 TEOS平坦化/絶縁層

Claims (8)

  1. 基板処理チャンバと、
    マルチデポジション・サブアトモスフェリック化学蒸着(SACVD)操作中に前記基板処理チャンバ内で基板を保持するように適合されたカーボン・サセプタであって、
    前記カーボン・サセプタの底面と上面とが、それぞれ異なる厚さのポリシリコン膜でコーティングされ、前記底面におけるポリシリコン膜の厚さが、カーボンに対して攻撃的なSACVDリアクタ内洗浄ガスから前記カーボン・サセプタを保護し、かつ、光温度計による温度測定が正確になるような放射率を保証する厚さである4μmであり、前記上面におけるポリシリコン膜の厚さが、カーボンに対して攻撃的なSACVDリアクタ内洗浄ガスから保護する厚さである1.5μmであるカーボン・サセプタと、
    前記基板処理チャンバ内にガスを導入するように適合され、前記基板処理チャンバ内にガスを流入させるのに必要な適当な弁、ガス供給管路およびその他の装置を含むガス分配システムとを備え、
    前記ガスが、誘電/非誘電材料フォーミング・ガスおよび前記洗浄ガスを含み、さらに、
    前記サセプタ/ウェハを適当な付着温度まで加熱する加熱システムと、
    前記基板処理チャンバ内の圧力レベルを設定するように適合された与圧システムと、
    前記ガス分配システムおよび与圧システムに結合され、SACVDリアクタの動作を指図するコントローラとを備えていることを特徴とする
    マルチデポジション・サブアトモスフェリック化学蒸着(SACVD)リアクタ。
  2. 前記誘電材料がSi34、前記フォーミング・ガスがSiH4/NH3混合物である、請求項1に記載のSACVDリアクタ。
  3. 前記誘電材料がSiO2、前記フォーミング・ガスがSiH4/NO2混合物である、請求項1に記載のSACVDリアクタ。
  4. 前記誘電材料がSiON、前記フォーミング・ガスがSiH2Cl2/N2O/NH3またはSiH4/N2O/NH3混合物である、請求項1に記載のSACVDリアクタ。
  5. 前記非誘電材料がドープト・ポリシリコン、前記フォーミング・ガスがSiH4/PH3混合物である、請求項1に記載のSACVDリアクタ。
  6. 前記洗浄ガスが、NF3およびHClを含むグループから選択される、請求項2に記載のSACVDリアクタ。
  7. 請求項1に記載のSACVDリアクタ内でカーボン・サセプタを調整して、前記カーボン・サセプタをNF3抵抗性とする方法であって、
    a)前記リアクタの処理チャンバ内に前記カーボン・サセプタを配置する段階と、
    b)前記チャンバの内部容積をHClで洗浄する段階と、
    c)前記内部容積をH2でパージする段階と、
    d)SiH2Cl2前駆体を使用して前記サセプタの底面をポリシリコン膜でコーティングする段階と、
    e)前記内部容積をH2でパージする段階と、
    f)SiH4前駆体を使用して前記サセプタの上面をポリシリコン膜でコーティングする段階と、
    g)前記内部容積をH2でパージする段階
    を含む方法。
  8. SACVDリアクタ内で誘電および非誘電材料を付着させるための改良型のサセプタであって、
    カーボン・プレートの底面と上面とが、それぞれ異なる厚さのポリシリコン膜でコーティングされ、前記底面におけるポリシリコン膜の厚さが、カーボンに対して攻撃的なSACVDリアクタ内洗浄ガスから前記カーボン・プレートを保護し、かつ、光温度計による温度測定が正確になるような放射率を保証する厚さである4μmであり、前記上面におけるポリシリコン膜の厚さが、カーボンに対して攻撃的なSACVDリアクタ内洗浄ガスから保護する厚さである1.5μmであるカーボン・プレートから成り、
    NF3の攻撃に対して抵抗性であるサセプタ。
JP2001224037A 2000-07-25 2001-07-25 マルチデポジションsacvdリアクタ Expired - Fee Related JP4126165B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP00480069 2000-07-25
EP00480069.4 2000-07-25

Publications (2)

Publication Number Publication Date
JP2002110572A JP2002110572A (ja) 2002-04-12
JP4126165B2 true JP4126165B2 (ja) 2008-07-30

Family

ID=8174251

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001224037A Expired - Fee Related JP4126165B2 (ja) 2000-07-25 2001-07-25 マルチデポジションsacvdリアクタ

Country Status (2)

Country Link
US (2) US6770144B2 (ja)
JP (1) JP4126165B2 (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7407892B2 (en) * 2005-05-11 2008-08-05 Micron Technology, Inc. Deposition methods
JP4517364B2 (ja) * 2005-08-18 2010-08-04 三菱マテリアル株式会社 プラズマエッチング用シリコン電極板
JP4517363B2 (ja) * 2005-08-18 2010-08-04 三菱マテリアル株式会社 プラズマエッチング用シリコン電極板
CN101195908B (zh) * 2006-12-04 2011-08-17 中芯国际集成电路制造(上海)有限公司 化学气相沉积设备反应室的清洗工艺
US8226770B2 (en) * 2007-05-04 2012-07-24 Applied Materials, Inc. Susceptor with backside area of constant emissivity
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
FR2963024B1 (fr) * 2010-07-26 2016-12-23 Altatech Semiconductor Reacteur de depot chimique en phase gazeuse ameliore
JP5609755B2 (ja) * 2011-04-20 2014-10-22 信越半導体株式会社 エピタキシャルウェーハの製造方法
US10240230B2 (en) 2012-12-18 2019-03-26 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
CN107658245A (zh) 2013-01-16 2018-02-02 应用材料公司 石英上拱形结构及下拱形结构
KR102161241B1 (ko) 2013-03-15 2020-09-29 어플라이드 머티어리얼스, 인코포레이티드 펄스형 광-여기된 증착 및 에칭을 위한 장치 및 방법들
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
KR102350588B1 (ko) * 2015-07-07 2022-01-14 삼성전자 주식회사 인젝터를 갖는 박막 형성 장치
DE102016211614A1 (de) 2016-06-28 2017-12-28 Siltronic Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Halbleiterscheiben
FR3068506B1 (fr) * 2017-06-30 2020-02-21 Soitec Procede pour preparer un support pour une structure semi-conductrice
US10734234B2 (en) * 2017-12-18 2020-08-04 International Business Machines Corporation Metal cut patterning and etching to minimize interlayer dielectric layer loss
US11284481B2 (en) * 2018-01-31 2022-03-22 The Boeing Company Smart susceptors
CN214848503U (zh) 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
SE544378C2 (sv) * 2020-07-13 2022-04-26 Epiluvac Ab Anordning och förfarande för att åstadkomma homogen tillväxt och dopning hos halvledarwafer med diameter större än 100 mm
KR20240007664A (ko) * 2021-05-13 2024-01-16 글로벌웨이퍼스 씨오., 엘티디. 반도체 구조물을 에칭하기 위한 방법들 및 프로세싱 반응기를 컨디셔닝하기 위한 방법들
US11495487B1 (en) 2021-05-13 2022-11-08 Globalwafers Co., Ltd. Methods for conditioning a processing reactor
US11515196B1 (en) 2021-05-13 2022-11-29 Globalwafers Co., Ltd. Methods for etching a semiconductor structure and for conditioning a processing reactor

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE817066R (fr) * 1973-11-29 1974-10-16 Enceinte de reaction pour le depot de matiere semi-concuctrice sur des corps de support chauffes
JPS5366164A (en) * 1976-11-26 1978-06-13 Hitachi Ltd Susceptor for semiconductor wafer processing
JPS54152465A (en) * 1978-05-22 1979-11-30 Nec Corp Manufacture of epitaxial wafer
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
JP2566796B2 (ja) * 1987-11-11 1996-12-25 東芝セラミックス株式会社 気相成長装置
JPH0410529A (ja) * 1990-04-27 1992-01-14 Shin Etsu Handotai Co Ltd サセプタ及びウエーハ自動脱着装置
JPH06196489A (ja) * 1992-12-24 1994-07-15 Hitachi Ltd 半導体デバイスの製造方法および製造装置ならびに半導体ウエハ
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
JPH1041251A (ja) * 1996-07-26 1998-02-13 Sony Corp Cvd装置およびcvd方法
US6022587A (en) * 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
US6071353A (en) * 1997-10-31 2000-06-06 Applied Materials, Inc. Protection of consumable susceptor during etch by a second coating of another consumable material
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
JP3670533B2 (ja) * 1999-09-27 2005-07-13 株式会社東芝 基板処理装置及びそのクリーニング方法
TW473829B (en) * 2000-07-25 2002-01-21 Ibm An improved method of depositing a conformal h-rich Si3N4 layer onto a patterned structure
US6623563B2 (en) * 2001-01-02 2003-09-23 Applied Materials, Inc. Susceptor with bi-metal effect

Also Published As

Publication number Publication date
US20020173164A1 (en) 2002-11-21
US20050229853A1 (en) 2005-10-20
US6770144B2 (en) 2004-08-03
JP2002110572A (ja) 2002-04-12

Similar Documents

Publication Publication Date Title
JP4126165B2 (ja) マルチデポジションsacvdリアクタ
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers
US6348420B1 (en) Situ dielectric stacks
US11837466B2 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
WO2019147462A1 (en) Treatment methods for silicon nitride thin films
JP4281082B2 (ja) 堆積前の表面調整方法
US7498270B2 (en) Method of forming a silicon oxynitride film with tensile stress
US6825051B2 (en) Plasma etch resistant coating and process
US8410003B2 (en) Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
US11417518B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US7514120B2 (en) Precoat film forming method
JP2008523640A (ja) 深トレンチのドープシリコン充填のプロセスシーケンス
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
JP2004529489A (ja) 高誘電率ゲート絶縁層の形成方法
US8084088B2 (en) Method of improving the wafer-to-wafer thickness uniformity of silicon nitride layers
KR20040100767A (ko) 저압 실리콘 질화막 형성 방법
JP2599560B2 (ja) ケイ化タングステン膜形成方法
KR20060093740A (ko) 동일 챔버에서의 산화물층 및 실리콘층의 성장
JP2000340561A (ja) 成膜方法
KR19980036462A (ko) 플라즈마를 이용한 반도체장치 제조방법
WO2003067638A1 (en) Method for manufacturing silicon nitride film using chemical vapor deposition apparatus of single chamber type

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040615

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20040709

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040709

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040819

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060524

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060718

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20060811

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080409

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20080507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080512

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110516

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees