TWI738207B - 用於金屬矽化物沉積的方法及設備 - Google Patents

用於金屬矽化物沉積的方法及設備 Download PDF

Info

Publication number
TWI738207B
TWI738207B TW109104006A TW109104006A TWI738207B TW I738207 B TWI738207 B TW I738207B TW 109104006 A TW109104006 A TW 109104006A TW 109104006 A TW109104006 A TW 109104006A TW I738207 B TWI738207 B TW I738207B
Authority
TW
Taiwan
Prior art keywords
layer
nitride
silicide
metal
metal silicide
Prior art date
Application number
TW109104006A
Other languages
English (en)
Other versions
TW202035773A (zh
Inventor
學斌 李
煒 劉
高拉夫 塔瑞加
雪恩克 薛瑪
派翠西亞M 劉
紹芳 諸
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202035773A publication Critical patent/TW202035773A/zh
Application granted granted Critical
Publication of TWI738207B publication Critical patent/TWI738207B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02614Transformation of metal, e.g. oxidation, nitridation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Abstract

提供用於諸如在金屬矽化物應用期間處理基板的方法和設備。一或多個實施例中,一種處理基板的方法包括:在基板上沉積磊晶層;在該磊晶層上沉積金屬矽化物種晶層;及將該金屬矽化物種晶層暴露於氮化製程,以從該金屬矽化物種晶層的至少一部分產生金屬氮矽化物層。該方法也包括:在該金屬氮矽化物層上沉積金屬矽化物塊體層,以及在該金屬矽化物塊體層上形成或沉積氮化物覆蓋層,其中該氮化物覆蓋層含有金屬氮化物、氮化矽、金屬氮矽化物、或上述各項之組合。

Description

用於金屬矽化物沉積的方法及設備
本案揭示內容的實施例大致上關於用於沉積材料的方法和設備,更特定而言,關於用於沉積金屬矽化物膜的方法。
目前,金屬矽化物膜(例如,矽化鈦或矽化鎳)用在源極/汲極(S/D)接觸區域,以減少nMOS和pMOS中的RC。在高溫退火(例如約700℃)下,在金屬矽化物膜上發生聚集現象(agglomeration),其中多晶矽的晶粒傾向於在晶界之間球形化,而引發膜的不連續及更高的電阻率。
因此,需要用於在源極/汲極接觸區域上製備金屬矽化物膜的改良方法和設備。
本文論述和描述的實施例提供用於處理基板的方法和設備。在一或多個實施例中,一種處理基板的方法包括:在該基板上沉積磊晶層;在該磊晶層上沉積金屬矽化物種晶(seed)層;及將該金屬矽化物種晶層暴露於氮化製程,以從該金屬矽化物種晶層的至少一部分產生金屬氮矽化物層。該方法也包括:在該金屬氮矽化物層上沉積金 屬矽化物塊體(bulk)層,以及在該金屬矽化物塊體層上形成或沉積氮化物覆蓋層,其中該氮化物覆蓋層含有金屬氮化物、氮化矽、金屬氮矽化物、或上述各項之組合。
在其他實施例中,一種處理基板的方法包括:在該基板上沉積磊晶層;將該磊晶層暴露於清潔製程;然後在該磊晶層上沉積金屬矽化物種晶層。該金屬矽化物種晶層含有矽化鈦或矽化鎳,並且具有約0.2nm至約2.5nm的厚度。該方法也包括:將該金屬矽化物種晶層暴露於氮化製程,以從該金屬矽化物種晶層的至少一部分產生金屬氮矽化物層;及在該金屬氮矽化物層上沉積金屬矽化物塊體層。該金屬矽化物塊體層包含矽化鈦或矽化鎳,並且具有約4nm至約20nm的厚度。該方法進一步包括:在該金屬矽化物塊體層上形成或沉積氮化物覆蓋層,其中該氮化物覆蓋層含有金屬氮化物、氮化矽、金屬氮矽化物、或上述各項之組合。
在其他實施例中,用於處理基板的群集工具(cluster tool)包括:移送腔室,耦接裝載閘腔室(load-lock chamber);清潔腔室,耦接該移送腔室,該清潔腔室含有感應耦合電漿源並且與氫源流體連通;及磊晶腔室,耦接該移送腔室,該磊晶腔室含有液體前驅物汽化器,並且與第一矽源流體連通。該群集工具也包括:矽化物腔室,耦接該移送腔室;及氮化腔室,耦接該移送腔室。該矽化物腔室含有遠端電漿系統,並且與第二矽源 及含金屬化合物源流體連通。該氮化腔室含有電漿源,並且與氮源流體連通。
100:方法
102~116:方塊
200:半導體結構
202:基板
203:含矽鰭片
210:磊晶層
220:污染物
230:金屬氮矽化物層
240:金屬矽化物塊體層
250:氮化物覆蓋層
300:處理系統
302:腔室
304:機器人
306:裝載閘
306A,306B:裝載閘腔室
308~316:處理腔室
為了能夠詳細地了解本案揭示內容的上述特徵的方式,可以透過參考實施例(其中一些在附圖中說明)以對在上文簡要總結的本案揭示內容進行更詳細的描述。然而,應注意,附圖僅說明示範性實施例,因此不應被認為是對本案範疇的限制,且本案可容許其他等效實施例。
圖1是流程圖,說明如本文一或多個實施例中所討論和描述的處理基板的方法;及圖2A至圖2E描繪如在本文的一或多個實施例中討論和描述的在製造的各階段期間的基板剖面圖。
圖3描繪處理系統的示意性俯視圖,該處理系統能夠用於執行圖1之流程圖所說明的方法,如在本文的一或多個實施例中論述和描述。
為了有助於理解,如可能則使用相同的元件符號表示圖中共通的相同元件。考量一個實施例的元件和特徵可有益地併入其他實施例中,而無需贅述。
本文論述和描述的實施例提供用於處理基板的方法和設備。在一或多個實施例中,一種方法包括:在基板上沉積磊晶層(例如,在nMOS或pMOS應用中的源極/汲極膜)、在磊晶層上沉積金屬矽化物種晶層、以及將金屬矽化物種晶層暴露於氮化製程,以從金屬矽化物種晶層的 至少一部分產生金屬氮矽化物層。該方法也包括:在金屬氮矽化物層上沉積金屬矽化物塊體層、以及在金屬矽化物塊體層上沉積氮化物覆蓋層,其中氮化物覆蓋層包含金屬氮化物、氮化矽、或上述各項之組合。
本文描述和論述的方法相較於先前的處理技術具有許多優點。位於磊晶層與金屬矽化物塊體層之間的界面處的金屬氮矽化物層為金屬矽化物塊體層提供在後續的熱製程中的熱穩定性。這種熱穩定性減少或消除對金屬矽化物塊狀層的聚集現象,若不然該聚集現象原本是會引發膜不連續及更大的電阻率(Rc)。在金屬矽化物塊體層上沉積或以其他方式配置的氮化物覆蓋層減少或防止對金屬矽化物塊體層的氧化。
圖1是流程圖,說明如本文一或多個實施例中所討論和描述的用於處理基板的方法100。在一或多個實例中,磊晶層或磊晶膜是用在nMOS及/或pMOS應用中的接觸區域中的源極/汲極層和膜。圖2A至圖2E說明根據圖1之流程圖在製造的某些階段期間的簡化的基板、膜堆疊或半導體結構200的剖面圖。熟悉此技術者會進一步認識到,用於形成半導體元件及其相關結構的完整製程並未在附圖中說明或在本文中描述。相反地,為了簡明和清楚起見,僅描繪和描述了對於本案揭示內容而言專一的或對於了解本案揭示內容而言是必要的用於形成半導體元件及其相關結構的製程。此外,儘管在附圖中說明並在本文中描述了各種操作,但是並不暗示對這種操作的順序或居中的操作的存在或不存在有所限制。除非明確指出,否則以順序描繪或描述的操作僅是為了解釋,而不排除以同時或重疊的方式(若非完全則至少部分地)實際執行各個操作的可能性。
在一或多個實施例中,製程100開始於圖1中的方塊102,將基板或半導體結構200裝載入、放置於或以其他方式引入含有複數個處理腔室的處理系統。該基板或半導體結構200含有下方的晶圓或基板202以及配置在基板202上的磊晶層210,如圖2A所示。
希望本文所用的術語「基板」和「晶圓」廣泛地涵蓋能夠在處理腔室中處理的任何物體。例如,晶圓或基板202可以是能夠在上面沉積有材料的任何基板,諸如矽基板(例如矽(摻雜或未摻雜)、結晶矽(例如、Si >100>或Si >111>)、氧化矽、應變矽、摻雜或未摻雜的多晶矽、或類似物)、鍺、III-V化合物基板、矽鍺(SiGe)基板、碳化矽鍺(SiGeC)基板、氧化矽鍺(SiGeO)基板、氮氧化矽鍺(SiGeON)基板、碳化矽(SiC)基板、碳氮化矽(SiCN)基板、碳氧化矽(SiCO)、磊晶基板、絕緣體上矽(SOI)基板、摻雜碳的氧化物、氮化矽、顯示器基板(諸如液晶顯示器(LCD)、電漿顯示器、電致發光(EL)燈顯示器)、太陽能電池陣列、太陽能板、發光二極體(LED)基板、圖案化的未圖案化的半導體晶圓、玻璃、藍寶石、或任何其他諸如金屬、金屬合金、和其他導電材料之類的材料。晶圓或基板202可以是平面基板或圖案化基板。圖案化的基板是包括形成在基板的處理表面之中或之上的電子特徵的基板。基板202可以包括多層,或者包括例如部分製造的元件,諸如電晶體、快閃記憶體元件、及類似物。在一或多個實例中,基板202是單晶矽鍺(SiGe)晶圓。在其他實例中,基板202是單晶矽晶圓,諸如P摻雜的矽晶圓。
在一或多個實施例中,在方塊104,在沉積磊晶層210之前,能夠視情況任選地將基板202暴露於一或多個清潔製程。例如,能夠將基板202上的一或多個上表面暴露於清潔製程。基板202的表面可能含有包括氧化物的污染物、含碳的污染物、顆粒及/或其他污染物。在一些實施例中,清潔製程包括從含氫(H2 )的試劑氣體生成電漿,並且將基板202暴露於電漿。在其他實施例中,清潔製程包括從含有氨和三氟化氮的試劑氣體生成電漿,並且將基板202暴露於電漿。基板202暴露於清潔製程及/或電漿達約0.1秒至約10分的時間。
在方塊104,在一些實施例中,基板202的表面暴露於電漿處理,以從含矽鰭片203移除所配置的污染物220的至少一部分。該電漿處理包括:在處理腔室內將基板202暴露於氫電漿。該氫電漿移除配置在基板上的氧化物、碳、及/或其他污染物中的至少一些或全部。
在一些設置中,可透過使用遠端電漿源在處理腔室中執行氫電漿清潔製程。例如,該處理腔室可以是可購自美國加州Santa Clara的應用材料公司的AKTIV Pre-Clean® 腔室。在其他實例中,氫電漿清潔製程可在使用感應耦合電漿(ICP)源的蝕刻腔室中執行。
基板200能夠暴露於氫電漿中達一段時間,該時間小於20分或小於15分,諸如約0.1秒、約0.5秒、約1秒、約10秒、約30秒、或約60秒,至約1.5分、約2分、約3分、約4分、約5分、約7分、或約10分。例如,能夠將基板200暴露於氫電漿達一段時間,該時間為約0.1秒至約10分、約0.1秒至約8分、約0.1秒至約5分或約0.1秒至約3分的時間。在一或多個實例中,將基板200暴露於氫電漿少於5分。在氫電漿製程期間,電漿處理腔室的內部壓力可為約10毫托耳至約300托耳,例如約10毫托耳至約500毫托耳或約20托耳至約300托耳。
在其他實施例中,在圖1中的方塊104,將基板200的表面暴露於乾式清潔處理,以移除氧化物、碳、顆粒及/或其他污染物。可以使用在不顯著損壞基板200的情況下從基板移除氧化物的任何合適的乾式清潔處理製程。合適的乾式清潔處理製程包括濺射蝕刻製程、基於電漿的氧化物蝕刻製程、或上述製程之組合。乾式清潔處理能夠包括將基板200暴露於蝕刻劑以及電漿、離子、自由基或上述各者的組合。蝕刻劑能夠是或包括一或多個氟、氯、氮、上述各項之電漿、上述各項之離子、上述各項之自由基、或上述各項之任何組合。乾式清潔處理包括將基板200暴露於由三氟化氮(NF3 )和氨(NH3 )的組合生成的氟電漿。示範性的基於電漿的氧化物蝕刻製程包括NF3 /NH3 感應耦合電漿製程或NF3 /NH3 電容耦合電漿製程。
在一或多個實施例中,在方塊104,乾式清潔處理是基於電漿的氧化物蝕刻製程,該製程是遠端電漿輔助的乾式蝕刻製程,涉及同時將基板暴露於NF3 和NH3 電漿副產物。在一些實例中,基於電漿的氧化物蝕刻製程可類似於或可包括SICONI® 蝕刻製程,該製程可購自美國加州Santa Clara的應用材料公司。可以在可購自美國加州Santa Clara的應用材料公司的SICONI® 預清潔腔室中執行SICONI® 蝕刻製程。
在使用遠端電漿的一些實例中,氣體物種的激發容許無電漿損傷的基板處理。遠端電漿蝕刻能夠在很大程度上呈正形(conformal)的並且對氧化矽層是選擇性的,因此,無論矽是非晶、結晶、或是多晶,該遠端電漿蝕刻都不容易蝕刻矽。遠端電漿製程大致上會產生固體副產物,當材料移除時,該固體副產物在基板202的表面上生長。當基板或半導體結構200的溫度升高(例如300°C)時,該固體副產物隨後能夠藉由昇華移除。電漿蝕刻製程可造成氧化物移除以及上面具有矽氫(Si-H)鍵的基板表面。
在一些實例中,可對處理腔室中的基板202執行乾式清潔處理製程,該處理腔室使用或流體耦接遠端電漿源(RPS)。例如,處理腔室可以是可購自美國加州Santa Clara的應用材料公司的AKTIV Pre-Clean® 腔室。在其他實例中,可以在使用ICP源的蝕刻腔室中執行乾式清潔處理製程。例如,蝕刻腔室可以是可購自美國加州Santa Clara的應用材料公司的Centura® Advantedge® Mesa® 蝕刻腔室。替代地,可在運用基於自由基的化學物質的蝕刻腔室中執行清潔製程。
在乾式清潔處理期間,將基板202暴露於蝕刻劑以移除污染物達約20分或更短的時間。能夠將基板202暴露於蝕刻劑達下述時間:約10秒、約20秒、約30秒、約45秒、約1分、約1.5分、或約2分,至約3分、約5分、約7分、約10分、約12分、約15分或約20分。
在圖1的方塊106中,在基板200上沉積、生長、或以其他方式形成磊晶層210,如圖2A所描繪。能夠在各種不同類型的製造應用之前將製程100應用於基板200。磊晶層210能夠是nMOS或pMOS元件的接觸區域中的源極/汲極膜或層,或替代地,磊晶層210能夠用作為不同應用中的其他類型的層。
在一或多個實施例中,將基板200暴露於例如氣相磊晶腔室內的一或多種處理試劑,該氣相磊晶腔室處於用於磊晶沉積含矽層的目標溫度。可使用的示範性磊晶腔室是可購自美國加州Santa Clara的應用材料公司的Centura® RP EPI腔室。磊晶沉積的目標溫度可以介於約250°C至約600°C之間,例如約300°C至約500°C,例如約350°C至約400°C。磊晶腔室內的壓力保持相對較低,例如,小於約50托耳,例如約10托耳至約40托耳。
在一些實例中,處理試劑可以包括一或多種沉積氣體和至少一種摻雜劑氣體。沉積氣體可包括一種或多種前驅物氣體,該前驅物氣體選自:III族前驅物氣體、IV族前驅物氣體、V族前驅物氣體、或VI族前驅物氣體。在形成含矽磊晶層的情況下,沉積氣體可含有至少一矽源。示範性矽源可包括但不限於:矽烷、鹵化矽烷、四氯化矽(SiCl4)或上述各項之任何組合。矽烷可以包括甲矽烷(SiH4)和具有經驗式SixH(2x+2)的高級矽烷,例如乙矽烷(Si2H6)、丙矽烷(Si3H5)、丁矽烷(Si4H10)、戊矽烷(Si5H12)、或己矽烷(Si6H14)。也可以使用其他高級矽烷,例如表示為SinH2n(n是等於或大於3的自然數)的矽氫化物。例如,環丙矽烷(Si3H6)、環丁矽烷(Si4H8)、環戊矽烷(Si5H10)、環己矽烷(Si6H12)或環庚矽烷(Si7H14)。鹵化矽烷可包括單氯矽烷(MCS)、二氯矽烷(DCS)、三氯矽烷(TCS)、六氯乙矽烷(HCDS)、八氯丙矽烷(OCTS)、四氯化矽(STC)或上述各項之組合。在一些實例中,矽烷可包括有不同程度鹵化的高級矽烷(例如鹵化矽烷)以實現選擇性,該鹵化是以F、Cl、Br、或I的形式附接矽烷。例如,一種或多種鹵化矽烷能夠是或包括Si2H4C12或Si3H5C13
取決於沉積的磊晶層的期望導電特性,摻雜劑氣體能夠是或包括、但不限於:磷、硼、砷、鎵、或鋁。沉積氣體可視情況任選地含有至少一種次級元素源,例如鍺源或碳源。視應用而定,例如金屬、鹵素、或氫之類的其 他元素可併入含矽層內。在一或多個範例中,含矽磊晶層是磷摻雜的矽(Si:P),能夠使用諸如磷化氫(PH3)、三氯化磷(PCl3)、三溴化磷(PBr3)、和磷酸酯(例如磷酸三丁酯(TBP))的摻雜劑來達成。
處理試劑可視情況任選地包括載氣。可基於在磊晶製程期間所使用的前驅物及/或製程溫度而選擇載氣。合適的載氣能夠是或包括氮氣、氫氣、氬氣、氦氣、或對磊晶製程而言呈惰性的其他氣體。在以低溫(例如,低於600℃)製程為特徵的實例中,氮氣可以用作載氣。載氣可具有約1slm(標準狀態下升/分)至約100slm的流速,例如約3slm至約30slm。
在一或多個實施例中,在方塊108,能夠視情況任選地將磊晶層210暴露於一或多個清潔製程。例如,能夠將磊晶層210的一或多個表面暴露於清潔製程。磊晶層210的表面可能含有污染物,包括氧化物、含碳污染物、顆粒、及/或其他污染物。在一些實施例中,清潔製程包括從含有氫(H2)的試劑氣體生成電漿,以及將磊晶層210暴露於該電漿。在其他實施例中,清潔製程包括:從含有氨和三氟化氮的試劑氣體生成電漿,並將磊晶層210暴露於該電漿。磊晶層210暴露於清潔製程及/或電漿達約0.1秒至約10分的一段時間。
在方塊108,在一些實施例中,磊晶層210的表面暴露於電漿處理以移除配置在該表面上的污染物。電漿處理包括將基板202暴露於處理腔室內的氫電漿。氫電漿移除配置在表面上的氧化物、碳、及/或其他污染物中的至少一些或全部。
在一些設置中,可使用遠端電漿源在處理腔室中執行氫電漿清潔製程。例如,處理腔室可以是可購自美國加州Santa Clara的應用材料公司的AKTIV Pre-Clean®腔室。在其他實例中,氫電漿清潔製程可以在使用感應耦合電漿(ICP)源的蝕刻腔室中執行。
磊晶層210能夠暴露於氫電漿達一段時間,該時間為小於20分或小於15分,例如約0.1秒、約0.5秒、約1秒、約10秒、約30秒、或約60秒,至約1.5分、約2分、約3分、約4分、約5分、約7分或約10分。例如,該磊晶層210能夠暴露於氫電漿達一段時間,該時間為約0.1秒至約10分、約0.1秒至約8分、約0.1秒至約5分、或約0.1秒至約3分。在一或多個實例中,將磊晶層210暴露於氫電漿達少於5分。在氫電漿製程期間,電漿處理腔室的內部壓力可以是約10毫托耳至約300托耳,例如約10毫托耳至約500毫托耳、或約20托耳至約300托耳。
其他實施例中,在圖1的方塊108中,磊晶層210的表面暴露於乾式清潔處理,以移除氧化物、碳、顆粒、及/或其他污染物。可使用在不顯著損壞磊晶層210的情況下從基板移除氧化物的任何合適的乾式清潔處理製程。合適的乾式清潔處理製程包括濺射蝕刻製程、基於電漿的氧化物蝕刻製程、或上述製程之組合。乾式清潔處理能夠包括將磊晶層210暴露於蝕刻劑以及電漿、離子、自由基或上述各項的組合。蝕刻劑能夠是或包括一種或多種的氟、氯、氮、上述各項之電漿、上述各項之離子、上述各項之自由基或上述各項的任何組合。乾式清潔處理包括將磊晶層210暴露於由三氟化氮(NF3 )和氨(NH3 )的組合所生成的氟電漿。示範性的基於電漿的氧化物蝕刻製程包括NF3 /NH3 感應耦合電漿製程或NF3 /NH3 電容耦合電漿製程。
在一或多個實施例中,在方塊108處的乾式清潔處理是基於電漿的氧化物蝕刻製程,該製程是遠端電漿輔助的乾蝕刻製程,涉及將磊晶層210同時暴露於NF3 和NH3 電漿副產物。在一些實例中,基於電漿的氧化物蝕刻製程可類似於或可包括可購自美國加州Santa Clara的應用材料公司的SICONI®蝕刻製程。該SICONI® 蝕刻製程可以在可購自美國加州Santa Clara的應用材料公司的SICONI® 預清潔腔室中執行。
在使用遠端電漿的一些實例中,氣體物種的激發容許無電漿損傷的基板處理。遠端電漿蝕刻能夠在很大程度上呈正形的並且對氧化矽層是選擇性的,因此,無論矽是非晶、結晶、或是多晶,該遠端電漿蝕刻都不容易蝕刻矽。遠端電漿製程大致上會產生固體副產物,當材料移除時,該固體副產物在磊晶層210的表面上生長。當基板或半導體結構200的溫度升高(例如300°C)時,該固體副產物隨後能夠藉由昇華移除。電漿蝕刻製程造成氧化物移除以及上面具有矽氫(Si-H)鍵的基板表面。
在一些實例中,可在使用RPS的處理腔室中執行磊晶層210的乾式清潔處理製程。例如,處理腔室可以是可從美國加州Santa Clara的應用材料公司購得的AKTIV Pre-Clean® 腔室。在其他實例中,可在使用ICP源的蝕刻腔室中執行乾式清潔處理製程。例如,蝕刻腔室可以是可從美國加州Santa Clara的應用材料公司購得的Centura® Advantedge® Mesa® 蝕刻腔室。替代地,可在運用基於自由基的化學物質的蝕刻腔室中執行清潔製程。
在乾式清潔處理期間,將磊晶層210暴露於蝕刻劑以移除污染物達約20分或更短的一段時間。可將磊晶層210暴露於蝕刻劑達一段時間,該時間為約10秒、約20秒、約30秒、約45秒、約1分、約1.5分、或約2分,至約3分、約5分、約7分、約10分、約12分、約15分、或約20分。
在一或多個實施例中,在圖1的方塊110中,如圖2B所描繪,在磊晶層210上生長、沉積或以其他方式形成金屬矽化物種晶層220。該金屬矽化物種晶層220含有矽化鈦、矽化鎳、矽化鈷、矽化鉿、矽化鋯、上述材料之合金或上述材料之任何組合。金屬矽化物種晶層220可以具有下述厚度:約0.2 nm、約0.5 nm、約0.8 nm、或約1 nm至約1.2 nm、約1.5 nm、約1.8 nm、約2 nm、約2.2 nm、約2.5 nm、或約3 nm。例如,金屬矽化物種晶層220可具有下述厚度:約0.2 nm至約3 nm、約0.2 nm至約2.5 nm、約0.2 nm至約2 nm、約0.2 nm至約1.5 nm、約0.2 nm至約1 nm、約0.5 nm至約3 nm、約0.5 nm至約2.5 nm、約0.5 nm至約2 nm、約0.5 nm至約1.5 nm、約0.5 nm至約1 nm、約1 nm至約3 nm、約1 nm至約2.5 nm、約1 nm至約2 nm、或約1 nm至約1.5 nm。
在一或多個實施例中,金屬矽化物種晶層220是在諸如矽化物腔室或磊晶腔室的處理腔室內生長或沉積於磊晶層210上。可使用的示範性磊晶腔室是可購自美國加州Santa Clara的應用材料公司的Centura® RP EPI腔室。
在一或多個實施例中,金屬矽化物種晶層220能夠藉由化學氣相沉積(CVD)製程沉積、形成或以其他方式產生。 CVD製程包括:將一或多種金屬前驅物和一或多種矽前驅物引入處理腔室。用於沉積金屬矽化物種晶層220的目標溫度為約300°C至約600°C。處理腔室內的壓力為約5托耳至約300托耳。
在用於沉積金屬矽化物種晶層220的CVD製程期間的金屬前驅物能夠是或包括一或多種鈦前驅物及/或一或多種鎳前驅物。示範性鈦前驅物能夠是或包括:四氯化鈦、四溴化鈦、四(二甲胺基)鈦(TDMAT)、四(二乙胺基)鈦(TDEAT)、或上述前驅物之任何組合。示範性鎳前驅物能夠是或包括:四羰基鎳、雙甲基環戊二烯基鎳、或上述前驅物的任何組合。示範性矽前驅物能夠是或包括二氯矽烷、四氯矽烷、六氯乙矽烷、甲矽烷、乙矽烷、丙矽烷、丁矽烷或上述前驅物之任何組合。
在方塊110,處理試劑或化學前驅物可視情況任選地包括載氣。可基於磊晶製程期間所使用的前驅物及/或製程溫度選擇載氣。合適的載氣能夠是或包括氮氣、氬氣、氦氣、或對於磊晶製程而言呈惰性的其他氣體。載氣可具有約1slm(標準狀態下升/分)至約50slm的流速,例如約5slm至約30slm。
在一或多個實施例中,在圖1的方塊112,金屬矽化物種晶層220暴露於氮化製程,而從金屬矽化物種晶層220的至少一部分產生金屬氮矽化物層230,如圖2C中描繪。在一或多個實例中,所有金屬矽化物種晶層220被氮化或以其他方式轉化為金屬氮矽化物層230。在其他實例中,金屬矽化物種晶層220的上部被氮化或以其他方式轉化為金屬氮矽化物層230,而金屬矽化物種晶層220的下部維持金屬矽化物(未示出)。
一或多個實施例中,氮化製程包括:將基板202加熱至製程溫度、從試劑氣體生成電漿、以及在將至少一部分的金屬矽化物種晶層220轉化成金屬氮矽化物層230的同時將金屬矽化物種晶層220暴露於電漿。在一些實例中,試劑氣體能夠是或包括一或多種含氮化合物,並且電漿能夠包括含氮化合物及其離子、自由基或衍生物。試劑氣體能夠是或包括氨氣、氮氣(N2)、氫氣(H2)或上述各項之任何組合。
在氮化製程期間基板200的製程溫度能夠是:約100℃、約200℃、約250℃、約300℃、約350℃、或約400℃,至約450℃、約500℃、約550℃、約600℃、約700℃、或更高。例如,該基板200的製程溫度能夠是:約100℃至約700℃、約200℃至約700℃、約200℃至約600℃、約200℃至約500℃、約200℃至約400℃、約200℃至約300℃、約300℃至約700℃、約300℃至約600℃、約300℃至約500℃、約300℃至約400℃、約300℃至約350℃、約400℃至約700℃、約400℃至約600℃、約400℃至約500℃、約400℃至約450℃、約425℃至約475℃、或約440℃至約460℃。
氮化製程持續達約0.5秒至約5分,諸如約1秒、約5秒、約10秒、約30秒、或約45秒,至約1分、約1.5分、約2分、約3分、約4分、或約5分。例如,該氮化製程持續達:約0.5秒至約5分、約0.5秒至約3分、約0.5秒至約2分、約0.5秒至約1.5分、約0.5秒至約1分、約0.5秒至約45秒、約0.5秒至約30秒、約0.5秒至約15秒、約0.5秒至約5秒、約5秒至約5分、約5秒至約3分、約5秒至約2分、約5秒至約1.5分、約5秒至約1分、約5秒至約45秒、約5秒至約30秒、約5秒至約15秒、約30秒至約5分、約30秒至約3分、約30秒至約2分、約30秒至約1.5分、約30秒至約1分、或約30秒至約45秒。
在一或多個實施例中,在諸如氮化腔室、沉積腔室或熱腔室之類的處理腔室中的氮化製程期間,將金屬矽化物種晶層220氮化或轉化成金屬矽氮化物層230。可使用的示範性氮化腔室是Centura® DPN®腔室或Centura® CVD TiN腔室,上述腔室可購自美國加州Santa Clara的應用材料公司。該氮化或處理腔室可具有感應耦合電漿源,或者可以流體耦接RPS。
在一或多個實施例中,在圖1中的方塊114,在金屬氮矽化物層230上生長、沉積、或以其他方式形成金屬矽化物塊體層240,如圖2D所描繪。金屬矽化物塊體層240含有矽化鈦、矽化鎳、矽化鉿、矽化鋯、上述各項之合金或上述各項之任何組合。該金屬矽化物塊體層240能夠具有下述厚度:約2nm、約4nm、約5nm、約6nm、約8nm、或約10nm,至約12nm、約15nm、約18nm、約20nm、約22nm、約25nm、約30nm、約40nm、或約50nm。例如,該金屬矽化物塊體層240能夠具有下述厚度:約2nm至約50nm、約4nm至約50nm、約8nm至約50nm、約10nm至約50nm、約15nm至約50nm、約20nm至約50nm、約25nm至約50nm、約30nm至約50nm、約40nm至約50nm、約2nm至約30nm、約4nm至約30nm、約8nm至約30nm、約10nm至約30nm、約15nm至約30nm、約20nm至約30nm、約25nm至約30nm、約30nm至約35nm、約2nm至約20nm、約4nm至約20nm、約8nm至約20nm、約10nm至約20nm、或約15nm至約20nm
在一或多個實施例中,在諸如矽化物腔室或磊晶腔室的處理腔室內於金屬氮矽化物層230上生長、沉積、或以其他方式形成金屬矽化物塊體層240。可使用的示範性磊晶腔室是可購自美國加州Santa Clara的應用材料公司的Centura® RP EPI腔室。
在一或多個實施例中,金屬矽化物塊體層240能夠藉由CVD製程沉積、形成或以其他方式產生。CVD製程包括:將一或多種金屬前驅物和一或多種矽前驅物引入處理腔室。用於沉積金屬矽化物塊體層240的目標溫度為約300℃至約600℃。處理腔室內的壓力為約5托耳至約300托耳。
在用於沉積金屬矽化物塊體層240的CVD製程期間的金屬前驅物能夠是或包括一或多種鈦前驅物及/或一或多種鎳前驅物。示範性的鈦前驅物可以是或包括四氯化鈦、四溴化鈦、四(二甲胺基)鈦(TDMAT)、四(二乙胺基)鈦(TDEAT)、或上述各項之任何組合。示範性的鎳前驅物能夠是或包括四羰基鎳、雙甲基環戊二烯基鎳、或上述各項的任何組合。示範性的矽前驅物能夠是或包括二氯矽烷、四氯矽烷、六氯乙矽烷、甲矽烷、乙矽烷、丙矽烷、丁矽烷或上述各項之任何組合。
在方塊114,處理試劑或化學前驅物可視情況任選地包括載氣。可以根據磊晶製程期間所使用的前驅物及/或製程溫度選擇載氣。合適的載氣能夠是或包括氮氣、氬氣、氦氣、或對於磊晶製程而言呈惰性的其他氣體。載氣 可具有約1slm至約50slm的流速,例如約5slm至約30slm。
在一或多個實施例中,在圖1的方塊116,在金屬矽化物塊體層240上生長、形成、沉積或以其他方式產生氮化物覆蓋層250,如圖2E所示。氮化物覆蓋層250含有一或多種金屬氮化物、氮化矽、金屬氮矽化物、或上述各項之任何組合。在一些實例中,氮化物覆蓋層250含有氮化鈦、氮化鎳、氮化矽、或上述各項之任何組合。
在一或多個實施例中,在方塊116的方法包括在金屬矽化物塊體層上形成或沉積氮化物覆蓋層,包括:a)將金屬矽化物塊體層暴露於氮化製程,以將至少一部分的該金屬矽化物塊體層轉化成金屬氮矽化物,同時產生含有該金屬氮矽化物的氮化物覆蓋層;b)透過氣相沉積製程沉積金屬氮化物層,同時產生含有金屬氮化物的氮化物覆蓋層;c)藉由氣相沉積製程沉積金屬層,並使金屬層暴露於氮化製程,而將金屬層轉化為金屬氮化物,同時產生含有金屬氮化物的氮化物覆蓋層;或d)藉由氣相沉積製程沉積氮化矽層,同時產生含有氮化矽的氮化物覆蓋層。
氮化物覆蓋層250能夠具有下述厚度:約0.2nm、約0.5nm、約0.8nm、或約1nm至約1.2nm、約1.5nm、約1.8nm、約2nm、約2.2nm、約2.5nm、或約3nm。例如,該氮化物覆蓋層250能夠具有下述厚度:約0.2nm至約3nm、約0.2nm至約2.5nm、約0.2nm至約2nm、約0.2nm至約1.5nm、約0.2nm至約1nm、 約0.5nm至約3nm、約0.5nm至約2.5nm、約0.5nm至約2nm、約0.5nm至約1.5nm、約0.5nm至約1nm、約1nm至約3nm、約1nm至約2.5nm、約1nm至約2nm、或約1nm至約1.5nm。
在一或多個實施例中,氮化物覆蓋層250是在諸如氮化腔室、沉積腔室、或熱腔室之類的處理腔室中生長、形成、沉積或以其他方式產生。可使用的示範性沉積及/或氮化腔室是Centura® DPN®腔室、Centura® CVD TiN腔室、或Centura® ALD TiN腔室,上述腔室每一者皆可購自美國加州Santa Clara的應用材料公司。處理腔室可以具有感應耦合電漿源,或可以流體耦接RPS。
在一或多個實施例中,能夠藉由CVD製程、原子層沉積(ALD)製程(包括其熱及/或電漿的變化形式)沉積、形成、或以其他方式產生氮化物覆蓋層250。在一些實例中,CVD製程或ALD製程包括將一或多種金屬前驅物和一或多種氮前驅物引入處理腔室中並直接沉積金屬氮化物層。在其他實例中,CVD製程或ALD製程包括將一或多種金屬前驅物和一或多種還原劑引入處理腔室中並且直接沉積金屬層,然後將該金屬層暴露至氮化製程。在進一步的實例中,CVD製程或ALD製程包括將一或多種矽前驅物和一或多種氮前驅物引入處理腔室中並直接沉積氮化矽層。
用於沉積金屬氮化物層或金屬層以用於氮化物覆蓋層250的目標溫度為約300℃至約600℃。處理腔室內 的壓力為約0.001托耳至約40托耳。在用於沉積氮化物覆蓋層250的CVD製程或ALD製程期間的金屬前驅物能夠是或包括一或多種鈦前驅物及/或一或多種鎳前驅物。示範性的鈦前驅物能夠是或包括四氯化鈦、四溴化鈦、四(二甲胺基)鈦(TDMAT)、四(二乙胺基)鈦(TDEAT)或上述各項之任何組合。示範性的鎳前驅物能夠是或包括四羰基鎳、雙甲基環戊二烯基鎳、或上述各項之任何組合。示範性的氮化物前驅物及/或還原劑能夠是或包括氨、氨電漿、氮電漿、氫(H2)、氫電漿或上述各項之任何組合。示範性的矽前驅物能夠是或包括二氯矽烷、四氯矽烷、六氯乙矽烷、甲矽烷、乙矽烷、丙矽烷、丁矽烷或上述各項之任何組合。
在方塊116,處理試劑或化學前驅物可視情況任選地包括載氣。可根據磊晶製程期間所使用的前驅物及/或製程溫度選擇載氣。合適的載氣能夠是或包括氮氣、氬氣、氦氣、或對於磊晶製程而言呈惰性的其他氣體。載氣可具有約0.1slm至約20slm的流速,例如約0.5slm至約6slm。
其他實施例中,能夠藉由將金屬氮矽化物層230的表面(例如,外表面或上表面)進行氮化,而形成氮化物覆蓋層250。就此而言,氮化物覆蓋層250含有或包括金屬氮矽化物。該氮化製程能夠是熱氮化製程及/或電漿氮化製程。舉例而言,氮化製程能夠是本文描述或討論的任何氮化製程。
圖3是處理系統300的示意性俯視圖,該處理系統300能夠用於執行或進行根據本文所述實施例、由圖1之流程圖所說明的製程100。在一些實例中,處理系統300能夠是或包括群集工具。處理系統300的一個實例是可購自美國加州Santa Clara的應用材料公司的CENTURA®系統。任何便利類型的移送機器人304配置在處理系統300的移送腔室302中。具有兩個裝載閘腔室306A、306B的裝載閘306耦接移送腔室302。複數個處理腔室308、310、312、314和316也耦接移送腔室302。複數個處理腔室308、310、312、314和316可包括一或多個腔室,例如清潔腔室、磊晶腔室、矽化物腔室、氮化腔室、氧化腔室、蝕刻腔室、或上述腔室之任何組合。
處理腔室308可以是設置成在沉積磊晶及/或矽化物材料之前清潔基板的清潔腔室。例如,處理腔室308可以是使用遠端電漿源的預清潔腔室。在一個實施例中,處理腔室308是可購自美國加州Santa Clara的應用材料公司的AKTIV Pre-CleanTM腔室。如上文在方塊104及/或108中所討論,處理腔室308使用電中性自由基(例如,氫自由基)以與基板上的氧化物及/或污染物反應並清除該氧化物及/或污染物。
處理腔室310可為設置成在基板上沉積材料的熱處理腔室。例如,處理腔室310可以是諸如磊晶腔室的材料沉積腔室。在一個實施例中,處理腔室310是可購自美國加州Santa Clara的應用材料公司的Centura® RP EPI腔室。處理腔室314可以用於執行如上文於方塊106所論述之磊晶生長製程。
處理腔室312可為設置成在沉積之前清潔基板的清潔腔室。例如,處理腔室312可以是電容耦合處理腔室。在一個實施例中,處理腔室312是可購自美國加州Santa Clara的應用材料公司的SICONI®預清潔腔室。在其他實施例中,處理腔室312可以是設置成從基板蝕刻材料的蝕刻腔室。例如,處理腔室312可以是諸如ICP電漿腔室的電漿腔室。在一個實施例中,處理腔室312是可購自美國加州Santa Clara的應用材料公司的Centura® AdvantedgeTM MesaTM蝕刻腔室。處理腔室312可用於執行如上文在方塊104及/或108中討論的清潔製程。
處理腔室314可以是設置成在基板上沉積材料的熱處理腔室。例如,處理腔室314可以是矽化物腔室或其他沉積腔室,例如磊晶腔室。在一個實施例中,處理腔室314是可購自美國加州Santa Clara的應用材料公司的Centura® RP EPI腔室。處理腔室314可以用於執行矽化物沉積、金屬矽化物沉積、氮化物沉積、及/或磊晶生長製程,如上文在方塊110中所論述。
處理腔室316可以是氮化或熱處理腔室,該腔室設置成提供受控的氮化及/或加熱基板的熱循環。在一或多個實例中,處理腔室316為氮化處理腔室。處理腔室316能夠具有RPS或原位電漿系統以生成氮電漿,如上文於方塊112中所論述。在其他實例中,處理腔室316是熱處理腔 室。在一個實施例中,處理腔室316是可購自美國加州Santa Clara的應用材料公司的VANTAGE® RADOXTM RTP腔室。處理腔室310可用於在沉積後執行下游處理,諸如熱或電漿退火、熱或電漿清潔、熱或電漿化學氣相沉積、熱或電漿氮化,或熱或電漿氧化。
一或多個實施例中,處理腔室316可以是另一腔室,諸如處理腔室308、310、312或314之任一者。例如,處理腔室316可以是設置成清潔基板(例如,在沉積之後)的清潔腔室、電漿腔室、設置成提供加熱基板的受控熱循環的熱處理腔室、設置成沉積另一材料的沉積腔室、或其他類型的處理腔室。一些實施例中,可無處理腔室316,或僅在一操作期間不使用處理腔室316。
在處理期間,待處理的基板可以在匣(未示出)中到達處理系統300。在製程100的方塊102,將基板引入處理系統300中。藉由工廠界面機器人(未示出)將基板從匣移送到與真空相容的裝載閘306A、306B。隨後,在大致上維持在真空狀態的移送腔室302中由移送機器人304調動基板。隨後,如方塊104中所述,移送機器人304將基板裝載到處理腔室308或處理腔室312中以清潔基板。完成清潔後,移送機器人304稍後從處理腔室308或312拾取基板,並且將基板裝載到處理腔室310中以進行磊晶沉積製程,諸如材料的磊晶生長(例如,矽磊晶),如方塊106所描述。隨後,移送機器人304從處理腔室310拾取基板,且可將基板裝載到處理腔室312中以從磊晶層 清潔材料,如方塊108中所述。隨後,移送機器人304從處理腔室312拾取基板並且將基板裝載到處理腔室314中以在磊晶層上沉積金屬矽化物種晶層,如方塊110所述。
接著,移送機器人304稍後從處理腔室314拾取基板,並將基板裝載至處理腔室316中,以使金屬矽化物種晶層暴露於氮化處理,而從金屬矽化物種晶層的至少一部分產生金屬氮矽化物層,如方塊112中所述。隨後,移送機器人304從處理腔室316拾取基板,並且將基板裝載到處理腔室314,以在金屬氮矽化物層上沉積金屬矽化物塊體層,如方塊114中所述。隨後,移送機器人304從處理腔室314拾取基板,並且將基板裝載到處理腔室316,以在金屬矽化物塊體層上沉積氮化物覆蓋層(例如,金屬氮化物及/或氮化矽)。
隨後,移送機器人304從處理腔室316拾取基板,並且視情況任選地將基板裝載到處理腔室308、310、312及/或314的任何一或多者,以進行任何下游處理,諸如熱或電漿退火、熱或電漿清潔、熱或電漿化學氣相沉積,如上文所論述。替代地,移送機器人304將基板從處理腔室316移動並且將基板裝載到裝載閘306B,以從處理系統300移除。在製程100期間,所有操作(方塊104、106、108、110、112、114、和116)是在相同的處理系統中執行,因此當基板移送到各處理腔室時,基板不會暴露於大氣中(例如,真空不破),這減少污染的機會並改善沉積的磊晶膜及矽化物層與材料的品質。
在製程期間,移送腔室302可維持在真空及/或低於大氣壓的壓力下。可調整移送腔室302的真空水準以匹配相應的處理腔室的真空水準。例如,當將基板從移送腔室302移送至處理腔室中時(反之亦然),移送腔室302和處理腔室可維持在相同的真空水準。隨後,當基板從移送腔室移送到裝載閘腔室或批次裝載閘腔室時(反之亦然),即使裝載閘腔室和處理腔室的真空水準可能不同,但移送腔室的真空水準可匹配裝載閘腔室306A、306B的真空水準。
在一或多個實施例中,處理系統300(例如,群集工具)包括耦接一或多個裝載閘腔室306A、306B的移送腔室302和耦接移送腔室302的第一清潔腔室308。第一清潔腔室308包含感應耦合電漿源,並且第一清潔腔室308與氫(H2)源流體連通。處理系統300包括磊晶腔室310,該磊晶腔室310耦接移送腔室302。磊晶腔室310含有液體前驅物汽化器,並且與第一矽源(未示出)流體連通。處理系統300也包括耦接移送腔室302的第二清潔腔室312。第二清潔腔室312含有電容耦合電漿源以及耦接偏壓RF電源供應器的基板支撐件,及/或含有遠端電漿系統或與該遠端電漿系統流體連通。第二清潔腔室312能夠與含氟化合物(例如,NF3)、氨、氫(H2)或上述各項之組合的來源流體連通。處理系統300也包括耦接移送腔室302的矽化物腔室314。矽化物腔室314含有遠端電漿系統和第二矽源以及含金屬化合物源或與上述各者流體連通。 處理系統300進一步包括耦接移送腔室302的氮化腔室316。氮化腔室316含有電漿源或與電漿源流體連通,並且與氮源(未示出)流體連通。在一些實施例中,氮化腔室316也能夠用於在金屬矽化物塊體層上形成或以其他方式沉積一或多個氮化物覆蓋層。氮化物覆蓋層含有金屬氮化物、氮化矽、或上述各項的組合,並且能夠由氮化腔室316產生。
本文描述和討論的方法相較於先前的矽化物製程技術具有許多優點。介於磊晶層與金屬矽化物塊體層之間的界面處的金屬氮矽化物層提供熱穩定性給後續熱製程(例如,在約700℃至約1100℃或約850℃至約950℃的高K尖峰退火製程及/或在約400℃至約800℃或約550℃至約650℃的矽化物尖峰退火製程)中的金屬矽化物塊體層。此熱穩定性減少或消除對金屬矽化物塊體層的聚集現象,否則該聚集現象原本會引發膜不連續和更大的電阻率(Rc)。配置於磊晶層上的氮化物覆蓋層減少或防止對磊晶層的氧化。
本案揭示內容的實施例進一步關於以下段落1-22中任何一或多者:
1.一種處理基板的方法,包括:在該基板上沉積磊晶層;在該磊晶層上沉積金屬矽化物種晶層;將該金屬矽化物種晶層暴露於氮化製程,以從該金屬矽化物種晶層的至少一部分產生金屬氮矽化物層;在該金屬氮矽化物層上沉積金屬矽化物塊體層;及在該金屬矽化物塊體層上形 成或沉積氮化物覆蓋層,其中該氮化物覆蓋層包括金屬氮化物、氮化矽、金屬氮矽化物、或上述各項之組合。
2.一種處理基板的方法,包括:在該基板上沉積磊晶層;將該磊晶層暴露於清潔製程;然後在該磊晶層上沉積金屬矽化物種晶層,其中該金屬矽化物種晶層包括矽化鈦或矽化鎳,並且其中該金屬矽化物種晶層具有約0.2nm至約2.5nm的厚度;將該金屬矽化物種晶層暴露於氮化製程以從該金屬矽化物種晶層的至少一部分產生金屬氮矽化物層;在該金屬氮矽化物層上沉積金屬矽化物塊體層,其中該金屬矽化物塊體層包括矽化鈦或矽化鎳,並且其中該金屬矽化物塊體層具有約4nm至約20nm的厚度;及在該金屬矽化物塊體層上形成或沉積氮化物覆蓋層,其中該氮化物覆蓋層包括金屬氮化物、氮化矽、金屬氮矽化物、或上述各項之組合。
3.一種處理基板的群集工具,包括:移送腔室,耦接裝載閘腔室;清潔腔室,耦接該移送腔室,其中該清潔腔室包括感應耦合電漿源並且與氫源流體連通;磊晶腔室,耦接該移送腔室,其中該磊晶腔室包括液體前驅物汽化器,並且與第一矽源流體連通;矽化物腔室,耦接該移送腔室,其中該矽化物腔室包括遠端電漿系統,並且與第二矽源及含金屬化合物源流體連通;及氮化腔室,耦接該移送腔室,其中該氮化腔室包括電漿源,並且與氮源流體連通。
4.根據段落1-3中任一段落的方法及/或群集工具,其中該金屬矽化物種晶層包括矽化鈦或矽化鎳。
5.根據段落1-4中任一段落的方法及/或群集工具,其中該金屬矽化物種晶層具有約0.2nm至約2.5nm的厚度。
6.根據段落1-5中的任一段落的方法及/或群集工具,其中該氮化製程包括:將該基板加熱到約300℃至約600℃的溫度;從包含氨的試劑氣體生成電漿;及將該金屬矽化物種晶層暴露至該電漿。
7.根據段落1-6中任一段落的方法及/或群集工具,其中將該基板加熱到約400℃至約500℃的溫度,並且該氮化製程持續達約0.5秒至約5分。
8.根據段落1-7中的任一段落的方法及/或群集工具,其中該金屬矽化物塊體層包括矽化鈦或矽化鎳。
9.根據段落1-8中的任一段落的方法及/或群集工具,其中該金屬矽化物塊體層具有約4nm至約20nm的厚度。
10.根據段落1-9中任一段落的方法及/或群集工具,進一步包括:在沉積該磊晶層之前將該基板暴露於清潔製程。
11.根據段落10的方法及/或群集工具,其中該清潔製程包括:從包含氫(H2)的試劑氣體生成電漿;及將該基板暴露於該電漿。
12.根據段落10的方法及/或群集工具,其中該清潔製程包括:從包含氨和三氟化氮的試劑氣體生成電漿;及將該基板暴露於該電漿。
13.根據段落12的方法及/或群集工具,其中將該基板暴露於該電漿達約0.1秒至約10分的時間。
14.根據段落1-13中的任一段落的方法及/或群集工具,進一步包括:在沉積該金屬矽化物種晶層之前,將該磊晶層暴露於清潔製程。
15.根據段落14的方法及/或群集工具,其中該清潔製程包括:從包含氫(H2)的試劑氣體生成電漿;及將該磊晶層暴露於該電漿。
16.根據段落14的方法及/或群集工具,其中該清潔製程包括:從包含氨和三氟化氮的試劑氣體生成電漿;及使該磊晶層暴露於該電漿。
17.根據段落1-16中任一段落的方法及/或群集工具,其中該氮化物覆蓋層中的該金屬氮化物包括氮化鈦或氮化鎳。
18.根據段落1-17中任一段落的方法及/或群集工具,其中在該金屬矽化物塊體層上形成或沉積該氮化物覆蓋層進一步包括:將該金屬矽化物塊體層暴露至氮化製程,以將該金屬矽化物塊體層的至少一部分轉化成該金屬氮矽化物,同時產生包含該金屬氮矽化物的該氮化物覆蓋層。
19.根據段落1-18中任一段落的方法及/或群集工具,其中在該金屬矽化物塊體層上形成或沉積該氮化物覆蓋層進一步包括:藉由氣相沉積製程沉積金屬氮化物層,同時產生包括該金屬氮化物的該氮化物覆蓋層。
20.根據段落1-19中任一段落的方法及/或群集工具,其中在金屬矽化物塊體層上形成或沉積氮化物覆蓋層進一步包括:藉由氣相沉積製程沉積金屬層且將該金屬層暴露於氮化處理以將該金屬層轉化為金屬氮化物,同時產生包含金屬氮化物的氮化物覆蓋層。
21.根據段落1-20中任一段落的方法及/或群集工具,其中在該金屬矽化物塊體層上形成或沉積該氮化物覆蓋層進一步包括:藉由氣相沉積製程沉積氮化矽層,同時產生包括該氮化矽的該氮化物覆蓋層。
22.根據段落1-21中任一段落的方法及/或群集工具,其中該磊晶層是nMOS或pMOS元件中的源極/汲極膜。
儘管前述內容是針對本案揭示內容的實施例,但是在不脫離本案之基本範疇的情況下可以設計其他和進一步的實施例,且本案之範疇由所附的申請專利範圍所確定。本文描述的所有文件均以引用方式併入本文,包括任何優先權文件及/或測試程序,只要他們與本文並無不一致之處即可。從前文的一般描述和特定實施例可明瞭,儘管已說明和描述了本案揭示內容的形式,但是在不脫離本案揭示內容的精神和範疇的情況下,能夠進行各種修飾。因 此,不希望因此限制了本案揭示內容。同樣,出於我國法律之目的,術語「包含」視為與術語「包括」同義。同樣地,每當在一組合物、一要素、或一組要素的前面加上連接詞「包含」時,應理解我們也考量這樣的組成物及要素組:在組成物、要素、或多個要素前有連接詞「基本上由下述各項組成」,「由下述各項組成」、「選自由下述各項組成的群組」、或「是」的相同組成物及要素組,反之亦然。
已經使用一組數值上限和一組數值下限描述了某些實施例和特徵。應理解,除非另外指出,否則涵蓋了包括任何兩個值的組合的範圍,例如,任何較低的值與任何較高的值的組合、任何兩個較低的值的組合、及/或任何兩個較高的值的組合。某些下限、上限和範圍出現在下文的一或多個請求項中。
100:方法
102~116:方塊

Claims (20)

  1. 一種處理基板的方法,包括:在一基板上沉積一磊晶層;在該磊晶層上沉積一金屬矽化物種晶層;將該金屬矽化物種晶層暴露於一氮化製程,以從該金屬矽化物種晶層的至少一部分產生一金屬氮矽化物層;在該金屬氮矽化物層上沉積一金屬矽化物塊體(bulk)層;以及在該金屬矽化物塊體層上形成或沉積一氮化物覆蓋層,其中該氮化物覆蓋層包括一金屬氮化物、一氮化矽、一金屬氮矽化物、或上述各項之組合。
  2. 如請求項1所述之方法,其中該金屬矽化物種晶層包括矽化鈦或矽化鎳。
  3. 如請求項1所述之方法,其中該金屬矽化物種晶層具有約0.2nm至約2.5nm的厚度。
  4. 如請求項1所述之方法,其中該氮化製程包括:將該基板加熱到約300℃至約600℃的溫度;從包含氨的一試劑氣體生成一電漿;及將該金屬矽化物種晶層暴露至該電漿。
  5. 如請求項4所述之方法,其中將該基板加熱到約400℃至約500℃的溫度,並且該氮化製程持續達約0.5秒至約5分。
  6. 如請求項1所述之方法,其中該金屬矽化物 塊體層包括矽化鈦或矽化鎳。
  7. 如請求項1所述之方法,其中該金屬矽化物塊體層具有約4nm至約20nm的一厚度。
  8. 如請求項1所述之方法,進一步包括:在沉積該磊晶層之前將該基板暴露於一清潔製程。
  9. 如請求項8所述之方法,其中該清潔製程包括:從包含氫(H2)的一試劑氣體生成一電漿;及將該基板暴露於該電漿。
  10. 如請求項8所述之方法,其中該清潔製程包括:從包含氨和三氟化氮的一試劑氣體生成一電漿;及將該基板暴露於該電漿。
  11. 如請求項10所述之方法,其中將該基板暴露於該電漿達約0.1秒至約10分的時間。
  12. 如請求項1所述之方法,進一步包括:在沉積該金屬矽化物種晶層之前將該磊晶層暴露於一清潔製程。
  13. 如請求項12所述之方法,其中該清潔製程包括:從包含氫(H2)的一試劑氣體生成一電漿;以及將該磊晶層暴露於該電漿。
  14. 如請求項12所述之方法,其中該清潔製程包括: 從包含氨和三氟化氮的一試劑氣體生成一電漿;及將該磊晶層暴露於該電漿。
  15. 如請求項1所述之方法,其中該氮化物覆蓋層中的該金屬氮化物包括氮化鈦或氮化鎳。
  16. 如請求項1所述之方法,其中在該金屬矽化物塊體層上形成或沉積該氮化物覆蓋層進一步包括:將該金屬矽化物塊體層暴露至一氮化製程,以將該金屬矽化物塊體層的至少一部分轉化成該金屬氮矽化物,同時產生包含該金屬氮矽化物的該氮化物覆蓋層;或藉由一氣相沉積製程沉積一金屬氮化物層,同時產生包括該金屬氮化物的該氮化物覆蓋層;或藉由一氣相沉積製程沉積一金屬層並且將該金屬層暴露於一氮化製程以將該金屬層轉化為該金屬氮化物,同時產生包含該金屬氮化物的該氮化物覆蓋層;或藉由一氣相沉積製程沉積一氮化矽層,同時產生包括該氮化矽的該氮化物覆蓋層。
  17. 如請求項1所述之方法,其中該磊晶層是一nMOS或pMOS元件中的一源極/汲極膜。
  18. 一種處理基板的方法,包括:在該基板上沉積一磊晶層;將該磊晶層暴露於一清潔製程;隨後在該磊晶層上沉積一金屬矽化物種晶層,其中該金屬矽化物種晶層包括矽化鈦或矽化鎳,並且其中該金屬矽化物種晶層具有約0.2nm至約2.5nm的厚度; 將該金屬矽化物種晶層暴露於一氮化製程以從該金屬矽化物種晶層的至少一部分產生一金屬氮矽化物層;在該金屬氮矽化物層上沉積一金屬矽化物塊體層,其中該金屬矽化物塊體層包含矽化鈦或矽化鎳,並且其中該金屬矽化物塊體層具有約4nm至約20nm的厚度;及在該金屬矽化物塊體層上形成或沉積一氮化物覆蓋層,其中該氮化物覆蓋層包括一金屬氮化物、一氮化矽、一金屬氮矽化物、或上述各項之組合。
  19. 如請求項18所述之方法,其中該氮化製程包括:將該基板加熱到約300℃至約600℃的溫度;從包含氨的一試劑氣體生成一電漿;及將該金屬矽化物種晶層暴露至該電漿。
  20. 一種處理基板的群集工具,包括:一移送腔室,耦接一裝載閘(load-lock)腔室;一清潔腔室,耦接該移送腔室,其中該清潔腔室包括一感應耦合電漿源並且與一氫源流體連通;一磊晶腔室,耦接該移送腔室,其中該磊晶腔室包括一液體前驅物汽化器,並且與一第一矽源流體連通;一矽化物腔室,耦接該移送腔室,其中該矽化物腔室包括一遠端電漿系統,並且與一第二矽源及一含金屬化合物源流體連通;及一氮化腔室,耦接該移送腔室,其中該氮化腔室包括一 電漿源,並且與一氮源流體連通。
TW109104006A 2019-02-20 2020-02-10 用於金屬矽化物沉積的方法及設備 TWI738207B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962808158P 2019-02-20 2019-02-20
US62/808,158 2019-02-20

Publications (2)

Publication Number Publication Date
TW202035773A TW202035773A (zh) 2020-10-01
TWI738207B true TWI738207B (zh) 2021-09-01

Family

ID=72043681

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109104006A TWI738207B (zh) 2019-02-20 2020-02-10 用於金屬矽化物沉積的方法及設備

Country Status (2)

Country Link
US (3) US11152221B2 (zh)
TW (1) TWI738207B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11152221B2 (en) 2019-02-20 2021-10-19 Applied Materials, Inc. Methods and apparatus for metal silicide deposition
US11495452B2 (en) * 2019-03-06 2022-11-08 Tohku University Method for producing silicon nitride film
US11777014B2 (en) * 2021-01-04 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Controlled doping in a gate dielectric layer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070155074A1 (en) * 2005-12-30 2007-07-05 Ching-Yuan Ho Method for fabricating semiconductor device to lower source/drain sheet resistance
US20140248761A1 (en) * 2013-03-04 2014-09-04 Samsung Electronics Co., Ltd. Semiconductor device having dual metal silicide layers and method of manufacturing the same
US20170372888A1 (en) * 2015-01-30 2017-12-28 Siltronic Ag Semiconductor wafer comprising a monocrystalline group-iiia nitride layer

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060254612A1 (en) * 2005-05-16 2006-11-16 Micron Technology, Inc. Polar fluid removal from surfaces using supercritical fluids
US7897468B1 (en) * 2009-09-10 2011-03-01 International Business Machines Corporation Device having self-aligned double gate formed by backside engineering, and device having super-steep retrograded island
ES2747481T3 (es) 2015-08-08 2020-03-10 Konreddy Venkat Reddy Aparato para procesamiento de material textil y método de fabricación
TWI726951B (zh) * 2015-12-17 2021-05-11 美商應用材料股份有限公司 處理氮化物膜之方法
FR3062795B1 (fr) 2017-02-16 2019-06-07 Valbiotis Principe actif pharmaceutique et utilisation en particulier dans la prevention et le traitement des dereglements metaboliques chez l'homme et l'animal.
US10998241B2 (en) * 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11152221B2 (en) * 2019-02-20 2021-10-19 Applied Materials, Inc. Methods and apparatus for metal silicide deposition
US11430891B2 (en) * 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around structure with additional silicon layer and method for forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070155074A1 (en) * 2005-12-30 2007-07-05 Ching-Yuan Ho Method for fabricating semiconductor device to lower source/drain sheet resistance
US20140248761A1 (en) * 2013-03-04 2014-09-04 Samsung Electronics Co., Ltd. Semiconductor device having dual metal silicide layers and method of manufacturing the same
US20170372888A1 (en) * 2015-01-30 2017-12-28 Siltronic Ag Semiconductor wafer comprising a monocrystalline group-iiia nitride layer

Also Published As

Publication number Publication date
US11615986B2 (en) 2023-03-28
US20200266068A1 (en) 2020-08-20
US11152221B2 (en) 2021-10-19
US20220005705A1 (en) 2022-01-06
US20220005704A1 (en) 2022-01-06
TW202035773A (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
US8207023B2 (en) Methods of selectively depositing an epitaxial layer
US10510589B2 (en) Cyclic conformal deposition/anneal/etch for Si gapfill
TWI647744B (zh) 在低溫下生長薄磊晶膜的方法
JP5329094B2 (ja) 基板表面とチャンバ表面のためのエッチング剤処理プロセス
US8586456B2 (en) Use of CL2 and/or HCL during silicon epitaxial film formation
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
TWI400745B (zh) 選擇性磊晶製程控制
TWI738207B (zh) 用於金屬矽化物沉積的方法及設備
TWI768245B (zh) 用於矽-鍺預清潔之方法與設備
KR100938301B1 (ko) 기판 표면 및 챔버 표면을 위한 식각액 처리 공정
US10312096B2 (en) Methods for titanium silicide formation using TiCl4 precursor and silicon-containing precursor
US7837790B2 (en) Formation and treatment of epitaxial layer containing silicon and carbon
US10103028B2 (en) Contact integration and selective silicide formation methods
US20240145550A1 (en) Carbon-containing cap layer for doped semiconductor epitaxial layer
US20240145241A1 (en) Surface modifiers for enhanced epitaxial nucleation and wetting
WO2024091478A1 (en) Surface modifiers for enhanced epitaxial nucleation and wetting
WO2024091302A1 (en) Carbon-containing cap layer for doped semiconductor epitaxial layer