TWI484556B - 在矽基材上形成高生長速率低電阻率的鍺膜之方法(二) - Google Patents

在矽基材上形成高生長速率低電阻率的鍺膜之方法(二) Download PDF

Info

Publication number
TWI484556B
TWI484556B TW101119801A TW101119801A TWI484556B TW I484556 B TWI484556 B TW I484556B TW 101119801 A TW101119801 A TW 101119801A TW 101119801 A TW101119801 A TW 101119801A TW I484556 B TWI484556 B TW I484556B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
flow rate
base layer
doped
Prior art date
Application number
TW101119801A
Other languages
English (en)
Other versions
TW201306126A (zh
Inventor
Yi Chiau Huang
Errol Antonio C Sanchez
Xianzhi Tao
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201306126A publication Critical patent/TW201306126A/zh
Application granted granted Critical
Publication of TWI484556B publication Critical patent/TWI484556B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

在矽基材上形成高生長速率低電阻率的鍺膜之方法(二)
此述的實施例關於半導體製造製程。更詳言之,此述的實施例關於在基材上形成摻雜的半導體層之改善方法。
鍺是用於諸如為CMOS電晶體之半導體應用中的最初的材料之一。然而,由於相較於鍺,矽較大量存在,因此矽已是CMOS製造中具有壓倒性優勢的半導體材料之選擇。但是鍺具有許多比矽更卓越的半導體之性質。例如,相較於矽,鍺在摻雜下具有較佳的電子遷移率及較低的電阻率。此舉已導致世人重新關注鍺,以用於各電子應用中的半導電性媒介。一種此類的應用是邏輯元件。
期望有電子遷移率之處的邏輯閘之部件包括電晶體結構中的源極、汲極與通道區域。p型摻雜與n型摻雜的半導體材料被無摻雜通道區域所隔開,使得在通道區域附近施加電場引發電子從n型摻雜源極區域流至p型摻雜汲極區域。良好的電子遷移率促使對閘電壓的良好回應。在此類區域中使用鍺因而較矽更受到青睞。
在大多數情況中,邏輯元件是形成在矽基材上,這是由於矽的低成本及矽與邏輯元件之材料的相對結構相容性與在形成其他元件時矽通用的處理能力之故。當在矽 上形成摻雜的鍺層時,鍺層通常是受摻質佈植,且所得的層經退火而活化摻質,並且修復由佈植引發的結構破壞。藉由將摻質併入晶體結構並且排序該基質,而減少所得的受摻雜的材料之電阻率。
磊晶形成原位摻雜層保證了消除佈植引發的結構破壞,因為摻雜層是在無佈植下形成。但是,在矽上磊晶形成摻雜的鍺造成一些沉積層的殘餘無序,這是由於鍺與矽結構上不相容所致。摻雜層一般經退火而移除殘餘的無序,但該退火促使鍺層中的摻質擴散進入下面的矽,因而劣化所得的元件的電性質。需要一種形成摻雜鍺層的製程以避免非所求的擴散。
此述的實施例提供一種處理半導體基材的方法,該方法是透過以下步驟完成:在該基材上形成阻障層,退火該阻障層,及在該退火過的阻障層上形成同形(isomorphic)摻雜層。在一些情況中,該阻障層是基礎(foundation)層。
第1圖是總結根據一個實施例之方法100的流程圖。該方法100可用於在半導體基材上形成摻雜的結晶半導體層。在102,將基材配置在處理腔室中。該基材可以 是摻雜結晶半導體層待形成在該基材上的任何半導體基材。可經受(subject)該方法100的基材包括元素型半導體及化合物半導體、玻璃、陶瓷與類似材料。可使用矽、鍺、矽與鍺的混合物、三/五族化合物半導體(具有鋁、鎵、銦、碲、氮、磷與砷之混合物)、二/六族化合物半導體(具有鋅、鎘、汞、硫、硒與碲之混合物)與所有前述材料之混合物形成的複合式半導體。可使用諸如氧化矽(具有雜質及/或摻質,或不具雜質及/或摻質皆可)之玻璃。
處理腔室可以是氣相沉積腔室,諸如CVD或PVD腔室。可使用PECVD、ALD、PEALD與磊晶腔室。一個實施例中,可使用購自美國加州Santa Clara之應用材料公司的CENTURA® RP磊晶腔室以操作第1圖所涵蓋之方法。
在104,在基材上形成基礎層。該基礎層提供晶體結構,在該晶體結構上,可原位形成減少電阻率的摻雜層。形成具有實質上與基礎層同形之結構的層促進低電阻率且僅需極微(或不需)後續退火。在摻雜層的情況中,極微的後續退火減少摻質擴散。基礎層一般是半導體層,且可具有任何上述的半導體材料。一個實施例中,該基礎層是鍺。在CVD或PECVD製程中大體形成基礎層,但也可使用任何方便的氣相沉積製程,包括ALD、PEALD與LPCVD。提供一或多個含有待沉積之材料的化合物至含有該基材的腔室,並且促使(encourage)該等 化合物在基材上沉積層。
基礎層一般是由類似上述摻雜層的材料形成。例如,若摻雜層會是摻雜的矽層,則該基礎層將是矽。若摻雜層會是摻雜的鍺層,則該基礎層將會是鍺。此材料的相似性提供基礎層與相鄰摻雜層之間的高品質界面,在一些實施例中,該界面基本上是連續的。該高品質界面造成絕佳的附著與低電損失。半導體前驅物流入含有基材的處理腔室,並且經反應而在基材上形成半導體材料層。
一個實施例中,藉由提供包含鍺的製程氣體混合物至處理腔室,而將鍺沉積為基礎層。可使用鍺化合物,諸如氫化鍺(例如鍺烷、二鍺烷、三鍺烷等)或有機鍺化合物,或者可使用此類化合物之混合物。示範性的氫化鍺是鍺烷。可提供氫化鍺化合物至處理腔室,該氫化鍺化合物呈現為氫氣中的稀釋氣流。以體積為基礎,該稀釋氫化鍺氣流在氫氣中可為約3%至約20%之間,諸如約5%至約15%之間,例如約10%。也可在分別的氣流中提供氫氣至處理腔室。例如,可用約1 sLm至約1.5 sLm之間的流速提供氫氣中10%(以體積計)的氫化鍺氣流至該處理腔室,而用約1 sLm至約10 sLm之間的流速分別提供氫氣至該處理腔室,以在基材上形成鍺層。該腔室是在低於約500℃的溫度下操作,諸如約300℃至約450℃之間,例如約400℃,且於介於約1 mTorr至約100 Torr之間的壓力下操作,諸如約100 mTorr至約50 Torr,例如約20 Torr。將鍺層沉積達一厚度,該厚度介於約10 Å至約300 Å之間,諸如約50 Å至約200 Å之間,例如約100 Å。
流進反應器的氫氣對氫化鍺的比可變化而影響沉積速率與沉積層的性質。可用第一體積流速提供氫氣,該第一體積流速是以與氫化鍺一起流動的氫氣加上添加的氫氣為總量之基礎。以第二體積流速提供該氫化鍺。一般而言,該第一體積流速對該第二體積流速的比是介於約5:1至約150:1之間,諸如介於約10:1至約100:1之間,例如約50:1。增加該比減少了沉積速率但增加沉積層的密度與結晶度。
在形成基礎層期間,也提供吸氣氣體(gettering gas)至該處理腔室。該吸氣氣體消耗了一部分的生長層而改善層的品質。該吸氣氣體一般與生長層之材料反應,而形成揮發性材料,該揮發性材料從腔室移除。在特徵為矽或鍺的實施例中,可使用諸如HCl、HF或HI之鹵化物氣體做為吸氣氣體。舉例而言,當沉積鍺基礎層時,HCl可用做吸氣氣體。吸氣氣體傾向與層表面上難以附著的原子反應,因此增加了生長層中強力附著、排序良好的原子的比例。
上述實施例中,可用第三體積流速提供吸氣氣體,且該第二體積流速對該第三體積流速的比可以介於約10:1至1000:1之間,諸如介於約50:1至約500:1之間,例如約100:1。在上述的氫化鍺實施例中,吸氣氣體可以是HCl氣體,且可用上述比提供該氣體。
在106,退火基礎層。該退火大體上是藉由將具基礎層的基材暴露至電磁輻射而執行。可藉由任何熱製程或輻射製程執行該退火。可使用的熱製程包括熱浸、烘烤、快速熱處理、尖峰退火與類似製程。可使用的輻射製程包括快閃退火、雷射退火與微波退火。該雷射退火與微波退火可以是連續的、脈衝式及/或步進式(stepped)。一些實施例中,退火期間,基礎層的表面可被熔融。
層表面的一部分被加熱到一溫度,該溫度超過基礎層之缺陷移動溫度。對於大多數材料而言,該溫度超過約600℃,然而在微波退火製程中,該溫度可為約300℃。由基礎層之形成中餘留的缺陷被移除,且使該層之結構處於實質上有序的結晶狀態。之後,製備該基礎層之有序表面,以支持在頂上的後續層的有序生長。
退火期間,可中斷製程氣體流以停止基礎層的生長,或者,可在退火期間維持氫氣與半導體前驅物流,但退火期間半導體前驅物的流速大體上被降低,以減緩基礎層的生長。
一些實施例中,該退火是表面製備,以處理一部分的基礎層。在其中基礎層具有大於約50 Å的厚度之實施例中,設計成退火僅高達10單位晶胞的深度(諸如高達約50 Å)的表面退火可用做為基礎層的表面處理。低於製備表面下,該基礎層可具有任何從結晶至非晶形的殘餘形態,而不至於不利地影響基礎層之製備表面上形成的摻雜層之性質。
一些實施例中,可部分退火該基礎層。在其中待形成於基礎層上的摻雜層的固有電阻率非常低且結晶度對電阻率僅有輕微影響的實施例中,可不需完全退火以達成完全的效益。例如,相較於輕度摻雜的半導體層,重度摻雜或再生摻雜的半導體層具有非常低的電阻率,這是由於重度摻雜所致。重度摻雜或再生摻雜層可形成於基礎層上,該基礎層已被部分退火且在性能上無顯著的損失。在這樣的條件下,基礎層可受約50%至約99.9%之間的退火,取決於沉積的基礎層的最初形態。就此而論,在退火材料中,某百分比的退火界定為1減去最終缺陷密度對最初缺陷密度的比值,或界定為缺陷密度的減少的百分比。基礎層可被退火至約60%至約90%之間,諸如約70%至約85%之間,例如約80%。藉由調整前文所提的任一退火製程的時間或溫度,可完成部分退火。
在108,摻雜層形成於退火過的基礎層上。可根據任何設計成使用有序基礎層表面為模板而生長有序層結構的製程形成該摻雜層。摻雜層因而與基礎層同形。在一些實施例中,可藉由磊晶製程形成摻雜層。大體而言,提供半導體源與摻質源至含有基材的腔室,且促使該兩種源在基材上沉積受摻雜的半導體材料。大多數情況中,半導體材料與基礎層材料相同,以使結構相容性最大化。
在退火期間維持半導體源的流動的實施例中(諸如前述的鍺範例),可添加摻質源至製程氣體混合物,以沉積 摻雜層。該摻質可以是任何有利於納入鍺層中的摻質,通常的範例為磷、砷與硼。可使用磷、砷與硼的氫化物,諸如磷化氫、砷化氫與硼化氫。
第2圖是總結根據另一實施例的方法200的流程圖。該方法200也可用於在基材上形成摻雜的半導體層。在202,基材配置在用於處理的處理腔室中。與第1圖相關在上文中所提及的任一基材可有利地經受該方法200。該處理腔室可以是與第1圖相關在上文中所提及的任一類型的處理腔室。
在204,於基材上形成阻障層。除了具有良好的阻障性質外,阻障層的形態可為相容於待形成覆於阻障層上之摻雜層在熱力上有益的形態。許多情況中,阻障層將具有類似摻雜層的組成物。在受摻雜的半導體層的情況中,阻障層可由相同的半導體形成。例如,當摻雜的鍺層待形成於基材上時,鍺阻障層可於形成摻雜鍺層前先形成在基材上。
阻障層大體上是由氣相沉積製程形成,諸如CVD、PVD、ALD與類似製程,這些製程可以是電漿強化式。可藉由提供阻障前驅物材料(視情況一併提供載氣)至含有基材的處理腔室並且促使阻障材料沉積於基材上,而形成阻障層。該阻障層也可以是基礎層,如上文中與第1圖相關所述。例如,在前述的鍺的範例中,鍺基礎層也可以是阻障層。藉由增加厚度及減少材料的有序而改善阻障性質,增加厚度使阻障層得以吸收來自相鄰層 的摻質且不使該等摻質進入底下的矽。若根據前述製程形成的鍺層被形成至約150 Å至約300 Å之厚度,則該鍺層可具有有用的阻障性質。此外,減少製程混合物中載氣對鍺源的比會減少層結構的有序。在上文中鍺的範例中,第一流速對第二流速的比可以介於約5:1至約50:1之間以產生具有阻障性質的較不有序的層。
在206,依據前述的任一退火製程,可完全或部分退火該阻障層或表面處理該阻障層。暴露至電磁能增加阻障層的結晶度,回復該層至少一部分的結構上的有序。一些情況中,可透過退火而減少阻障性質,所以部分退火或表面退火可提供表面的成核位置以供高度有序的摻雜層在阻障層上生長,同時保有阻障層的阻障性質。一個實施例中,阻障層在退火前可具有非晶形、微晶形或多晶形的形態,且在退火後可具有在表面處理後高度有序的結晶表面。退火表面下方的剩餘阻障材料可保留源自無特定組織的形態的阻障性質,同時該有序表面促進在該表面上的有序層生長。若摻雜層欲經過退火,則這樣的阻障層可在防止摻質從摻雜層擴散至底下層上是實用的。
在208,摻雜層形成在阻障層上,實質上如與第1圖相關的上文中所述。
方法100或方法200之任一者中,如果需要,則在形成摻雜層後可退火基材。最終的退火步驟可助於消除形成摻雜層或摻雜層下方的層時的任何缺陷,或是在這些 層之間的界面處的缺陷。可使用雷射退火之類的熱退火製程減少層中的殘餘缺陷與空隙。最終的退火可以是熔融製程或次熔融製程,但在絕大多數實施例中,次熔融製程將有效退火這些層。最終退火可以是一次處理兩層的深層退火,或者是僅退火摻雜層或僅退火摻雜層表面的表面退火。可透過任何已知的退火製程將熱能導向基材,該退火製程包括雷射退火(連續波與脈衝式)、尖峰退火、快速熱退火、快閃退火與類似製程。
根據此述之方法形成的結構上大體上具有半導電性的基礎層與摻雜的同形半導體層,該摻雜的同形半導體層與該基礎層接觸。基礎層功能如用於形成摻雜層的結構模板。摻質離子與半導體離子接觸基材表面並且附著在多個位置,這些位置傳播該基礎層的結構,造成幾乎不需退火的摻雜半導體層。
第3圖是根據此述之任一方法形成的元件300的示意剖面圖。元件300包含半導體基材302,該半導體基材可以是任何元素或化合物形式的半導體材料,例如矽。基礎層304形成於基材302上。該基礎層304是半導電性材料,諸如鍺、矽或前述二者之混合物,並且該基礎層是根據產生高度有序晶體結構的方法形成。可透過氣相沉積製程(諸如磊晶或CVD,可為電漿輔助式)形成該基礎層,隨後可退火該基礎層以完成半導體基質的結構。基礎層相對地薄,以容許形成小型元件。基礎層304可具有約10 Å至約300 Å之間的厚度,諸如約50 Å至 約150 Å之間,例如約100 Å。
將摻雜的同形半導體層306形成為接觸基礎層304。摻雜層306大體上包含類似(或等同於)基礎層304的半導體組成物,以提供基礎層304與摻雜層306之間的高品質的界面。摻雜層中的摻質可以是p型摻質(諸如硼)或n型摻質(諸如磷或砷)。當摻質與半導體原子(視情況與離子)沉積在基礎層304上時,該等摻質與半導體原子(視情況與離子)採用與基礎層304相同的晶體結構排列方式,造成具有實質上連續且高度有序的半導體基質界面,且併入該界面中的活性摻質具有平滑地變化的濃度。因為該等摻質本質上採用晶體結構節點處的位置,且因為所得的結構具有極少缺陷,所以退火摻雜層306的需要減至最少。矽與鍺兩者本質上採取鑽石立方晶體結構,遍及基礎層304與摻雜層306之間的界面實質上保留了此結構。
邏輯結構308可形成為覆於摻雜同形半導體層306上。邏輯結構308大體上包含閘材料與電極,這是此技術領域中大體上已知的。亦可使用此述的方法形成利於使用高品質摻雜層的其他類型的元件。此類元件之範例包括記憶體元件、光電元件與電化學元件。
當磷摻雜的鍺層沉積覆於退火過的鍺而非矽上時,該磷摻雜的鍺層已顯示減少的電阻率。透過在400℃下以900秒的時間流入約1 sLm的氫混合物中10%的鍺烷連同流速為約1 sccm至約20 sccm的磷化氫並且流入約1 sLm至約10 sLm的分開的氫氣,而沉積磷摻雜的鍺層覆於退火過的鍺層上的厚度約100 Å時,此時所觀察到的層電阻率是在沉積覆於矽基底上的相同層的約10%至約25%之間。
雖然前述內容涉及本發明的實施例,然而可在不背離本發明之基本範疇下設計本發明之其他或進一步的實施例。
100‧‧‧方法
102-108‧‧‧操作
200‧‧‧方法
202-208‧‧‧操作
300‧‧‧元件
302‧‧‧基材
304‧‧‧基礎層
306‧‧‧摻雜層
308‧‧‧邏輯結構
藉由參考實施例(一些實施例圖示於附圖中),可獲得於【發明內容】中簡要總結的本發明之更特定的說明,而能詳細瞭解於【發明內容】記載的本發明之特徵。然而應注意附圖僅圖示此發明的典型實施例,因而不應將該等附圖視為限制本發明之範疇,因為本發明可容許其他等效實施例。
第1圖是總結根據一個實施例之方法的流程圖。
第2圖是總結根據另一實施例之方法的流程圖。
第3圖是根據此述之任一方法形成的元件的示意剖面圖。
為了助於瞭解,若可能則使用同一元件符號標注各圖中共用的同一元件。應瞭解,在一個實施例中揭露的元件可有利地用於其他實施例,而無須特別記載。
100‧‧‧方法
102-108‧‧‧操作

Claims (20)

  1. 一種處理一半導體基材的方法,包含以下步驟:在一基材上形成一基礎層,其中形成該基礎層之步驟包含以下步驟:將該基材暴露至一製程氣體混合物,該製程氣體混合物包含一第一體積流速的氫氣、一第二體積流速的一氫化鍺氣體及一第三體積流速的一鹵化物氣體,且其中該第二體積流速對該第三體積流速的一比介於約1:1至約150:1之間;退火該基礎層;及在該退火過的基礎層上形成一同形(isomorphic)摻雜層。
  2. 如請求項1所述之方法,其中該基材包含矽且該基礎層包含鍺。
  3. 如請求項1所述之方法,其中該基礎層是無摻雜的鍺,且該同形摻雜層是摻雜的鍺。
  4. 如請求項2所述之方法,其中形成該基礎層之步驟包含以下步驟:在一處理腔室中配置該基材,及在低於約500℃的一溫度下將該基材暴露至包含鍺的一製程氣體混合物。
  5. 如請求項4所述之方法,其中退火該基礎層之步驟包含以下步驟:增加該基材的一溫度至高於約600℃。
  6. 如請求項3所述之方法,其中形成該基礎層之步驟包含以下步驟:在一處理腔室中配置該基材,及在低於約500℃的一溫度下將該基材暴露至包含一鍺化合物的一製程氣體混合物。
  7. 如請求項6所述之方法,其中該製程氣體混合物進一步包含一鹵化物化合物與一載氣。
  8. 如請求項6所述之方法,其中退火該基礎層之步驟包含以下步驟:增加該基材的一溫度至高於約600℃,及減少該鍺化合物的一流速。
  9. 如請求項7所述之方法,其中退火該基礎層之步驟包含以下步驟:減少該鍺化合物的一流速;並且,形成該同形摻雜層之步驟包含以下步驟:添加具一流速的一摻質化合物至該製程氣體混合物。
  10. 如請求項1所述之方法,其中該第一體積流速對該第二體積流速的一比介於約5:1至約150:1之間。
  11. 如請求項10所述之方法,其中該第一體積流速對該 第二體積流速的該比為約10:1至約100:1之間。
  12. 如請求項10所述之方法,其中在具氫氣之一混合物中提供該氫化鍺。
  13. 如請求項9所述之方法,其中該摻質化合物是一含磷化合物或一含砷化合物。
  14. 如請求項9所述之方法,其中該摻質化合物是磷化氫。
  15. 一種在一半導體基材上形成一材料層的方法,包含以下步驟:在該基材上形成一鍺層,其中形成該鍺層之步驟包含以下步驟:將該基材暴露至一製程氣體混合物,該製程氣體混合物包含一第一體積流速的氫氣、一第二體積流速的一氫化鍺氣體及一第三體積流速的一鹵化物氣體,且其中該第二體積流速對該第三體積流速的一比介於約1:1至約150:1之間;退火該鍺層;及在該基材上形成一摻雜磊晶鍺層。
  16. 如請求項15所述之方法,進一步包含以下步驟:退火該摻雜磊晶鍺層。
  17. 如請求項15所述之方法,其中退火該基材之步驟包含以下步驟:中斷該鹵化物氣體,減少該氫化鍺氣體的一流速,及增加該基材的一溫度。
  18. 如請求項17所述之方法,其中在該基材上形成該摻雜磊晶鍺層之步驟包含以下步驟:增加該氫化鍺的該流速,及添加一摻質源至該製程氣體混合物。
  19. 如請求項1所述之方法,其中該基礎層的厚度介於約50Å與約200Å之間。
  20. 如請求項15所述之方法,其中該基礎層的厚度介於約50Å與約200Å之間。
TW101119801A 2011-06-03 2012-06-01 在矽基材上形成高生長速率低電阻率的鍺膜之方法(二) TWI484556B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161493264P 2011-06-03 2011-06-03
US13/482,585 US8759201B2 (en) 2011-06-03 2012-05-29 Method of forming high growth rate, low resistivity germanium film on silicon substrate
US13/482,725 US8822312B2 (en) 2011-06-03 2012-05-29 Method of forming high growth rate, low resistivity germanium film on silicon substrate

Publications (2)

Publication Number Publication Date
TW201306126A TW201306126A (zh) 2013-02-01
TWI484556B true TWI484556B (zh) 2015-05-11

Family

ID=47260253

Family Applications (2)

Application Number Title Priority Date Filing Date
TW101118216A TWI521600B (zh) 2011-06-03 2012-05-22 在矽基材上形成高生長速率低電阻率的鍺膜之方法〈一〉
TW101119801A TWI484556B (zh) 2011-06-03 2012-06-01 在矽基材上形成高生長速率低電阻率的鍺膜之方法(二)

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW101118216A TWI521600B (zh) 2011-06-03 2012-05-22 在矽基材上形成高生長速率低電阻率的鍺膜之方法〈一〉

Country Status (3)

Country Link
US (2) US8759201B2 (zh)
TW (2) TWI521600B (zh)
WO (1) WO2012166732A2 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI521600B (zh) * 2011-06-03 2016-02-11 應用材料股份有限公司 在矽基材上形成高生長速率低電阻率的鍺膜之方法〈一〉
US8647439B2 (en) * 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
US10247865B2 (en) * 2017-07-24 2019-04-02 Viavi Solutions Inc. Optical filter
US11031241B2 (en) * 2018-12-20 2021-06-08 Applied Materials, Inc. Method of growing doped group IV materials

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200512841A (en) * 2003-09-03 2005-04-01 Ibm Use of thin SOI to inhibit relaxation of SiGe layers
TW200707637A (en) * 2005-05-03 2007-02-16 Koninkl Philips Electronics Nv Method of manufacturing a semiconductor device and semiconductor device obtained by means of said method
TW200834667A (en) * 2006-12-12 2008-08-16 Applied Materials Inc Formation of epitaxial layers containing silicon
US7495313B2 (en) * 2004-07-22 2009-02-24 Board Of Trustees Of The Leland Stanford Junior University Germanium substrate-type materials and approach therefor
TW201117360A (en) * 2009-05-28 2011-05-16 Kovio Inc Semiconductor devices on diffusion barrier coated substrates and methods of making the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6380601B1 (en) 1999-03-29 2002-04-30 Hughes Electronics Corporation Multilayer semiconductor structure with phosphide-passivated germanium substrate
WO2005108654A1 (en) * 2004-04-30 2005-11-17 Epispeed S.A. Method for producing virtual ge substrates for iii/v-integration on si(001)
FR2892230B1 (fr) * 2005-10-19 2008-07-04 Soitec Silicon On Insulator Traitement d'une couche de germamium
US8766341B2 (en) 2009-10-20 2014-07-01 The Regents Of The University Of California Epitaxial growth of single crystalline MgO on germanium
TWI521600B (zh) * 2011-06-03 2016-02-11 應用材料股份有限公司 在矽基材上形成高生長速率低電阻率的鍺膜之方法〈一〉

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200512841A (en) * 2003-09-03 2005-04-01 Ibm Use of thin SOI to inhibit relaxation of SiGe layers
US7495313B2 (en) * 2004-07-22 2009-02-24 Board Of Trustees Of The Leland Stanford Junior University Germanium substrate-type materials and approach therefor
TW200707637A (en) * 2005-05-03 2007-02-16 Koninkl Philips Electronics Nv Method of manufacturing a semiconductor device and semiconductor device obtained by means of said method
TW200834667A (en) * 2006-12-12 2008-08-16 Applied Materials Inc Formation of epitaxial layers containing silicon
TW201117360A (en) * 2009-05-28 2011-05-16 Kovio Inc Semiconductor devices on diffusion barrier coated substrates and methods of making the same

Also Published As

Publication number Publication date
US8759201B2 (en) 2014-06-24
US8822312B2 (en) 2014-09-02
WO2012166732A3 (en) 2013-04-11
TW201306126A (zh) 2013-02-01
US20120306055A1 (en) 2012-12-06
TWI521600B (zh) 2016-02-11
TW201301399A (zh) 2013-01-01
WO2012166732A2 (en) 2012-12-06
US20120306054A1 (en) 2012-12-06

Similar Documents

Publication Publication Date Title
US10236177B1 (en) Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
KR101728377B1 (ko) 도핑된 반도체 막들 및 프로세싱
US10510589B2 (en) Cyclic conformal deposition/anneal/etch for Si gapfill
EP2975635B1 (en) Process for forming silicon-filled openings with a reduced occurrence of voids
KR102079199B1 (ko) 에피택셜 게르마늄 주석 합금 표면을 준비하는 방법
US8035129B2 (en) Integrated circuitry
TWI385732B (zh) 使用和碳形成合金的矽膜來製造超淺接合區的方法
TWI484556B (zh) 在矽基材上形成高生長速率低電阻率的鍺膜之方法(二)
US10312096B2 (en) Methods for titanium silicide formation using TiCl4 precursor and silicon-containing precursor
US10964544B2 (en) Contact integration and selective silicide formation methods
TWI805752B (zh) 矽化物膜成核
TW201829819A (zh) 用於cmos接觸及阻障層之方法與材料
US9012328B2 (en) Carbon addition for low resistivity in situ doped silicon epitaxy
TW202414754A (zh) 具有接觸結構的半導體元件及其製備方法
TW202414755A (zh) 接觸結構及具有接觸結構的半導體元件
WO2006095383A1 (ja) Pチャネル不純物領域を有する半導体装置及びその製造方法