KR102576563B1 - 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들 - Google Patents

고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들 Download PDF

Info

Publication number
KR102576563B1
KR102576563B1 KR1020197036675A KR20197036675A KR102576563B1 KR 102576563 B1 KR102576563 B1 KR 102576563B1 KR 1020197036675 A KR1020197036675 A KR 1020197036675A KR 20197036675 A KR20197036675 A KR 20197036675A KR 102576563 B1 KR102576563 B1 KR 102576563B1
Authority
KR
South Korea
Prior art keywords
processing chambers
substrate
chamber
plasma
curing
Prior art date
Application number
KR1020197036675A
Other languages
English (en)
Other versions
KR20190141786A (ko
Inventor
징메이 리앙
용 선
진루이 구오
프라켓 피. 자
정 찬 이
차-징 궁
무쿤드 스리니바산
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237030098A priority Critical patent/KR20230130177A/ko
Publication of KR20190141786A publication Critical patent/KR20190141786A/ko
Application granted granted Critical
Publication of KR102576563B1 publication Critical patent/KR102576563B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)

Abstract

본원에서 개시된 구현들은 기판에 트렌치들을 형성하여 유동성 유전체 재료로 충전하기 위한 방법들에 관한 것이다. 일 구현에서, 방법은, 적어도 하나의 트렌치를 갖는 기판이, 유동성 층을 형성하기 위한 증착 프로세스를 겪게 하는 단계 ―증착 프로세스는, 유동성 층이 미리 결정된 증착 두께에 도달할 때까지, 상향식으로 트렌치의 바닥 표면 및 측벽 표면들 위에 유동성 층을 형성하기 위한 것임―, 유동성 층이 제1 경화 프로세스를 겪게 하는 단계 ―제1 경화 프로세스는 UV 경화 프로세스임―, UV 경화된 유동성 층이 제2 경화 프로세스를 겪게 하는 단계 ―제2 경화 프로세스는 플라즈마 또는 플라즈마-보조 프로세스임―, 및 플라즈마 경화된 유동성 층이 트렌치를 충전하고 트렌치의 상단 표면 위의 미리 결정된 높이에 도달할 때까지, 증착 프로세스, 제1 경화 프로세스 및 제2 경화 프로세스를 순차적으로 그리고 반복적으로 수행하는 단계를 포함한다.

Description

고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
[0001] 본 개시내용의 구현들은 일반적으로, 기판에 트렌치들을 형성하여 유동성 유전체 재료로 충전하기 위한 방법들에 관한 것이다.
[0002] 최신 디바이스들 상의 트렌치들의 너비들은 트렌치 깊이 대 너비의 종횡비가 트렌치를 유전체 재료로 충전하는 것을 충분히 어렵게 할 만큼 높아지는 지점까지 좁아졌다. 증착 유전체 재료는 트렌치가 완전히 충전되기 전에 상단에서 막히는 경향이 있어서, 트렌치의 중간에 공극 또는 심(seam)을 생성한다. 이 문제는, 패턴 로딩(pattern loading) 효과들에 기인하여 트렌치들의 상단 및 바닥에서 상이한 직경으로 트렌치들이 형성될 때 특히 악화된다.
[0003] 따라서, 위에서 언급된 이슈들을 해결하기 위한 새로운 증착 프로세스들이 기술분야에서 필요하다.
[0007] 본원에서 개시된 구현들은 기판에 트렌치들을 형성하여 유동성 유전체 재료로 충전하기 위한 방법들에 관한 것이다. 일 구현에서, 방법은, 적어도 하나의 트렌치를 갖는 기판이, 유동성 층을 형성하기 위한 증착 프로세스를 겪게 하는 단계 ―증착 프로세스는, 유동성 층이 미리 결정된 증착 두께에 도달할 때까지, 상향식으로 트렌치의 바닥 표면 및 측벽 표면들 위에 유동성 층을 형성하기 위한 것임―, 유동성 층이 제1 경화 프로세스를 겪게 하는 단계 ―제1 경화 프로세스는 UV 경화 프로세스임―, UV 경화된 유동성 층이 제2 경화 프로세스를 겪게 하는 단계 ―제2 경화 프로세스는 플라즈마 또는 플라즈마-보조 프로세스임―, 및 플라즈마 경화된 유동성 층이 트렌치를 충전하고 트렌치의 상단 표면 위의 미리 결정된 높이에 도달할 때까지, 증착 프로세스, 제1 경화 프로세스 및 제2 경화 프로세스를 순차적으로 그리고 반복적으로 수행하는 단계를 포함한다.
[0008] 다른 구현에서, 방법은, 기판의 트렌치 내에 유동성 층을 형성하기 위해 산소-계 라디칼 전구체 및 질소-계 라디칼 전구체와 실리콘-함유 전구체를 반응시킴으로써, 증착 프로세스를 수행하는 단계, 플라즈마 챔버에서 유동성 층을 경화시키는 단계 ―제2 프로세스 챔버는 산소-함유 분위기(ambient) 또는 질소-함유 분위기를 가짐―, 및 경화된 유동성 층이 트렌치를 충전하고 트렌치의 상단 표면 위의 미리 결정된 높이에 도달할 때까지, 증착 프로세스 및 경화 프로세스를 순차적으로 그리고 반복적으로 수행하는 단계를 포함한다.
[0009] 또 다른 구현에서, 기판을 프로세싱하기 위한 클러스터 툴이 제공된다. 클러스터 툴은 로드 록 챔버, 로드 록 챔버의 제1 측에 커플링된 이송 챔버, 이송 챔버에 커플링된 복수의 제1 프로세싱 챔버들 ―제1 프로세싱 챔버들 각각은 유동성 층 증착을 수행할 수 있는 증착 챔버임―, 이송 챔버에 커플링된 복수의 제2 프로세싱 챔버들 ―제2 프로세싱 챔버들 각각은 경화 프로세스를 수행할 수 있는 경화 챔버임―, 이송 챔버에 커플링된 복수의 제3 프로세싱 챔버들 ―제3 프로세싱 챔버들 각각은 플라즈마 경화 프로세스를 수행할 수 있는 플라즈마 챔버임―, 및 로드 챔버의 제2 측에 커플링된 팩토리 인터페이스를 포함한다.
[0010] 다른 일 구현에서, 클러스터 툴은 로드 록 챔버, 로드 록 챔버의 제1 측에 커플링된 제1 진공 이송 챔버, 제2 진공 이송 챔버, 제1 진공 이송 챔버와 제2 진공 이송 챔버 사이에 배치된 냉각 스테이션, 로드 록 챔버의 제2 측에 커플링된 팩토리 인터페이스, 제1 진공 이송 챔버에 커플링된 복수의 제1 프로세싱 챔버들 ―제1 프로세싱 챔버들 각각은 유동성 층 증착을 수행할 수 있는 증착 챔버임―, 및 제2 진공 이송 챔버에 커플링된 복수의 제2 프로세싱 챔버들을 포함하고, 제2 프로세싱 챔버들 각각은 플라즈마 경화 프로세스를 수행할 수 있는 플라즈마 챔버이다.
[0011] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 개시내용의 더욱 특정한 설명은 구현들을 참조함으로써 이루어질 수 있으며, 이러한 구현들 중 일부가 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들은 본 개시내용의 단지 통상적인 구현들을 예시하는 것이며 그러므로 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0012] 도 1은 트렌치들의 충전을 가능하게 하는 유동성 유전체 층을 형성하는 예시적인 방법에서 선택된 동작들을 도시하는 흐름도이다.
[0013] 도 2a는 기판의 일부의 개략적인 3-차원 도면을 예시한다.
[0014] 도 2b-도 2g는 도 1의 흐름도에 따른 다양한 제작 단계들 동안 도 2a의 기판을 예시한다.
[0015] 도 3은 본 개시내용의 구현들에 따른, 도 1에서 예시된 프로세싱 시퀀스를 수행하기 위해 사용될 수 있는 프로세싱 시스템의 개략적인 평면도이다.
[0016] 도 4는 본 개시내용의 구현들에 따른, 도 1에서 예시된 프로세싱 시퀀스의 프로세스들을 수행하기 위해 사용될 수 있는 프로세싱 시스템의 개략적인 평면도이다.
[0017] 이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통인 동일한 엘리먼트들을 표기하기 위해 동일한 참조 부호들이 사용되었다. 일 구현에서 개시된 엘리먼트들이 특정 언급 없이 다른 구현들에 대해 유익하게 활용될 수 있는 것으로 고려된다.
[0018] 도 1은 트렌치들의 충전을 가능하게 하는 유동성 유전체 층을 형성하는 방법(100)에서 선택된 동작들을 도시하는 흐름도이다. 도 2a는 기판(200)의 일부의 개략적인 3-차원 도면을 예시한다. 도 2b-도 2g는 도 2a의 라인(A-A)을 따르는 반도체 디바이스 구조의 일부의 개략적인 단면도들이다. 도 2b-도 2g는 도 1의 흐름도에 따른 다양한 제작 단계들 동안 도 2a의 기판(200)을 예시한다. 설명을 용이하게 하기 위해, 도 1 및 도 2a-도 2g가 함께 설명될 것이다.
[0019] 방법(100)은, 블록(102)에서, 기판, 이를테면, 도 2a에서 도시된 기판(200)을 증착 챔버의 기판 프로세싱 구역에 이송함으로써 시작한다. 적절한 증착 챔버는 고-밀도 플라즈마 CVD 챔버, 플라즈마 강화 CVD 챔버, 부-기압(sub-atmospheric) CVD 챔버 등을 포함할 수 있다. 유동성 산화물/질화물 층을 형성하도록 적응될 수 있는 예시적인 증착 챔버는 Producer® ETERNA CVD® 시스템 또는 Ultima HDP CVD® 시스템을 포함하며, 이 둘 모두는 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 입수 가능하다. 다른 제조자들로부터의 다른 적절한 증착 챔버들이 또한 활용될 수 있는 것으로 고려된다.
[0020] 기판(200)은 핀들(202)을 갖고, 이 핀들(202)은 기판(200) 상에 형성된다. 각각의 핀(202)은 하나 이상의 디바이스들이 형성될 활성 영역으로서 기능할 수 있다. 기판(200)에 트렌치들(204)을 형성하기 위해, 마스킹, 포토리소그래피 및/또는 에칭 프로세스들을 포함하는, 기판(200) 상에 수행되는 적절한 프로세스들을 사용하여 핀들(202)이 제작되며, 핀들(202)은 기판(200)으로부터 상향으로 연장된 상태로 있게 된다.
[0021] 트렌치들(204)의 종횡비는 약 1:1, 약 2:1, 약 3:1, 약 5:1, 약 10:1, 약 15:1, 약 20:1, 약 30:1, 약 50:1, 약 100:1 이상일 수 있다. 일부 구현들에서, 트렌치들(204)의 종횡비는 약 10:1 내지 약 30:1, 예컨대, 약 15:1일 수 있다. 본원에서 설명된 "종횡비"란 용어는 특정 피처(feature), 예컨대, 기판(200)에 형성된 트렌치(204)의 높이 치수 대 너비 치수의 비(ratio)를 지칭한다.
[0022] 기판(200)은 이 기판(200) 상에 재료가 증착되게 할 수 있는 임의의 기판, 이를테면, 실리콘 기판, 예컨대 실리콘(도핑되거나 또는 도핑되지 않음), 결정질 실리콘(예컨대, Si <100> 또는 Si <111>), 실리콘 산화물, 도핑된 또는 도핑되지 않은 폴리실리콘 등, 게르마늄 기판, 실리콘 게르마늄(SiGe) 기판, Ⅲ-V 화합물 기판, 이를테면, 갈륨 비소 기판, 실리콘 카바이드(SiC) 기판, 패터닝된 또는 패터닝되지 않은 반도체-온-절연체(SOI; semiconductor-on-insulator) 기판, 탄소 도핑된 산화물, 실리콘 질화물, 디스플레이 기판, 이를테면, 액정 디스플레이(LCD; liquid crystal display), 플라즈마 디스플레이, 전기 루미네선스(EL; electro luminescence) 램프 디스플레이, 솔라 어레이, 솔라 패널, 발광 다이오드(LED; light emitting diode) 기판, 유리, 사파이어, 또는 임의의 다른 재료들, 이를테면, 금속들, 금속 합금들 및 다른 전도성 재료들일 수 있다. 하나 이상의 전기 디바이스들, 이를테면, 다양한 N-형 금속-산화물 반도체(NMOS; N-type metal-oxide semiconductor) 및/또는 P-형 금속-산화물 반도체(PMOS; P-type metal-oxide semiconductor) 디바이스들, 이를테면, 트랜지스터들, 커패시터들, 저항기들, 다이오드들, 포토-다이오드들, 퓨즈들 등이 기판(200)에 형성될 수 있다. 기판(200)은 임의의 특정 크기 또는 형상으로 제한되지 않는 것으로 고려된다. 그러므로, 기판(200)은 다른 것들 중에서 200 mm 직경, 300 mm 직경, 또는 450 mm와 같은 다른 직경들을 갖는 원형 기판일 수 있다. 기판(200)은 또한, 임의의 다각형, 정사각형, 직사각형, 만곡된 또는 그렇지 않으면 비-원형 워크피스일 수 있다.
[0023] 블록(104)에서, 기판(200) 위에 유동성 층(206)이 형성된다. 유동성 층(206)은 적어도 실리콘을 함유하는 유전체 층일 수 있다. 일부 실시예들에서, 유동성 층(206)은 적어도 실리콘 및 산소를 함유한 유전체 층이다. 일부 실시예들에서, 유동성 층(206)은 적어도 실리콘 및 질소를 함유한 유전체 층이다. 일부 실시예들에서, 유동성 층(206)은 적어도 실리콘, 산소 및 질소를 함유한 유전체 층이다. 유동성 층(206)이 실리콘, 산소 및 질소를 함유한 유전체 층일 경우들에서, 실리콘-함유 전구체, 산소-계 라디칼 전구체 및 질소-계 라디칼 전구체는 기판(200) 위에 유동성 층(206)을 형성하도록 증착 챔버에 유입될 수 있다. 유동성 층(206)은 기판(200)의 노출된 표면들 상에 증착되고 트렌치들(204)을 충전할 수 있다. 일 실시예에서, 도 2b에서 도시된 바와 같이, 유동성 층(206)은 하부 표면(207) 위에 그리고 트렌치들(204)의 측벽 표면들(209)을 따라 형성된다. 적절한 유동성 층들(206)은 SiC, SiO, SiCN, SiO2, SiOC, SiOCN, SiON 또는 SiN을 포함(그러나, 이에 제한되지는 않음)할 수 있다. 대안적으로, 유동성 층(206)은 추적가능한 양의 탄소를 함유하지 않을 수 있다(즉, 탄소가 없음).
[0024] 유동성 층(206)은 심이 없는 또는 공극이 없는 방식으로 트렌치들(204)의 상향식 충전을 허용하도록 유동성을 제공한다. 유동성은, 증착된 층에서의 단쇄 폴리실라잔 폴리머들의 존재에 적어도 부분적으로 기인할 수 있다. 예컨대, 증착된 층은 실라잔-형 백본(backbone)(즉, 층)을 가질 수 있다. 단쇄 폴리머들의 형성 및 유동성을 허용하는 질소는 라디칼 전구체들 또는 실리콘-함유 전구체로부터 유래될 수 있다. 유전체 층이 유동성이기 때문에, 이 유전체 층은 트렌치들(204)에 공극들을 생성하지 않고 상향식으로 트렌치들을 높은 종횡비들로 충전할 수 있다. 유동성 층(206)의 증착은 미리 결정된 증착 두께에 도달할 때 정지될 수 있다. 일 실시예에서, 미리 결정된 증착 두께인 "T1"은 약 20 옹스트롬 내지 약 300 옹스트롬의 범위에 있다. 증착이 진행됨에 따라 유전체 층의 유동성은 약해지며, 유동성은 본질적으로, 후속하는 경화/플라즈마 처리 단계들 동안 제거된다.
[0025] 적절한 실리콘-함유 전구체는 0 내지 약 6의 산소 원자 대 실리콘 원자의 비를 갖는 유기실리콘 화합물들을 포함할 수 있다. 적절한 유기실리콘 화합물들은 실록세인 화합물들, 하나 이상의 할로겐 모이어티들(예컨대, 플루오라이드, 클로라이드, 브로마이드 또는 요오드화물)을 포함하는 할로겐화 실록세인 화합물들, 이를테면, 테트라클로로실란, 디클로로디에톡시실록세인, 클로로트리에톡시실록세인, 헥사클로로디실록세인, 및/또는 옥타클로로트리실록세인 및 아미노실란들, 이를테면, 트리실릴아민(TSA), 헥사메틸디실라잔(HMDS), 실라트란, 테트라키스(디메틸아미노)실란, 비스(디에틸아미노)실란, 트리스(디메틸-아미노)클로로실란 및 메틸실라트란일 수 있다. 또한, 다른 실리콘-함유 전구체들, 이를테면, 실란들, 할로겐화 실란들, 유기실란들 및 이들의 임의의 조합들이 사용될 수 있다. 실란들은 실란(SiH4), 및 실험식 SixH(2x + 2)를 갖는 고급 실란들, 이를테면, 디실란(Si2H6), 트리실란(Si3H8) 및 테트라실란(Si4H10), 또는 다른 고차 실란들, 이를테면, 폴리클로로실란을 포함할 수 있다.
[0026] 산소-계 라디칼 전구체는, 산소(O2), 오존(O3), 질소-산소 화합물, 이를테면, NO, NO2 또는 N2O, 수소-산소 화합물, 이를테면, 물 또는 과산화물, 탄소-산소 화합물, 이를테면, 일산화탄소 또는 이산화탄소, 및 다른 산소-함유 전구체들, 및 이들의 임의의 조합으로 형성되는 산소 라디칼들을 포함할 수 있다. 산소 라디칼들은 원격으로 생성되어 실리콘-함유 전구체와 함께 유입될 수 있다. 산소-계 라디칼 전구체는, 예컨대 용량성-결합 플라즈마(CCP; capacitively-coupled plasma) 또는 유도성-결합 플라즈마(ICP; inductively-coupled plasma) 구성을 가질 수 있는 원격 플라즈마 소스를 사용하여, 증착 챔버로의 유입 전에 활성화될 수 있다.
[0027] 질소-계 라디칼 전구체는, 질소(N2), 아산화질소(N2O), 산화질소(NO), 이산화질소(NO2), 암모니아(NH3) 및 이들의 임의의 조합으로부터 형성되는 질소 라디칼들을 포함할 수 있다. 질소 라디칼들은 원격으로 생성되어 실리콘-함유 전구체 및 산소-계 라디칼 전구체와 함께 유입될 수 있다. 질소-계 라디칼 전구체는, 예컨대 용량성-결합 플라즈마(CCP; capacitively-coupled plasma) 또는 유도성-결합 플라즈마(ICP; inductively-coupled plasma) 구성을 가질 수 있는 원격 플라즈마 소스를 사용하여, 증착 챔버로의 유입 전에 활성화될 수 있다.
[0028] 일부 구현들에서, 산소-계 라디칼 전구체는 제1 체적 유량으로 증착 챔버에 유동되고, 실리콘-함유 전구체는 제2 체적 유량으로 증착 챔버에 유동되며, 제1 체적 유량 대 제2 체적 유량의 비는 약 0.3:1 내지 약 0.9:1, 이를테면, 약 0.5:1 내지 약 0.7:1, 예컨대, 약 0.6:1에서 제어될 수 있다.
[0029] 일부 구현들에서, 질소-계 라디칼 전구체는 제1 체적 유량으로 증착 챔버에 유동되고, 실리콘-함유 전구체는 제2 체적 유량으로 증착 챔버에 유동되며, 제1 체적 유량 대 제2 체적 유량의 비는 약 0.2:1 내지 약 0.8:1, 이를테면, 약 0.4:1 내지 약 0.6:1, 예컨대, 약 0.5:1에서 제어될 수 있다.
[0030] 산소 라디칼 및 질소 라디칼 둘 모두를 함유한 라디칼 전구체가 사용되면, 산소-계 라디칼 전구체 또는 질소-계 라디칼 전구체가 생략될 수 있는 것으로 고려된다.
[0031] 실리콘-함유 전구체, 산소-계 라디칼 전구체 및 질소-계 라디칼 전구체는 약 150 ℃ 이하, 예컨대, 약 100 ℃ 이하, 예컨대, 약 65 ℃의 온도에서 반응될 수 있다. 유동성 유전체 층의 형성 동안, 증착 챔버의 챔버 압력은 약 0.1 Torr 내지 약 10 Torr, 예컨대, 약 0.5 Torr 내지 약 6 Torr로 유지될 수 있다. 증착 레이트는 충분한 얇은 증착 제어를 제공하기 위해 약 50 옹스트롬/초 이하로 제어될 수 있다. 일 구현에서, 증착 레이트는 약 5 옹스트롬/초 이하, 예컨대, 약 4 옹스트롬/초로 제어된다. 더 느린 증착 레이트(5 Å/초 이하)가 일부 애플리케이션들에서 유리할 수 있는데, 그 이유는 이러한 더 느린 증착 레이트가, 유동성 층이 공극이 없는 매끄러운 표면 거칠기로 형성될 수 있게 하기 때문이다.
[0032] 블록(106)에서, 일단 유동성 층이 미리 결정된 증착 두께인 "T1"(예컨대, 약 20-300 Å)에 도달하면, 실리콘-함유 전구체, 산소-계 라디칼 전구체 및 질소-계 라디칼 전구체의 유동들은 중단되고, 도 2c에서 도시된 바와 같이, 기판은 경화 챔버에서 제1 경화 프로세스(231)를 겪는다. 경화 후의 유동성 층(206)은 더 높은 밀도의 더 나은 안정성을 나타내며, 후속하는 플라즈마 처리(블록(108))에서 수행될 고온을 견딜 수 있다. 경화 챔버는 임의의 적절한 경화 기법, 이를테면, UV 광 경화, 열 경화, 마이크로파 경화, 플라즈마 경화, e-빔 경화 또는 중성 빔 경화를 사용할 수 있다. 일부 구현들에서, 경화 프로세스는 선택적이며, 생략될 수 있다. 일 구현에서, 경화 챔버는 UV 경화 챔버이다. 예시적인 경화 챔버는 Producer® NANOCURETM 3 UV 경화 챔버를 포함할 수 있으며, 이 모두는 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 입수가능하다. 다른 제조자들로부터의 다른 적절한 경화 챔버들이 또한, 본원에서 논의된 프로세스들을 수행하기 위해 활용될 수 있는 것으로 고려된다.
[0033] 경화 프로세스(231)는 산소-함유 분위기에서, 질소-함유 분위기에서, 그리고/또는 불활성 가스 분위기에서 수행될 수 있다. 산소-함유 분위기는, 하나 이상의 산소-함유 가스들, 이를테면, 분자 산소(O2), 오존(O3), 수증기(H2O), 산화질소(NO), 이산화질소(NO2), 아산화질소(N2O) 및 이들의 임의의 조합을 경화 챔버에 유입시킴으로써 생성될 수 있다. 질소-함유 분위기는, 하나 이상의 질소-함유 가스들, 이를테면, 질소(N2), 암모니아(NH3) 및 이들의 임의의 조합을 경화 챔버에 유입시킴으로써 생성될 수 있다. 불활성 분위기는 헬륨, 아르곤, 수소, 크립톤, 크세논 및 이들의 임의의 조합을 경화 챔버에 유입시킴으로써 생성될 수 있다. 원해지면, 경화 프로세스는 산소/질소 원자들을 유동성 층(206)에 통합시키는 것을 돕기 위해 라디칼-계 분위기에서, 즉, 산소-함유 가스들, 질소-함유 가스들, 또는 불활성 가스로부터의 라디칼들을 사용하여 수행될 수 있다.
[0034] 유동성 층이 산화물인 일부 실시예들에서, 경화 프로세스(231)는 산소-함유 분위기에서 수행될 수 있다. 그러한 경우에, 경화 프로세스는 산소 삽입 및 필름 가교 목적들을 위해 오존 분위기에서 열 또는 UV를 사용할 수 있다. 산소-함유 분위기는 실리콘-함유 층일 수 있는 유동성 층을 실리콘 산화물 층으로 변환하기 위해 산소를 제공한다. 유동성 층이 질화물인 경우들에서, 경화 프로세스는 질소-함유 분위기에서 수행될 수 있다. 그러한 경우에, 경화 프로세스는, 유동성 층을 질화하고 증착된 층에서의 질소 농도를 증가시키기 위해, 질소 또는 암모니아 분위기에서 UV를 사용할 수 있다. 어느 경우에나, 경화 프로세스는 증착된 층의 휘발성 결합(volatile bonding)들을 안정화시키는 것을 도울 수 있으며, 이에 따라, 플라즈마 처리(블록(108)) 전에, 열적으로 안정된 층을 형성한다. 더 긴 UV 처리는 통상적으로, 더 낮은 수축을 야기하고, 플라즈마 처리 후에 거의 중성의 필름 응력을 야기한다.
[0035] 일 구현에서, 경화 프로세스(231)는 UV 광 경화 기법을 사용한다. 경화 프로세스는, 원하는 분위기(반응성 또는 불활성), 온도 및 압력에서, 열적으로 또는 UV 광자들에 의해 보조되는 필름 가교를 가능하게 할 수 있다. 예시적인 UV 광 경화 기법들은, 기판 상에 광을 투사하는 하나 이상의 UV 광원들로부터 광 또는 광자 에너지를 공급하는 것을 포함할 수 있다. 이들 UV 광원들은, UV 파장(예컨대, 220 nm)에서 피크 세기를 갖는 넓은 스펙트럼의 파장들(비-UV 파장들을 포함함)에 걸쳐 광을 방출하는 UV 램프를 포함할 수 있다. UV 램프들의 예들은 다른 타입들의 UV 램프들 중에서 크세논 램프들(172 nm에서 피크 방출 파장), 수은 램프들(243 nm에서 피크), 듀테륨 램프들(140 nm에서 피크) 및 크립톤 클로라이드(KrCl2) 램프들(222 nm에서 피크)을 포함한다. 부가적인 UV 광원들은 유동성 층에 코히어런트(coherent) 협대역 UV 광을 제공하는 레이저들을 포함할 수 있다. 레이저 광원들은 엑시머 레이저들(예컨대, XeCl, KrF, F2 등의 엑시머 레이저) 및/또는 적절한 고조파의 고체 상태 레이저들(예컨대, Nd-YAG 레이저들)을 포함할 수 있다. UV 광원들은 또한, 다이오드 UV 광원들을 포함할 수 있다.
[0036] 경화 프로세스(231) 동안, 유동성 층(206)은 약 10 초 내지 약 60 분 동안 경화되며, 이는 애플리케이션에 따라 변할 수 있다. 경화 챔버의 압력은 약 1 Torr 내지 약 600 Torr, 예컨대, 약 10 Torr 내지 150 Torr의 범위에서 유지된다. 경화 온도는 약 5 ℃ 내지 약 1100 ℃의 범위, 예컨대, 약 10 ℃, 약 25 ℃, 약 50 ℃, 약 100 ℃, 약 200 ℃, 약 300 ℃, 약 400 ℃, 약 500 ℃, 약 600 ℃, 약 700 ℃, 약 800 ℃, 약 900 ℃, 약 1000 ℃일 수 있다. 일 예에서, 경화 프로세스는 약 350 ℃의 온도 및 약 500 Torr에서 약 100 초 동안 오존 분위기에서 수행되는 열 경화 프로세스이다.
[0037] 열 경화가 적응되는 일부 경우들에서, 경화 프로세스는, 경화 온도 및 압력에 따라, 유동성 층(206)이 증착되는 증착 챔버에서 제자리에 수행될 수 있거나, 또는 플라즈마 처리가 수행될 플라즈마 챔버에서 수행될 수 있다(블록(108)).
[0038] 블록(108)에서, 증착 프로세스(또는 수행된다면, 선택적인 경화 프로세스)가 완료된 후에, 도 2d에서 도시된 바와 같이, 기판(200) 상에 형성된 유전체 층을 추가로 경화시키기 위해 기판(200)은 플라즈마 챔버에서 제2 경화 프로세스(233)를 겪는다. 일 실시예에서, 제2 경화 프로세스(233)는 플라즈마 처리이다. 플라즈마 챔버는 플라즈마 또는 플라즈마-보조 기술을 사용하는 임의의 적절한 챔버일 수 있다. 플라즈마 챔버는 높은 온도들에서 고-밀도 플라즈마를 생성하여, 고-치밀화 플라즈마로부터의 이온들로 (블록(106)으로부터의) 경화된 층 또는 (경화 프로세스가 수행되지 않으면, 블록(104)으로부터의) 유동성 유전체 층에 충격을 가하고 이에 따라 치밀화하여 추가로 경화시킨다.
[0039] 재료에 따라, 플라즈마 처리는 (경화된 층 또는 유동성 유전체 층이 산화물이면) 산소-함유 분위기 또는 (경화된 층 또는 유동성 유전체 층이 질화물이면) 질소-함유 분위기에서 수행될 수 있다. 산소-함유 분위기는, 하나 이상의 산소-함유 가스들, 이를테면, 분자 산소(O2), 오존(O3), 수증기(H2O), 산화질소(NO), 이산화질소(NO2), 아산화질소(N2O) 및 이들의 임의의 조합을 플라즈마 챔버에 유입시킴으로써 생성될 수 있다. 질소-함유 분위기는, 하나 이상의 질소-함유 가스들, 이를테면, 질소(N2), 암모니아(NH3) 및 이들의 임의의 조합을 플라즈마 챔버에 유입시킴으로써 생성될 수 있다. 어느 경우에나, 불활성 가스, 이를테면, 아르곤, 수소 또는 헬륨이 플라즈마 챔버에 유입될 수 있다. 예컨대, 경화된 층 또는 유동성 유전체 층이 산화물이면, 플라즈마 처리는 산소/헬륨 분위기, 산소/아르곤 분위기 또는 산소/수소 분위기에서 수행될 수 있다. 경화된 층 또는 유동성 유전체 층이 질화물이면, 플라즈마 처리는 질소/암모니아 분위기, 질소/수소 분위기 또는 질소/헬륨 분위기에서 수행될 수 있다.
[0040] 일부 구현들에서, 플라즈마 처리는 라디칼-계 처리일 수 있다. 예컨대, 산소-함유 분위기는, 원격으로 생성되어 플라즈마 챔버에 이송될 수 있는 라디칼 산소 종 및/또는 라디칼 하이드록실 종일 수 있거나, 또는 부가적으로 이러한 라디칼 산소 종 및/또는 라디칼 하이드록실 종을 포함할 수 있다. 마찬가지로, 질소-함유 분위기는, 원격으로 생성되어 플라즈마 챔버에 이송될 수 있는 라디칼 질소 종일 수 있거나, 또는 부가적으로 이러한 라디칼 질소 종을 포함할 수 있다. 라디칼들을 사용한 플라즈마 처리는 더 높은 압력들(예컨대, 1 Torr 이상, 예컨대, 약 10-40 Torr)에서 그리고/또는 펄스형 RF 전력 파형들로 수행될 수 있다. 예컨대, 플라즈마 처리는 표준 모드에서 동작되는 펄스형 소스 전력을 사용하는(즉, 동일한 RF 주파수를 사용하고, 코일 안테나들을 통해 흐르는 전류가 동위상(in-phase)임) 유도성 결합 플라즈마일 수 있다.
[0041] 플라즈마 처리 동안, 경화된 층 또는 유동성 유전체 층은 추가로, 플라즈마 챔버에 존재하는 산소 또는 질소 분위기에 기인하여 산화물들 또는 질화물들로 변환된다. 산소 분위기는 경화된 층 또는 유동성 유전체 층에서의 Si-N 결합들 또는 N-H 결합들을 Si-O 결합들로 대체하는 것을 촉진하는데, 그 이유는 Si-N 결합 에너지들(355 kJ/mol) 및 N-H 결합 에너지들(386 kJ/mol)이 Si-O 결합 에너지들(452 kJ/mol)보다 더 낮기 때문이다. 그러므로, 플라즈마 처리가 산소-함유 분위기에서 수행될 때, 경화된 층 또는 유동성 유전체 층( 백본을 가짐)은 추가로, 실리콘 산화물 층으로 변환된다. 플라즈마 처리가 질소-함유 분위기에서 수행될 때, 경화된 층 또는 유동성 유전체 층( 백본을 가짐)은 추가로, 실리콘 질화물 층으로 변환된다. 그러므로, 플라즈마 처리는 긴 지속기간의 열적 어닐링 프로세스를 필요로 하지 않고 하나의 동작으로 재료 변환과 치밀화를 조합시키며, 이는 통상적으로, 산소 원자 또는 질소 원자를 층에 추가로 통합시키기 위해 FCVD 필름들의 종래의 형성에서 경화 프로세스 후에 수행된다. 고-밀도 플라즈마는 또한, 열적 어닐링과 비교할 때 더 낮은 열 버짓(thermal budget)을 가능하게 할 수 있다. 결과적으로, 제작 프로세스의 전체 열 버짓이 감소된다.
[0042] 일부 구현들에서, 플라즈마 처리는 (경화된 층 또는 유동성 유전체 층이 산화물이면) 산소/헬륨 분위기, 산소/아르곤 분위기 또는 산소/수소 분위기를 사용하는 제1 플라즈마 처리 동작, 및 헬륨과 같은 불활성 가스 분위기를 사용하는 제2 플라즈마 처리 동작을 포함하는 2-동작 처리이다. 경화된 층 또는 유동성 유전체 층이 질화물이면, 플라즈마 처리는 질소/암모니아 분위기, 질소/수소 분위기 또는 질소/헬륨 분위기를 사용하는 제1 플라즈마 처리 동작, 및 헬륨과 같은 불활성 분위기를 사용하는 제2 플라즈마 처리 동작을 포함할 수 있다. 불활성 분위기(예컨대, 헬륨)에서의 플라즈마 처리는 필름 치밀화에 효과적인데, 그 이유는 고에너지 이온들에 의한 충격이, 필름이 기존 결합들을 끊을 수 있게 하고, 필름 응력을 해제하고 더 높은 밀도의 네트워크를 형성하도록 재-구조화할 수 있게 하기 때문이다.
[0043] 플라즈마 챔버는, 플라즈마 소스 생성기 및 기판 바이어스 디바이스로의 전력 입력에 대한 별개의 제어들을 갖는 임의의 적절한 플라즈마 반응기일 수 있다. 일 구현에서, 플라즈마 챔버는 유도성 결합 플라즈마(ICP; inductively coupled plasma) 챔버이다. 그러한 경우에, 플라즈마 챔버는 플라즈마 밀도(소스 전력)를 결정하는, 유도성 결합 RF 전력의 공급을 제어하는 플라즈마 소스 제어기, 및 기판 표면 상의 바이어스 전압(바이어스 전력)을 생성하기 위해 사용되는 DC 전력 또는 RF 전력의 공급을 제어하는 바이어스 제어기를 가질 수 있다. 이 바이어스 전압은, 프로세싱 구역에 형성된 플라즈마로부터 기판(200)으로 이온들을 끌어당기기 위해 사용된다. 바이어스 전압은, 경화된 층(또는 경화 프로세스가 수행되지 않으면, 유동성 유전체 층) 상의 이온 종의 충격 에너지를 제어하기 위해 사용될 수 있다. 소스 전력 및 압력은 이온화를 제어하기 위한 노브(knob)들이다. 바이어스 전력은 필름 처리 깊이 제어를 위해 이온 에너지를 조절(modulate)하기 위한 부가적인 노브를 제공한다. 바이어스 전력에 부가하여, 저압(예컨대, 약 5 mTorr 미만)은 긴 평균-자유 경로 및 깊은 트렌치 층 처리를 가능하게 한다. 하나의 적절한 플라즈마 챔버는 캘리포니아주 산타 클라라의 Applied Materials로부터 입수가능한 Centura® AdvantedgeTM MesaTM 에칭 챔버이다.
[0044] ICP 챔버가 플라즈마를 형성하기 위한 예로서 본 개시내용에서 사용되지만, 다른 플라즈마 소스, 이를테면, 용량성 결합 플라즈마(CCP; capacitively coupled plasma) 소스, 비결합 플라즈마 소스(DPS; decoupled plasma source), 마그네트론 플라즈마 소스, 전자 사이클로트론 공명(ECR; electron cyclotron resonance) 소스 또는 마이크로파 플라즈마 소스가 또한 사용될 수 있는 것으로 고려된다.
[0045] ICP 챔버가 사용되는 경우들에서, 플라즈마 처리를 수행하기 위해 다음의 챔버 프로세스 파라미터들이 사용될 수 있다. 이들 파라미터들은, 위에서 논의된 바와 같이 (블록(106)으로부터의) 경화된 층 또는 (블록(104)으로부터의) 유동성 유전체 층을 처리하기 위해 사용될 수 있다. 다양한 구현들에서, 경화된 층은 산화물 또는 질화물이다. 챔버 압력은 약 1 milli-Torr(mTorr) 내지 약 10 Torr, 이를테면, 약 2 mTorr 내지 약 1 Torr, 예컨대, 약 5 mTorr 내지 약 88 mTorr일 수 있다. 소스 전력은 약 50 와트(W) 내지 약 650 W, 이를테면, 약 100 W 내지 약 500 W, 예컨대, 약 250 W 내지 약 450 W일 수 있다. 소스 전력은 약 30 MHz 내지 약 60 MHz의 라디오 주파수(RF; radio frequency) 범위에서 인가될 수 있다. ICP 챔버의 기판 지지부에 제공되는 바이어스 전력은 약 10 W 내지 약 450 W, 이를테면, 약 50 W 내지 약 300 W, 예컨대, 100 W 내지 약 200 W일 수 있다. 바이어스 전력은 약 10 MHz 내지 약 30 MHz의 RF 범위에서 인가될 수 있다. 기판 온도는 약 550 ℃ 이하, 이를테면, 약 300 ℃ 내지 약 500 ℃, 예컨대, 약 350 ℃일 수 있다. 제1 가스(예컨대, 산소-함유 가스 또는 질소-함유 가스)의 가스 유동은 약 60 sccm 내지 약 5000 sccm, 이를테면, 약 100 sccm 내지 약 2200 sccm, 예컨대, 약 300 sccm 내지 약 1000 sccm일 수 있다. 제2 가스(예컨대, 불활성 가스)의 가스 유동은 약 5 sccm 내지 약 250 sccm, 이를테면, 약 10 sccm 내지 약 150 sccm, 예컨대, 약 20 sccm 내지 약 100 sccm일 수 있다. 처리 시간은 약 10 초 내지 약 120 초, 이를테면, 약 30 초 내지 약 90 초, 예컨대, 약 45 초 내지 약 60 초일 수 있다. 본원에서 논의된 프로세스 파라미터들은 300 mm 기판에 기반한다. 이들 프로세스 파라미터들이 (블록(106)으로부터의) 경화된 층 또는 (블록(104)으로부터의) 유동성 유전체 층의 두께, 트렌치들(204)의 크기, 기판(200)의 크기, 플라즈마 챔버의 성능 및 애플리케이션 등에 따라 변할 수 있는 것으로 고려된다.
[0046] 블록(108) 후에, 도 2e에서 도시된 바와 같이, 증착된 유전체 층(즉, 경화 및/또는 처리된 유동성 층(206))이 타겟 높이인 "T2"에 도달하는지에 대한 결정(110)이 이루어진다. 증착된 유전체 층의 타겟 높이인 "T2"는, 트렌치(204)의 바닥 표면(207)으로부터 증착된 유전체 층의 상단 표면(211)까지 측정하여, 약 500 옹스트롬 내지 약 8000 옹스트롬, 예컨대, 약 1000 옹스트롬 내지 약 6000 옹스트롬일 수 있다. 타겟 높이인 "T2"에 도달하지 않았다면, 경화된/플라즈마 처리된 층의 두께가 다시 타겟 두께와 비교되기 전에, 증착/경화/플라즈마 처리(예컨대, 블록들(104-108))의 다른 사이클이 수행될 수 있다. 증착된 유전체 층이 타겟 높이인 "T2"에 도달할 때까지, 블록들(104, 106 및 108)의 프로세스들이 반복될 수 있다.
[0047] 일단 타겟 높이인 "T2"에 도달하면, 증착된 유전체 층이 이를테면 화학 기계 평탄화(CMP; chemical mechanical planarization)에 의해 평탄화되어서, 도 2f에서 도시된 바와 같이, 핀들(202)의 상단 표면(208) 및 증착된 유전체 층의 상단 표면(210)은 동일 평면이 될 수 있다. 그런 다음, 기판(200)은, 이를테면, 핀들(202)의 상부(213)를 드러내거나 또는 노출시키기 위해 허용가능 에칭 프로세스를 사용함으로써 리세스된다. 에칭 프로세스는 플라즈마 처리(블록(108))가 이루어지는 것과 동일한 플라즈마 챔버에서 수행될 수 있다. 그 후, 기판(200)은 플라즈마 챔버로부터 로드-록 챔버로 이송되고, 그런 다음, 하나 이상의 전면 개구 통합 포드(FOUP; front opening unified pod)들로 이송될 수 있으며, 이 전면 개구 통합 포드(FOUP)들에서, 기판들은 집적 회로 칩을 제작하기 위해 필요할 수 있는 하류 프로세싱, 이를테면, 대체 게이트 형성, 에피택셜 증착, 세정, 어닐링, 열, 화학 기상 증착, 산화 또는 질화 프로세스 등을 위해 다른 프로세싱 시스템들로 이송될 것이다.
[0048] 도 3은 본 개시내용의 구현들에 따른, 도 1에서 예시된 프로세싱 시퀀스를 수행하기 위해 사용될 수 있는 프로세싱 시스템(300)의 개략적인 평면도이다. 프로세싱 시스템(300)의 일 예는 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 입수가능한 PRODUCER® 또는 CENTRISTM 시스템이다. 프로세싱 시스템(300)은 진공-기밀 프로세싱 플랫폼(302) 및 팩토리 인터페이스(304)를 포함한다. 플랫폼(202)은 진공 기판 이송 챔버(312)에 커플링된 복수의 프로세싱 챔버들(306a-306b, 308a-308b, 310a-310b), 및 진공 기판 이송 챔버(312)와 팩토리 인터페이스(304) 사이에 배치되고 이러한 진공 기판 이송 챔버(312) 및 팩토리 인터페이스(304)에 커플링되는 로드 록 챔버(314)를 포함한다.
[0049] 팩토리 인터페이스(304)는 기판들의 이송을 가능하게 하기 위해 적어도 하나의 팩토리 인터페이스 로봇(316, 318)을 포함한다. 팩토리 인터페이스(304)는 하나 이상의 전면 개구 통합 포드(FOUP; front opening unified pod)(320)를 수납하도록 구성된다. 일 예에서, 3 개의 FOUP들이 적응된다. 팩토리 인터페이스 로봇들(316, 318)은 팩토리 인터페이스(304)로부터 프로세싱 플랫폼(302)으로 기판들(예컨대, 블록(102)에서 설명된 기판들)을 이송하고, 여기서, 적어도 하나의 이송 로봇(322)은 팩토리 인터페이스 로봇들(316, 318)로부터 기판들을 수용하고, 그런 다음, 이 기판들을 프로세싱 챔버들(306a-306b, 308a-308b, 310a-310b) 중 임의의 프로세싱 챔버로 이송한다. 일 구현에서, 프로세싱 챔버들(306a-306b)은 블록(104)에서 설명된 프로세스들을 수행하기 위해 사용될 수 있는 증착 챔버이다. 프로세싱 챔버들(308a-308b)은 블록(106)에서 설명된 프로세스들을 수행하기 위해 사용될 수 있는 경화 챔버이다. 프로세싱 챔버들(310a-310b)은 블록(108)에서 설명된 프로세스들 및 핀 드러내기 프로세스를 수행하기 위해 사용될 수 있는 플라즈마 챔버이다. 일단 프로세스들이 완료되면, 기판들은 이송 로봇(322)에 의해 로드 록 챔버(314)로 이송된다. 그런 다음, 팩토리 인터페이스 로봇(314, 316)은 로드 록 챔버(314)로부터 기판들을 집어내고, 이 기판들을 다시 FOUP들(320)로 이송한다.
[0050] 도 4는 본 개시내용의 구현들에 따른, 도 1에서 예시된 프로세싱 시퀀스의 프로세스들을 수행하기 위해 사용될 수 있는 프로세싱 시스템(400)의 개략적인 평면도이다. 일 예시적인 구현에서, 프로세싱 시스템(400)은 도 1에서 도시된 소정의 프로세스들(예컨대, 블록들(102, 104 및 108))을 수행하기 위해 사용되는 대량 제조(HVM; high-volume manufacturing) 시스템이다. 프로세싱 시스템(400)은 진공-기밀 프로세싱 플랫폼(402) 및 팩토리 인터페이스(404)를 포함한다. 플랫폼(402)은, 제1 진공 기판 이송 챔버(412) 및 제2 진공 기판 이송 챔버(413)에 각각 커플링된 복수의 프로세싱 챔버들(406a-406d, 408a-408f), 제1 진공 기판 이송 챔버(412)와 제2 진공 기판 이송 챔버(413) 사이에 배치된 냉각 스테이션(415), 및 제1 진공 기판 이송 챔버(412)와 팩토리 인터페이스(404) 사이에 배치되고 이러한 제1 진공 기판 이송 챔버(412) 및 팩토리 인터페이스(404)에 커플링되는 로드 록 챔버(414)를 포함한다.
[0051] 팩토리 인터페이스(404)는 기판들의 이송을 가능하게 하기 위해 적어도 하나의 팩토리 인터페이스 로봇(416, 418)을 포함한다. 팩토리 인터페이스(404)는 하나 이상의 전면 개구 통합 포드(FOUP; front opening unified pod)(420)를 수납하도록 구성된다. 일 예에서, 4 개의 FOUP들이 적응된다. 팩토리 인터페이스 로봇들(416, 418)은 팩토리 인터페이스(404)로부터 프로세싱 플랫폼(402)으로 기판들(예컨대, 블록(102)에서 설명된 기판들)을 이송한다. 제1 진공 기판 이송 챔버(412)에서의 적어도 하나의 이송 로봇(422)이 팩토리 인터페이스 로봇들(416, 418)로부터 기판들을 수용하고, 그런 다음, 이 기판들을 프로세싱 챔버들(406a-406d) 중 임의의 프로세싱 챔버로 이송한다. 일 구현에서, 프로세싱 챔버들(406a-406d)은 블록(108)에서 설명된 프로세스들을 수행하기 위해 사용될 수 있는 플라즈마 챔버이다. 제1 진공 기판 이송 챔버(412)와 제2 진공 기판 이송 챔버(413) 사이에서 기판들을 이송하기 위해 냉각 스테이션(415)에 선택적인 이송 로봇(417)이 배치될 수 있다. 제2 진공 기판 이송 챔버(413)에서의 적어도 하나의 이송 로봇(419)이 냉각 스테이션(415)으로부터 기판들을 수용하고, 그런 다음, 이 기판들을 프로세싱 챔버들(408a-408f) 중 임의의 프로세싱 챔버로 이송한다. 대안적으로, 이송 로봇(417)이 생략될 수 있으며, 제1 진공 기판 이송 챔버(412)와 제2 진공 기판 이송 챔버(413) 사이에서 기판들을 이송하기 위해 이송 로봇(417, 422)이 함께 작업할 수 있다. 일 구현에서, 프로세싱 챔버들(408a-408f)은 블록(104)에서 설명된 프로세스들을 수행하기 위해 사용될 수 있는 증착 챔버이다. 기판들은, 증착된 유전체 층의 타겟 높이에 도달할 때까지, 증착 챔버들(즉, 프로세싱 챔버들(408a-408f))과 플라즈마 챔버들(즉, 프로세싱 챔버들(406a-406d)) 사이에서 이송될 수 있다. 일단 프로세스들이 완료되면, 기판들은 로드 록 챔버(414)로 이송된다. 그런 다음, 팩토리 인터페이스 로봇(414, 416)은 로드 록 챔버(414)로부터 기판들을 집어내고, 이 기판들을 다시 FOUP들(420)로 이송한다.
[0052] 요약하면, 본원에서 개시된 구현들은 기판에 트렌치들을 형성하여 유동성 유전체 층으로 충전하기 위한 방법들에 관한 것이다. 방법은, 유동성 유전체 층을 타겟 층 조성으로 변환하고 치밀화하기 위해 산소-함유/불활성 가스 또는 질소-함유/불활성 가스 분위기에서 고-밀도의 유도성 결합 플라즈마로부터의 이온들로 이 유동성 유전체 층에 충격을 가하는 단계를 포함한다. 필름 가교 및 유동성 유전체 층으로의 산소/질소 원자들의 통합을 돕기 위해 유동성 증착과 플라즈마 처리 사이에 경화 프로세스가 삽입될 수 있다. 이들 프로세스들은, 원하는 두께에 도달할 때까지 순환식으로 수행된다. 순환식 프로세스는, 트렌치들의 측벽 상에의 최소의 증착으로, 트렌치 바닥에서 일관되게 우수한 품질의 유전체 층을 가능하게 한다.
[0053] 전술된 내용이 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 기본적인 범위를 벗어나지 않고, 본 개시내용의 다른 그리고 추가적인 구현들이 고안될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (19)

  1. 기판을 프로세싱하는 방법으로서,
    적어도 하나의 트렌치를 갖는 기판이, 유동성 층을 형성하기 위한 증착 프로세스를 겪게 하는 단계 ―상기 증착 프로세스는, 상기 유동성 층이 미리 결정된 증착 두께에 도달할 때까지, 상향식으로 상기 트렌치의 바닥 표면 및 측벽 표면들 위에 상기 유동성 층을 형성하기 위한 것임―;
    상기 유동성 층이 제1 경화 프로세스를 겪게 하는 단계 ―상기 제1 경화 프로세스는 UV 경화 프로세스임―;
    그런 다음, 상기 UV 경화된 유동성 층이 제2 경화 프로세스를 겪게 하는 단계 ―상기 제2 경화 프로세스는 플라즈마 또는 플라즈마-보조 프로세스임―; 및
    상기 플라즈마 경화된 유동성 층이 상기 트렌치를 충전하고 상기 트렌치의 상단 표면 위의 미리 결정된 높이에 도달할 때까지, 상기 증착 프로세스, 상기 제1 경화 프로세스 및 상기 제2 경화 프로세스를 순차적으로 그리고 반복적으로 수행하는 단계
    를 포함하는,
    기판을 프로세싱하는 방법.
  2. 제1 항에 있어서,
    상기 제1 경화 프로세스는 산소, 질소 또는 불활성 가스를 포함하는 라디칼-계 분위기(radical-based ambient)에서 수행되는,
    기판을 프로세싱하는 방법.
  3. 제1 항에 있어서,
    상기 제2 경화 프로세스는 산소/헬륨 분위기, 산소/아르곤 분위기 또는 산소/수소 분위기에서 수행되는,
    기판을 프로세싱하는 방법.
  4. 제3 항에 있어서,
    상기 제2 경화 프로세스는 라디칼-계 분위기에서 수행되는,
    기판을 프로세싱하는 방법.
  5. 제1 항에 있어서,
    상기 유동성 층은 5 옹스트롬/초 이하의 증착 레이트로 증착되는,
    기판을 프로세싱하는 방법.
  6. 제1 항에 있어서,
    상기 유동성 층은 실리콘-함유 유전체 층인,
    기판을 프로세싱하는 방법.
  7. 제1 항에 있어서,
    상기 유동성 층은 SiC, SiO, SiCN, SiO2, SiOC, SiOCN, SiON 또는 SiN를 포함하는,
    기판을 프로세싱하는 방법.
  8. 기판을 프로세싱하는 방법으로서,
    기판의 트렌치 내에 유동성 층을 형성하기 위해 산소-계 라디칼 전구체 및 질소-계 라디칼 전구체와 실리콘-함유 전구체를 반응시킴으로써, 증착 프로세스를 수행하는 단계;
    제1 경화 프로세스 동안 산소-함유 분위기, 질소-함유 분위기, 또는 불활성 가스 분위기에서 UV 에너지를 이용하여 상기 유동성 층을 경화시키는 단계;
    그런 다음, 제2 경화 프로세스 동안 UV 에너지 경화된 상기 유동성 층을 플라즈마 챔버 내에서 경화시키는 단계 ― 상기 플라즈마 챔버는 산소-함유 분위기 또는 질소-함유 분위기를 포함함 ―; 및
    상기 플라즈마 경화된 유동성 층이 상기 트렌치를 충전하고 상기 트렌치의 상단 표면 위의 미리 결정된 높이에 도달할 때까지, 상기 증착 프로세스, 상기 제1 경화 프로세스 및 상기 제2 경화 프로세스를 순차적으로 그리고 반복적으로 수행하는 단계
    를 포함하는,
    기판을 프로세싱하는 방법.
  9. 제8 항에 있어서,
    상기 유동성 층은 실리콘-함유 유전체 층인,
    기판을 프로세싱하는 방법.
  10. 제8 항에 있어서,
    상기 유동성 층은 SiC, SiO, SiCN, SiO2, SiOC, SiOCN, SiON 또는 SiN을 포함하는,
    기판을 프로세싱하는 방법.
  11. 제8 항에 있어서,
    상기 실리콘-함유 전구체는, 하나 이상의 할로겐 모이어티들을 포함하는 할로겐화 실록산 화합물들 또는 실록산 화합물들을 포함하는,
    기판을 프로세싱하는 방법.
  12. 제8 항에 있어서,
    상기 유동성 층을 경화시키는 단계는,
    산소/헬륨 분위기, 산소/아르곤 분위기 또는 산소/수소 분위기에서 이온들로 상기 유동성 층에 충격을 가하는 단계; 및
    불활성 가스 분위기에서 이온들로 상기 유동성 층에 충격을 가하는 단계
    를 더 포함하는,
    기판을 프로세싱하는 방법.
  13. 제12 항에 있어서,
    상기 유동성 층을 경화시키는 단계는 유도성 결합 플라즈마(ICP; inductively coupled plasma) 챔버에서 수행되는,
    기판을 프로세싱하는 방법.
  14. 제12 항에 있어서,
    상기 유동성 층을 경화시키는 단계는,
    5 mTorr 이하의 챔버 압력에서 상기 기판에 바이어스 전력을 인가하는 단계
    를 더 포함하는,
    기판을 프로세싱하는 방법.
  15. 제8 항에 있어서,
    상기 UV 에너지를 이용하여 상기 유동성 층을 경화시키는 단계는 라디칼-계 분위기에서 수행되는,
    기판을 프로세싱하는 방법.
  16. 기판을 프로세싱하는 방법으로서,
    증착 프로세스 동안 상향식으로 기판상의 트렌치의 바닥 표면 및 측벽 표면들 위에 유동성 층을 형성하는 단계;
    제1 경화 프로세스 동안 UV 경화된 유동성 층을 생성하기 위하여 상기 유동성 층을 UV 에너지에 노출시키는 단계;
    그런 다음, 제2 경화 프로세스 동안 플라즈마 경화된 유동성 층을 생성하기 위하여 상기 UV 경화된 유동성 층을 플라즈마에 노출시키는 단계; 및
    상기 플라즈마 경화된 유동성 층이 상기 트렌치를 충전하고 상기 트렌치의 상단 표면 위의 미리 결정된 높이에 도달할 때까지, 상기 증착 프로세스, 상기 제1 경화 프로세스, 및 상기 제2 경화 프로세스를 순차적으로 그리고 반복적으로 수행하는 단계
    를 포함하는,
    기판을 프로세싱하는 방법.
  17. 기판을 프로세싱하기 위한 클러스터 툴로서,
    로드 록 챔버;
    상기 로드 록 챔버의 제1 측에 커플링된 이송 챔버;
    상기 이송 챔버에 커플링된 복수의 제1 프로세싱 챔버들 ―상기 제1 프로세싱 챔버들 각각은 유동성 층 증착을 수행할 수 있는 증착 챔버이고, 상기 제1 프로세싱 챔버들 중 적어도 하나는 고-밀도 플라즈마 CVD 챔버임―;
    상기 이송 챔버에 커플링된 복수의 제2 프로세싱 챔버들 ―상기 제2 프로세싱 챔버들 각각은 열 경화 프로세스를 수행할 수 있는 경화 챔버이고, 상기 제2 프로세싱 챔버들 중 적어도 하나는 UV 광 경화 챔버임―;
    상기 이송 챔버에 커플링된 복수의 제3 프로세싱 챔버들 ―상기 제3 프로세싱 챔버들 각각은 플라즈마 경화 프로세스를 수행할 수 있는 플라즈마 챔버이고, 상기 제3 프로세싱 챔버들 중 적어도 하나는 유도성 결합 플라즈마(ICP; inductively coupled plasma) 챔버이고, 상기 복수의 제1 프로세싱 챔버들은 상기 복수의 제2 프로세싱 챔버들 및 상기 복수의 제3 프로세싱 챔버들에 인접하고, 상기 로드록 챔버는 상기 복수의 제2 프로세싱 챔버들 및 상기 제3 복수의 프로세싱 챔버들에 인접함―;
    상기 로드 록 챔버의 제2 측에 커플링된 팩토리 인터페이스; 및
    상기 제1 프로세싱 챔버들, 상기 제2 프로세싱 챔버들, 및 상기 제3 프로세싱 챔버들의 순서로 상기 기판을 이송 및 프로세싱하도록 구성된 제어기
    를 포함하는,
    기판을 프로세싱하기 위한 클러스터 툴.
  18. 기판을 프로세싱하기 위한 클러스터 툴로서,
    로드 록 챔버;
    상기 로드 록 챔버에 커플링된 이송 챔버;
    상기 이송 챔버에 커플링된 복수의 제1 프로세싱 챔버들 ―상기 제1 프로세싱 챔버들 각각은 유동성 층 증착을 수행할 수 있는 증착 챔버이고, 상기 제1 프로세싱 챔버들 중 적어도 하나는 고-밀도 플라즈마 CVD 챔버임―;
    상기 이송 챔버에 커플링된 복수의 제2 프로세싱 챔버들 ―상기 제2 프로세싱 챔버들 각각은 열 경화 프로세스를 수행할 수 있는 경화 챔버임―;
    상기 이송 챔버에 커플링된 복수의 제3 프로세싱 챔버들 ―상기 제3 프로세싱 챔버들 각각은 플라즈마 경화 프로세스를 수행할 수 있는 플라즈마 챔버이고, 상기 제3 프로세싱 챔버들 중 적어도 하나는 유도성 결합 플라즈마(ICP; inductively coupled plasma) 챔버임―; 및
    상기 제1 프로세싱 챔버들, 상기 제2 프로세싱 챔버들, 및 상기 제3 프로세싱 챔버들의 순서로 상기 기판을 이송 및 프로세싱하도록 구성된 제어기
    를 포함하고,
    상기 복수의 제1 프로세싱 챔버들은 상기 복수의 제2 프로세싱 챔버들 및 상기 복수의 제3 프로세싱 챔버들에 인접하고; 그리고
    상기 로드록 챔버는 상기 복수의 제2 프로세싱 챔버들 및 상기 제3 복수의 프로세싱 챔버들에 인접한,
    기판을 프로세싱하기 위한 클러스터 툴.
  19. 기판을 프로세싱하기 위한 클러스터 툴로서,
    로드 록 챔버;
    상기 로드 록 챔버에 커플링된 이송 챔버;
    상기 이송 챔버에 커플링된 복수의 제1 프로세싱 챔버들 ―상기 제1 프로세싱 챔버들 각각은 실리콘-함유 유전체 층의 유동성 층 증착을 수행할 수 있는 플라즈마 화학 기상 증착 챔버이고, 상기 제1 프로세싱 챔버들 중 적어도 하나는 고-밀도 플라즈마 CVD 챔버임―;
    상기 이송 챔버에 커플링된 복수의 제2 프로세싱 챔버들 ―상기 제2 프로세싱 챔버들 각각은 열 경화 프로세스를 수행할 수 있는 UV-광 경화 챔버임―;
    상기 이송 챔버에 커플링된 복수의 제3 프로세싱 챔버들 ―상기 제3 프로세싱 챔버들 각각은 플라즈마 경화 프로세스를 수행할 수 있는 유도성 결합 플라즈마(ICP; inductively coupled plasma) 챔버임―; 및
    상기 제1 프로세싱 챔버들, 상기 제2 프로세싱 챔버들, 및 상기 제3 프로세싱 챔버들의 순서로 상기 기판을 이송 및 프로세싱하도록 구성된 제어기
    를 포함하고,
    상기 복수의 제1 프로세싱 챔버들은 상기 복수의 제2 프로세싱 챔버들 및 상기 복수의 제3 프로세싱 챔버들에 인접하고; 그리고
    상기 로드록 챔버는 상기 복수의 제2 프로세싱 챔버들 및 상기 제3 복수의 프로세싱 챔버들에 인접한,
    기판을 프로세싱하기 위한 클러스터 툴.
KR1020197036675A 2017-05-13 2018-05-02 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들 KR102576563B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237030098A KR20230130177A (ko) 2017-05-13 2018-05-02 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762505857P 2017-05-13 2017-05-13
US62/505,857 2017-05-13
PCT/US2018/030699 WO2018212999A1 (en) 2017-05-13 2018-05-02 Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237030098A Division KR20230130177A (ko) 2017-05-13 2018-05-02 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들

Publications (2)

Publication Number Publication Date
KR20190141786A KR20190141786A (ko) 2019-12-24
KR102576563B1 true KR102576563B1 (ko) 2023-09-07

Family

ID=64097395

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197036675A KR102576563B1 (ko) 2017-05-13 2018-05-02 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
KR1020237030098A KR20230130177A (ko) 2017-05-13 2018-05-02 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237030098A KR20230130177A (ko) 2017-05-13 2018-05-02 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들

Country Status (6)

Country Link
US (2) US10707116B2 (ko)
JP (2) JP7168586B2 (ko)
KR (2) KR102576563B1 (ko)
CN (2) CN110622298B (ko)
TW (2) TWI782410B (ko)
WO (1) WO2018212999A1 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7168586B2 (ja) 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル
WO2019012797A1 (ja) * 2017-07-13 2019-01-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7085929B2 (ja) * 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
CN113330141B (zh) 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法
TW202108813A (zh) * 2019-06-08 2021-03-01 美商應用材料股份有限公司 可流動pecvd的低沉積速率
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
US11643724B2 (en) * 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI792005B (zh) 2019-07-23 2023-02-11 美商應用材料股份有限公司 可流動cvd薄膜之表面粗糙度
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
WO2021097022A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
US20210175075A1 (en) * 2019-12-09 2021-06-10 Applied Materials, Inc. Oxygen radical assisted dielectric film densification
US11901222B2 (en) * 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11508572B2 (en) * 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11615966B2 (en) * 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
TW202221764A (zh) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 集成可流動低k間隙填充及電漿處理
US11581203B2 (en) 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
JP2022111764A (ja) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 シリコン含有膜の形成方法及び処理装置
JPWO2022230944A1 (ko) * 2021-04-29 2022-11-03
US11862458B2 (en) * 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition
US20230265562A1 (en) * 2022-02-22 2023-08-24 Applied Materials, Inc. Stable silicon oxynitride layers and processes of making them
US20230386829A1 (en) * 2022-05-27 2023-11-30 Applied Materials, Inc. Low temperature silicon oxide gap fill

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070298585A1 (en) 2006-06-22 2007-12-27 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US20160244879A1 (en) 2015-02-23 2016-08-25 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
KR20030043724A (ko) * 2001-11-27 2003-06-02 엔이씨 일렉트로닉스 코포레이션 반도체 장치 제조 방법
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20080166498A1 (en) * 2007-01-10 2008-07-10 United Microelectronics Corp. Method of curing porous low-k layer
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
KR20090066936A (ko) * 2007-12-20 2009-06-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성방법
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8466067B2 (en) * 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
CN102959140B (zh) 2010-04-30 2016-01-20 佳能安内华股份有限公司 外延膜形成方法、真空处理设备、半导体发光元件制造方法、半导体发光元件和照明装置
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5521981B2 (ja) 2010-11-08 2014-06-18 豊田合成株式会社 半導体発光素子の製造方法
CN102477531B (zh) 2010-11-26 2015-03-25 鸿富锦精密工业(深圳)有限公司 被覆件及其制造方法
KR20120089792A (ko) * 2010-12-09 2012-08-13 노벨러스 시스템즈, 인코포레이티드 고 종횡비 트렌치의 바텀 업 충전
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9956743B2 (en) 2010-12-20 2018-05-01 The Regents Of The University Of California Superhydrophobic and superoleophobic nanosurfaces
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
CN102758172A (zh) 2011-04-27 2012-10-31 鸿富锦精密工业(深圳)有限公司 铁基合金表面镀膜方法及由该方法制得的镀膜件
US8575819B1 (en) 2011-07-18 2013-11-05 Integrated Device Technology, Inc. Microelectromechanical resonators with passive frequency tuning using built-in piezoelectric-based varactors
CN102896842A (zh) 2011-07-29 2013-01-30 鸿富锦精密工业(深圳)有限公司 镀膜件及其制造方法
US10109481B2 (en) * 2012-07-02 2018-10-23 Applied Materials, Inc. Aluminum-nitride buffer and active layers by physical vapor deposition
KR101942092B1 (ko) 2012-07-30 2019-01-25 한국전자통신연구원 유기발광소자 제조방법
KR102052936B1 (ko) * 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
FR3022070B1 (fr) * 2014-06-04 2016-06-24 Univ D'aix-Marseille Procede de texturation aleatoire d'un substrat semiconducteur
TWI595296B (zh) * 2014-09-23 2017-08-11 元太科技工業股份有限公司 顯示器
US9570287B2 (en) * 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9786496B2 (en) * 2015-08-17 2017-10-10 Lam Research Corporation Method of densifying films in semiconductor device
JP7168586B2 (ja) 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070298585A1 (en) 2006-06-22 2007-12-27 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US20160244879A1 (en) 2015-02-23 2016-08-25 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films

Also Published As

Publication number Publication date
CN110622298B (zh) 2023-09-22
TWI721270B (zh) 2021-03-11
JP7168586B2 (ja) 2022-11-09
US10707116B2 (en) 2020-07-07
WO2018212999A1 (en) 2018-11-22
US11152248B2 (en) 2021-10-19
TWI782410B (zh) 2022-11-01
KR20190141786A (ko) 2019-12-24
CN110622298A (zh) 2019-12-27
KR20230130177A (ko) 2023-09-11
JP2023017833A (ja) 2023-02-07
CN117524976A (zh) 2024-02-06
TW201909234A (zh) 2019-03-01
US20200286773A1 (en) 2020-09-10
US20180330980A1 (en) 2018-11-15
JP7458457B2 (ja) 2024-03-29
TW202135136A (zh) 2021-09-16
JP2020520120A (ja) 2020-07-02

Similar Documents

Publication Publication Date Title
KR102576563B1 (ko) 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
US9396986B2 (en) Mechanism of forming a trench structure
TWI676700B (zh) 用於高品質流動式化學氣相沉積膜之先進製程流程
KR101161098B1 (ko) 낮은 에칭 레이트 유전체 라이너들을 이용한 갭충진 향상
US9390914B2 (en) Wet oxidation process performed on a dielectric material formed from a flowable CVD process
US8466073B2 (en) Capping layer for reduced outgassing
KR101244850B1 (ko) 인장 응력 및 압축 응력을 받은 반도체용 재료
US20150118822A1 (en) Methods for silicon recess structures in a substrate by utilizing a doping layer
KR20120093139A (ko) 붕소 막 인터페이스 엔지니어링
TW201025447A (en) Manufacturing method of semiconductor device
KR102141670B1 (ko) 저온 경화 모듈러스 강화
US20200388483A1 (en) Methods of post treating silicon nitride based dielectric films with high energy low dose plasma
US9312167B1 (en) Air-gap structure formation with ultra low-k dielectric layer on PECVD low-k chamber
JP7331236B2 (ja) 誘電体材料を硬化させる方法及び装置
JP2007053276A (ja) 半導体装置の製造方法及び製造装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant