TW202221764A - 集成可流動低k間隙填充及電漿處理 - Google Patents

集成可流動低k間隙填充及電漿處理 Download PDF

Info

Publication number
TW202221764A
TW202221764A TW110127122A TW110127122A TW202221764A TW 202221764 A TW202221764 A TW 202221764A TW 110127122 A TW110127122 A TW 110127122A TW 110127122 A TW110127122 A TW 110127122A TW 202221764 A TW202221764 A TW 202221764A
Authority
TW
Taiwan
Prior art keywords
dielectric film
film
sidewall
dielectric
curing
Prior art date
Application number
TW110127122A
Other languages
English (en)
Inventor
金明宣
璟梅 梁
馬丁J 西蒙斯
麥可 史托菲
班傑明 哥倫布
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202221764A publication Critical patent/TW202221764A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1262Multistep manufacturing methods with a particular formation, treatment or coating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

茲提供在小尺寸的高深寬比(AR)結構中沉積膜之方法。所述方法提供可流動沉積以進行無縫間隙填充、藉由低溫感應耦合電漿(ICP)處理(<600 °C)進行膜緻密化、視情況的膜硬化,及回蝕而形成低k介電膜,該低k介電膜具有小於3之介電常數,k值。

Description

集成可流動低K間隙填充及電漿處理
大體而言,本揭示內容之實施例關於填充基板特徵之方法。更具體而言,本揭示內容之實施例涉及以無縫且接近自下而上之填充集成方法填充基板特徵之方法。
電晶體是大多數積體電路之關鍵組件。由於電晶體的驅動電流及其所致之速度與電晶體的閘極寬度成正比,因此較快的電晶體通常需要更大的閘極寬度。因此,需要在電晶體尺寸與速度之間權衡,且已開發「鰭式(fin)」場效應電晶體(finFET)以解決具有最大驅動電流和最小尺寸之電晶體的矛盾目標。FinFET的特徵在於鰭狀通道區域,鰭狀通道區域可在不顯著增加電晶體的足跡之情況下大幅增加電晶體的尺寸,且現在已被應用於許多積體電路中。然而,finFET有其自身的缺點。
隨著電晶體元件的特徵尺寸持續縮減以實現更大的電路密度和更高的效能,需要改良的電晶體元件結構以改善靜電耦合並降低諸如寄生電容和離態漏電(off-state leakage)等負面影響。電晶體元件結構的實例包括平面結構、鰭式場效應電晶體(finFET)結構及水平全環繞式閘極(hGAA)結構。hGAA元件結構包括數個晶格匹配通道,所述晶格匹配通道以層疊配置方式懸置,並藉由源極區域/汲極區域連接。hGAA結構提供了良好的靜電控制,且可廣泛適用於互補式金屬氧化物半導體(CMOS)晶圓製造。
寄生的子鰭片電容(parasitic sub-fin capacitance)及洩漏是GAA電晶體和FinFET的長期問題,使元件的性能降減。為了抑制洩漏和電容,需要隔離來阻擋電流路徑。在微電子元件製造中,需要以無孔隙方式填充深寬比(AR)大於10:1之窄溝槽/開口。傳統上使用低k材料之原子層沉積(ALD)。然而,此方法不能滿足減少洩漏所需之期望低介電常數(k),且會產生導致元件分層和過早損壞之接縫。隨著結構尺寸的減小和深寬比增加,因此需要替代現有低k介電膜之原子層沉積(ALD)之方法。
本揭示內容之一或多個實施例涉及處理方法。所述方法包含以下步驟:經由製程循環將介電膜形成於基板表面上,所述製程循環包含:將可流動低k介電層沉積於基板表面上,並將可流動低k介電層緻密化並形成介電膜;以及將介電膜的一部分去除。
本揭示內容之另一實施例涉及處理方法。所述處理方法包含以下步驟:將複數個膜堆疊形成於基板上,膜堆疊包含交替的矽鍺(SiGe)層和矽(Si)層;蝕刻膜堆疊以形成開口,開口從膜堆疊的頂部表面往底部表面延伸一深度,該開口具有由第一側壁和第二側壁界定之寬度;進行製程循環,包含:將介電膜沉積於開口的第一側壁、第二側壁及底部表面上,並將介電膜緻密化;以及將介電膜的一部分去除。
本揭示內容之其他實施例涉及非暫態電腦可讀取媒體,包括指令,當由製程腔室的控制器執行指令時,導致製程腔室執行以下操作:進行製程循環,包含:將介電膜沉積於從膜堆疊的頂部表面往底部表面延伸一深度之開口的第一側壁、第二側壁及底部表面上,並將介電膜緻密化;以及將介電膜的一部分去除;以及視情況,將介電膜硬化。
本揭示內容之另一實施例涉及處理方法。在一或多個實施例中,所述處理方法包含以下步驟:經由製程循環將介電膜形成於基板表面上,製程循環包含:將可流動低k介電層沉積於基板表面上,並將可流動低k介電層硬化以形成介電膜;以及將介電膜的一部分去除。
本揭示內容之進一步實施例涉及處理方法,包含以下步驟:將複數個膜堆疊形成於基板上,膜堆疊包含交替的矽鍺(SiGe)層和矽(Si)層;蝕刻膜堆疊以形成開口,開口從膜堆疊的頂部表面往底部表面延伸一深度,開口具有由第一側壁和第二側壁界定之寬度;進行製程循環,包含:將介電膜沉積於開口的第一側壁、第二側壁及底部表面上,並將介電硬化;以及將介電膜的一部分去除。
在描述本揭示內容的數個示例性實施例之前,應瞭解到本揭示內容不受限於下面說明書中所闡述的建置或處理程序的細節。本揭示內容能夠具有其他實施例,並能夠被由各種方式實作或執行。
如在此說明書及隨附申請專利範圍中所使用,術語「基板(substrate)」指的是表面,或表面的部分,其中製程在所述表面或表面的部分上進行。本案所屬技術領域中具通常知識者亦將理解的是,除非上下文另有明確指示,否則參照基板可僅指基板的一部分。此外,對沉積在基板上之參照可指裸基板和具有在其上沉積或形成的一或多個膜或特徵之基板二者。
如本文所用,「基板」指的是任何基板或形成於基板上之材料表面,在製造製程期間,在所述基板或形成於基板上之材料表面上進行膜處理。舉例而言,取決於應用,於上面可進行處理之基板表面可包括:諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、經碳摻雜的氧化矽、氮化矽、經摻雜的矽、鍺、砷化鎵、玻璃、藍寶石等材料,及任何其他材料(如金屬、金屬氮化物、金屬合金及其它導電材料)。基板可包括,但不限於,半導體晶圓。可將基板暴露於預處理製程,以研磨、蝕刻、還原、氧化、羥基化(或者產生或嫁接目標化學部分,以賦予化學官能性)、退火及/或烘烤基板表面。除了在基板本身的表面上直接進行膜處理之外,在本揭示內容中,也可在形成於基板上的下方層(underlayer)上進行本文所揭示的任何膜處理程序(如下文更詳細地揭示),且術語「基板表面」欲包括前後文所指的此類下方層。因此,舉例而言,當膜/層或部分膜/層已被沉積至基板表面上,新沉積之膜/層的暴露表面便成為基板表面。給定的基板表面包含什麼將取決於待沉積的膜還有所使用的特定化學物質。
如本說明書及隨附申請專利範圍所用,術語「前驅物」、「反應物」、「反應性氣體」等可互換使用,以指稱可與基板表面反應之任何氣態物種。
電晶體是通常形成於半導體元件上之電路組件或單元。取決於電路設計,除了電容器、電感器、電阻器、二極體、導線或其他單元以外,還有電晶體形成於半導體元件上。通常,電晶體包括形成於源極區域與汲極區域之間的閘極。在一或多個實施例中,源極區域和汲極區域包括基板的經摻雜區域,並表現出適合於特定應用之摻雜輪廓。閘極位於通道區域上方,且包括閘極介電質,而閘極介電質插設於閘極電極與基板中之通道區域之間。
如本文所用,術語「場效應電晶體」或「FET」指的是使用電場來控制元件的電氣行為之電晶體。增強模式場效應電晶體通常在低溫下顯現非常高的輸入阻抗。汲極終端與源極終端之間的導電率受元件中之電場的控制,所述電場是由元件的主體與閘極之間的電壓差所產生。FET的三個端部為:源極(S),載體通過源極進入通道;汲極(D),載體通過汲極離開通道;和閘極(G),閘極端用於調節通道導電率。通常,在源極(S)處進入通道的電流被標記為I S,且在汲極(D)處進入通道的電流被標記為I D。汲極-至-源極電壓被標記為V DS。藉由對閘極(G)施加電壓,可控制在汲極處進入通道之電流(即,I D)。
金屬氧化物半導體場效應電晶體(MOSFET)是場效應電晶體(FET)的一個類型。它具有絕緣的閘極,其電壓決定了元件的導電率。這種以施加電壓量來改變導電率的能力被用來放大或切換電子訊號。MOSFET是基於主體電極與閘極電極之間的金屬氧化物半導體(MOS)電容對電荷濃度之調節,所述閘極電極位於主體上方並藉由閘極介電層與所有其他元件區域絕緣。相較於MOS電容器,MOSFET包括兩個額外端部(源極和汲極),各所述額外端部連接至由主體區域分隔之高度摻雜區域。這些區域可為p型也可為n型,但它們都是相同型,且與主體區域的型相反。在摻雜的型後方以「+」符號表示源極和汲極(不同於主體)被高度摻雜。
若MOSFET為n-通道或nMOS FET,則源極和汲極為n+區域且主體為p區域。若MOSFET為p-通道或pMOS FET,則源極和汲極為p+區域且主體為n區域。之所以這樣稱呼源極是因為它是流過通道之電荷載體(對n-通道而言是電子,對p-通道而言是電洞)的源頭;類似地,汲極是電荷載體離開通道處。
如本文所用,術語「鰭式場效應電晶體 (finFET)」指的是建構在基板上的MOSFET電晶體,其中閘極位於通道的兩側或三側,形成雙重閘極結構或三重閘極結構。因為通道區域在基板上形成「鰭」,因此FinFET元件的通用名稱為FinFET。FinFET元件具有快速切換時間及高電流密度。
如本文所用,術語「環繞式閘極(gate all-around;GAA)」用於指稱電子元件(如,電晶體),其中閘極材料繞通道區域的所有側面。GAA電晶體的通道區域可包括奈米導線或奈米板塊(nano-slab)、桿狀通道或本案所屬技術領域中具有通常知識者所知之其他合適通道配置。在一或多個實施例中,GAA元件的通道區域具有垂直間隔的多重水平奈米線或水平桿,從而使GAA電晶體成為堆疊的水平全環繞式閘極(hGAA)電晶體。
如本文所用,術語「奈米導線(nanowire)」指的是具有奈米級(10 −9公尺)的直徑之奈米結構。奈米導線也可定義為長度對寬度的比例大於1000。或者,奈米導線可定義為厚度或直徑限定在數十奈米或更小且長度不受限之結構。奈米導線用於電晶體和一些雷射應用中,且在一或多個實施例中,由半導體材料、金屬材料、絕緣材料、超導材料或分子材料製成奈米導線。在一或多個實施例中,奈米導線用於邏輯CPU、GPU、MPU及揮發性(如,DRAM)和非揮發性(如,NAND)元件之電晶體中。
寄生的子鰭片電容(parasitic sub-fin capacitance)及洩漏是GAA電晶體的長期問題,使元件的性能降減。為了抑制洩漏和電容,需要隔離來阻擋電流路徑。可使用低k材料之原子層沉積(ALD)。然而,此方法不能滿足減少洩漏所需之期望低介電常數(k),如,小於4的介電常數(k),且還會產生導致元件分層和過早損壞之接縫。ALD低k不夠堅固(緻密),無法在下游乾式及/或濕式蝕刻製程中維持其初始膜厚度。因此,一或多個實施例提供了以可流動低k(k < 3)介電材料完全地間隙填充GAA電晶體的緊密間距和HAR結構、將膜緻密化及選擇性地回蝕以將膜精準地放置在期望區塊內之方法。一或多個實施例之方法提供低k材料進行無接縫且具有小於3的介電常數,k值,之間隙填充。在一或多個實施例中,所述方法為可與緊密間距和高深寬比結構相容之可流動製程。
本揭示內容之實施例提供在小尺寸的高深寬比(AR)結構中沉積膜的方法。一些實施例有利地提供涉及循環式沉積-電漿處理-蝕刻製程的方法,所述方法可在群集工具環境中執行。在一或多個實施例中,電漿處理能使膜對濕氣的暴露最小化,並有效率地將膜緻密化。在一或多個實施例中,選擇性回蝕消除了等待時間(q-time)並抑制培養期(incubation)以提供嚴謹控制。在一或多個實施例中,將三種製程集合在不會破壞真空之單一工具內可引入零等待時間從而實現更好的製程控制。一些實施例有利地提供無接縫高品質膜以填滿小尺寸的高深寬比(AR)溝槽/開口。
參照圖式描述本揭示內容之一或多個實施例。在一或多個實施例之方法中,使用標準製程流製造環繞式閘極電晶體。在一或多個實施例中,藉由選擇性地去除源極、閘極和汲極下方之犧牲層使區塊準備好進行隔離填充後,使用低k間隙填充製程將可流動膜沉積進入閘極和汲極下方之源極。膜可硬化並接著藉由電漿處理而緻密化,或者可先緻密化接著再硬化。這可整合在群集工具中完成而不破壞真空。或者,可在一個工具上沉積膜,並接著破壞真空,並在另一個或多個工具上緻密化和硬化。在不破壞真空的情況下,電漿處理將低k膜緻密化,以提升濕式和乾式蝕刻抗性。在不破壞真空的情況下,選擇性蝕刻選擇性地去除過量的(過度負擔的)低k材料,以精準地控制隔離的容積。在其他實施例中,在破壞真空後進行選擇性蝕刻。
第1圖顯示有特徵116之電子元件100的部分剖面視圖。為了說明目的,圖式顯示具有單一特徵之電子元件;然而,本案所屬技術領域中具通常知識者會瞭解可有超過一個特徵。特徵116的形狀可為任何適合的形狀,包括但不限於溝槽與介層孔(via)。在一或多個實施例中,電子元件100包括位於基板表面102上之複數個鰭片101和複數個閘極112。
在這方面所用之術語「特徵(feature)」意味任何刻意的表面不規則。特徵的適合範例包括,但不限於:溝槽及尖峰,溝槽具有頂部、兩個側壁及底部,而尖峰具有頂部及兩個側壁。特徵可具有任何適合的深寬比(特徵的深度對特徵的寬度之比)。在一些實施例中,深寬比為大於或等於約5:1、約10:1、約15:1、約20:1、約25:1、約30:1、約35:1或約40:1。
在一或多個實施例中,至少一個特徵116從複數個鰭片101的頂部表面115往底部表面117延伸至特徵深度D f。至少一個特徵116具有第一側壁118及第二側壁120,所述第一側壁118及第二側壁120界定至少一個特徵116的寬度W。在一或多個實施例中,由側壁118、116及底部117形成之開口區域也稱為間隙。在一或多個實施例中,寬度W沿著至少一個特徵116的深度D f是均勻的。在其他實施例中,在至少一個特徵116的頂部處之寬度,W,大於至少一個特徵116的底部表面117處之寬度,W。
在一或多個實施例中,至少一個特徵116包含記憶體空洞(memory hole)或字元線狹縫(word line slit)。因此,在一或多個實施例中,電子元件100包含環繞式閘極(GAA)電晶體。
在一或多個實施例中,半導體基板102可為任何合適的基板材料。在一些實施例中,基板102可為整塊半導體基板(bulk semiconductor substrate)。如本文所用,術語「整塊半導體基板(bulk semiconductor substrate)」指的是其中基板的整體由半導體材料構成之基板。整塊半導體基板可包含任何合適的半導體材料及/或用於形成半導體結構之半導體材料的組合。舉例而言,半導體層可包含一或多種材料,如晶態矽(如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、經摻雜的或未經摻雜的多晶矽、經摻雜的或未經摻雜的矽晶圓、經圖案化或不經圖案化的晶圓、摻雜的矽、鍺、砷化鎵或其他合適的半導體材料。在一些實施例中,半導體材料為矽(Si)。在一或多個實施例中,半導體基板102包含半導體材料,如,矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、其他半導體材料或前述者之任何組合。在一或多個實施例中,基板102包含以下一或多者:矽(Si)、鍺(Ge)、鎵(Ga)、砷(As)或磷(P)。儘管本文描述了可形成基板之材料的一些實例,但可作為被動式和主動式電子元件(如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電元件或任何其他電子元件)之基礎的任何材料可落在本揭示內容之精神與範疇內。
在一些實施例中,半導體材料可為經摻雜的材料,如經n型摻雜的矽(n-Si)或經p型摻雜的矽(p-Si)。在一些實施例中,可使用諸如離子佈植製程等任何合適的製程來摻雜基板。如本文所用,術語「n型」指的是在製造期間藉由以電子供體元素摻雜本徵半導體(intrinsic semiconductor)而生成之半導體。術語n型來自電子的負電荷。在n型半導體中,電子為多數載體而電洞為少數載體。如本文所用,術語「p型」指的是井(或電洞)的正電荷。與n型半導體相反,p型半導體的電洞濃度大於電子濃度。在p型半導體中,電洞為多數載體而電子為少數載體。在一或多個實施例中,摻質選自以下一或多者:硼(B)、鎵(Ga)、磷(P)、砷(As)、其他半導體摻質或前述者之組合。在一些實施例中,為了避免寄生底部元件啟動,可摻雜基板以在基板102的表面之第一位置處提供高劑量的摻質。在一或多個實施例中,將超晶格結構105形成在所述第一位置之頂部。舉例而言,在一些實施例中,基板的表面可具有約10 18個原子/cm 3至約10 19個原子/cm 3之摻質密度。
在一或多個實施例中,鰭片101包含形成於基板102的頂部表面的頂部之至少一個超晶格結構105(如第1圖中所描繪)。超晶格結構105包含交替排列成複數個堆疊對(stacked pair)之複數個犧牲層104及對應的複數個通道層106。在一些實施例中,複數個堆疊的層之群組包含矽(Si)群組和矽鍺(SiGe)群組。在一些實施例中,複數個犧牲層104及對應的複數個通道層106可包含適於形成超晶格結構105之任何數目的晶格匹配材料對。在一些實施例中,複數個犧牲層104及對應的複數個通道層106包含晶格匹配材料之約2個至約50個對。
通常,寄生元件將存在於超晶格結構105的底部處。在一些實施例中,如上文所討論,佈植在基板中之摻質被用於抑制寄生元件的啟動。在一些實施例中,基板102經蝕刻,使得超晶格結構105的底部部分包括未被去除的基板部分,從而允許所述基板部分作為超晶格結構105的底部釋放層。
在一或多個實施例中,犧牲層104及通道層106的厚度在一些實施例中在約2 nm至約50 nm的範圍內、在約3 nm至約20 nm的範圍內,或在約2nm至約15 nm的範圍內。在一些實施例中,犧牲層104的平均厚度在通道層106的平均厚度之0.5至2倍以內。
在一些實施例中,在超晶格結構105上方及鄰近處形成替代閘極結構(如,仿閘極結構)。仿閘極結構界定電晶體元件的仿閘極112。可使用本案所屬技術領域中已知的任何合適的常規沉積和圖案化製程來形成仿閘極結構。
在一或多個實施例中,鰭片101包含閘極氧化物層110,閘極氧化物層110位於第一材料104及第二材料106之複數個交替層對的頂部表面上。閘極氧化物層110可包含本案所屬技術領域中具通常知識者所知的任何合適的材料。圖解之實施例將閘極氧化物層110繪示為具有均勻形狀之共形層。然而,本案所屬技術領域中具通常知識者將認知到,這僅用於解說目的,且可以等向性方式形成閘極氧化物層110,使得閘極氧化物層110具有圓形外觀。在一或多個實施例中,閘極氧化物層110包含氧化矽(SiO x)。儘管術語「氧化矽」可用於描述閘極氧化物層110,但本案所屬技術領域中具通常知識者將認知到本揭示內容不限於特定的化學計量。舉例而言,術語「氧化矽」和「二氧化矽」均可用於描述具有任何合適化學計量比之矽和氧原子之材料。對於本揭示內容中列出之其他材料,如氮化矽、氧氮化矽、氧化鎢、氧化鋯、氧化鋁、氧化鉿等,同樣如此。
在一或多個實施例中,通道106位於閘極氧化物110的頂部表面上。如本文所用,術語「通道」指稱作為電導體之材料層。在一或多個實施例中,通道106包含以下一或多種:矽、多晶矽、非晶矽、經摻雜矽、應變矽、絕緣體上矽(SOI)、經碳摻雜的二氧化矽、SiGe、鍺、砷化鎵、GaN、InP、碳奈米管等等。
在一些實施例中,沿著通道106的外側壁形成側壁間隔物108。一些實施例之側壁間隔物118包含本案所屬技術領域中已知的合適絕緣材料,例如,氮碳化矽(SiCN)、氮碳硼化矽(SiBCN)、氮碳氧化矽(SiOCN)等等。在一或多個實施例中,絕緣層114,如用於圖案化或形成仿閘極結構之硬遮罩,位於仿閘極112的頂部表面上。絕緣層114可包含本案所屬技術領域中具通常知識者所知的任何合適材料。在一或多個實施例中,絕緣層114包含氮化矽(SiN)、氧化矽(SiOx)或前述者之組合(如,堆疊在另一者的頂部上)。
第2A至2D圖繪示根據本揭示內容之一或多個實施例之電子元件的部份剖面視圖。第4圖繪示根據一或多個實施例之方法200的製程流作圖。請參見第2A至2D圖及第4圖,在一或多個實施例中,將至少一個特徵116形成於電子元件100上。在一些實施例中,提供電子元件100進行處理。就此所用,術語「提供」意指將基板放置在適當的位置或環境中以進行進一步的處理。在一或多個實施例中,電子元件100具有已經形成在電子元件100上之至少一個特徵116。在其他實施例中,於操作202,將至少一個特徵116形成在電子元件100上。在一或多個實施例中,至少一個特徵從基板表面向底部表面延伸一特徵深度(feature depth),D f,所述至少一個特徵具有由第一側壁118及第二側壁120界定之寬度,W。
在一或多個實施例中,於操作204,將膜122形成在基板表面102和至少一個特徵116的側壁118、120及底部117上。在一或多個實施例中,膜122可具有位於至少一個特徵116的寬度,W,內之孔隙或間隙或接縫125。
在一或多個實施例中,膜122可由任何合適的材料構成。在一些實施例中,膜122包含可流動低k介電材料。在一或多個實施例中,膜122包括介電材料,所述介電材料具有之介電常數,ĸ值,小於4,或小於3,或小於2。在一或多個實施例中,膜122所包括之介電材料具有小於3的ĸ值。在至少一些實施例中,膜122包括氧化物、摻碳的氧化物、多孔二氧化矽、碳化物、碳氧化物、氮化物、氮氧化物、氮碳氧化物、聚合物、磷矽酸鹽玻璃、摻氟的二氧化矽、有機矽酸鹽玻璃(OSG)、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、旋塗有機聚合物介電質、旋塗矽系聚合物介電材料、氣隙(air gap)或前述者之任何組合、由電子元件設計決定之其他電絕緣層,或前述者之任何組合。在至少一些實施例中,膜122可包括聚醯亞胺、環氧樹脂、如苯并環丁烯(benzocyclobutene;BCB)等光可界定材料(photodefinable material),及WPR系列材料,或旋塗玻璃。
在一或多個實施例中,藉由可流動化學氣相沉積(FCVD)或電漿增強化學氣相沉積(PECVD)來形成膜122。
一些實施例之PECVD製程包含:將基板表面暴露於反應性氣體(reactive gas)或反應氣體(reactant gas)。反應性氣體可包括一或多個物種之混合物。在一或多個實施例中,反應氣體包含以下一或多者:氬(Ar)、氧(O 2)、氫(H 2)、氮(N 2)、氫/氮(H 2/N 2)及氨(NH 3)。
在一或多個實施例中,一些實施例之PECVD製程包含將基板表面暴露於前驅物或多種前驅物的混合物。在一些實施例中,前驅物可包本案所屬技術領域中具通常知識者所知之含任何合適的有機矽烷。在其他實施例中,前驅物或多種前驅物的混合物選自以下一或多者:矽氧烷、碳-矽烷、八甲基環四矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTS)、十八烷基三氯矽烷(octadecyltrichlorosilane;OTS)、六甲基二矽氧烷(HMDSO)、四甲基二矽氧烷(tetramethyldisiloxane;TMDSO)、四乙氧基矽烷(TEOS)、雙(三甲基甲矽烷基)甲烷(BTMSM)、亞甲基雙(二甲基矽烷)、亞甲基雙(甲基矽烷)、亞甲基二矽烷、矽烷、二矽烷及三矽烷胺(trisilylamine)。在具體實施例中,前驅物或多種前驅物的混合物包含OMCTS及TMOS中之一或多者。
電漿氣體可為任何合適的氣體,所述氣體可被點燃而形成電漿及/或可做為前驅物之載體或稀釋劑。在一或多個實施例中,電漿氣體包含氨(NH 3)、氧(O 2)及氧化亞氮(N 2O)中之一或多者,且電漿氣體可用作電漿處理以活化所述前驅物中之一或多種。
在一或多個實施例中,高電漿密度可解離反應氣體(如,氬(Ar)、氧(O 2)、氫(H 2)、氮(N 2)、氫/氮(H 2/N 2)及氨(NH 3))以產生自由基,然後自由基與腔室內下游之其他前驅物反應,以在基板102上產生可流動的低k介電膜122。在一或多個實施例中,此可流動沉積抑制特徵116中之任何間隙填充孔隙或接縫。
在一或多個實施例中,電漿包含以下一或多者:氮(N 2)、氬(Ar)、氦(He)、氫(H 2)、一氧化碳(CO)、氧(O 2)、氨(NH 3)、氧化亞氮(N 2O)、二氧化碳(CO 2)或氧(O 2)。在一些實施例中,電漿為遠端電漿。
在一或多個實施例中,可在製程腔室遠端產生電漿或在製程腔室內產生電漿。
在一或多個實施例中,在從0.1毫托至10托或從0.5托至5.0托的壓力範圍下之製程容積中執行沉積製程,所述壓力包括約0.1毫托、約1毫托、約10毫托、約100毫托、約500毫托、約1托、約2托、約3托、約4托、約5托、約6托、約7托、約8托、約9托及約10托之壓力。
含前驅物氣體混合物可進一步包括一或多種稀釋氣體,選自氦(He)、氬(Ar)、氙(Xe)、氮(N 2)或氫(H 2)。一些實施例之稀釋氣體包含相對於反應物及基板材料為惰性氣體之化合物。
可從頂部電極和底部電極中之任一者或側電極形成電漿(如,電容耦合電漿)。電極可由單供電電極、雙供電電極或具有多重頻率(例如,但不限於:350 KHz、2 MHz、13.56 MHz、27 MHz、40 MHz、60 MHz及100 MHz)之更多電極形成,在CVD系統中交替或同步使用所述頻率,伴隨任一或所有本文所列之反應氣體來沉積介電質薄膜。在一些實施例中,電漿為電容耦合電漿(CCP)。在一些實施例中,電漿為感應耦合電漿(inductively coupled plasma;ICP)。在一些實施例中,電漿為微波電漿。
在一或多個實施例中,電漿為感應耦合電漿(ICP)或導電耦合電漿(conductively coupled plasma;CCP)。可取決於例如,反應物或其他製程條件,來使用任何合適的功率。在一些實施例中,以約10 W至約10 kW之範圍中的電漿功率產生電漿。
在一或多個實施例中,可在任何合適的溫度下形成可流動膜122。在一些實施例中,在約-10 °C至約600 °C的範圍內之溫度下形成可流動膜122。
在一些實施例中,將膜122共形地形成於至少一個特徵116上。如本文所用,術語「共形(conformal)」或「共形地(conformally)」意指附著至暴露的表面並均勻地覆蓋暴露的表面之層的厚度相對於膜的平均厚度之變化小於1%。舉例而言,厚度為1,000 Å之膜的厚度之變化小於10 Å。此厚度及變化包括凹部的邊緣、轉角、側面及底部。舉例而言,在本揭示內容之各種實施例中由CVD沉積的共形層將在被沉積區域上方提供複雜表面上之基本均勻厚度之覆蓋。
在一些實施例中,膜122為連續性膜。如本文所用,「連續性(continuous)」指的是覆蓋整個暴露表面而沒有露出沉積層下方的材料之間隙或裸露點之層。連續性層可具有間隙或裸露點,所述間隙或裸露點的表面積小於膜的整體表面積的約1%。
本揭示內容之一些實施例涉及用於自下而上間隙填充特徵116之方法。自下而上間隙填充製程從底部填充特徵116,相比之下,共形製程從底部和側面填充特徵116。可流動膜122填充至少一個特徵116,致使在最終元件中實質上無接縫形成。接縫125是在特徵116的側壁118、120之間但不一定在中間形成於特徵116中之間隙。就這方面所用之術語「實質上無縫隙」意謂著在側壁118、120之間的膜122中形成之任何間隙小於側壁的橫截面積之約1%。
在一或多個實施例中,可流動膜122沉積在基板102上(基板的溫度可從-10 ºC至600 ºC),且由於可流動膜122之可流動性,可流動膜122流經溝槽並形成間隙填充物。
請參見第4圖,於操作206,藉由使用離子轟擊能量之低溫感應耦合電漿(ICP)處理(<600 °C)將膜122緻密化。在一或多個實施例中,ICP處理不只增進膜品質(如低的濕式蝕刻速度),還增進電特性(如洩漏電流/擊穿電壓)。
於操作208,視情況將膜122硬化。可由本案所屬技術領域中具通常知識者所知之任何合適的手段來硬化膜122。在一些實施例中,可由紫外線(UV)能量將膜122硬化。在一或多個實施例中,藉由將可流動低k膜122暴露於紫外線(UV)光而使膜122硬化。在其他實施例中,可藉由熱處理硬化膜,例如,藉由在惰性(Ar、He、N 2、Xe)或NH 3環境中將膜加熱至300 °C至600 °C。具體而言,可藉由快速熱處理(RTP)或在批次料爐中硬化膜122。此外,可利用其他硬化方法,包括但不限於:暴露於微波輻射。
在一或多個實施例中,於決策點210,判斷膜122是否已達成預定厚度且無接縫。若未達成預定厚度,則方法200返回操作204,以繼續形成膜122直到達成預定厚度及接縫閉合。第2A至2D圖繪示沉積可流動膜122之多重製程循環。一旦達成預定厚度,方法200行進至操作212。
請參見第3及4圖,於操作212,從特徵的側壁118、120蝕刻膜122,以於基板上形成低k膜124。蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程或二者之組合。在此類實施例中,乾式蝕刻製程可包括習用電漿蝕刻或遠端電漿輔助乾式蝕刻製程,如可由位於加州聖大克勞拉市的應用材料公司獲得之SiCoNi TM蝕刻製程。在SiCoNi TM蝕刻製程中,將表面暴露於H 2、NF 3及/或NH 3電漿物種,如,經電漿激發的氫及氟物種。舉例而言,在一些實施例中,表面229可能同時暴露於H 2、NF 3及NH 3電漿。可在SiCoNi TM腔室中進行SiCoNi TM蝕刻製程,SiCoNi TM腔室可整合至各種多重處理平台中之一種,所述多重處理平台包括來自Applied Materials ®的Centura ®、Dual ACP、Producer ®GT和Endura ®平台。濕式蝕刻製程可包括氫氟(HF)酸延續製程,即,在所謂「HF延續(HF last)」製程中進行膜122的HF蝕刻而留下以氫為終端之膜124。蝕刻製程可基於電漿或基於熱。電漿製程可為任何合適的電漿(如,導電耦合式電漿、感應耦合式電漿、微波電漿)。
在一或多個實施例中,在蝕刻後形成之低k膜124具有實質上均勻的厚度。如本文所用,術語「實質上均勻的」意指在所形成之膜的±5 nm、±4 nm、±3 nm、±2 nm或±1 nm以內之膜厚度。
第5圖繪示根據一或多個實施例之方法220的製程流作圖。請參見第2A至2D圖及第5圖,在一或多個實施例中,將至少一個特徵116形成於電子元件100上。在一些實施例中,提供電子元件100進行處理。在一或多個實施例中,電子元件100具有已經形成在電子元件100上之至少一個特徵116。在其他實施例中,於操作222,將至少一個特徵116形成在電子元件100上。在一或多個實施例中,至少一個特徵從基板表面向底部表面延伸一特徵深度,D f,所述至少一個特徵具有由第一側壁118及第二側壁120界定之寬度,W。
在一或多個實施例中,於操作224,將膜122形成在基板表面102和至少一個特徵116的側壁118、120及底部117上。在一或多個實施例中,膜122可具有位於至少一個特徵116的寬度,W,內之孔隙或間隙或接縫125。
請參見第5圖,於操作226,將膜122硬化。可由本案所屬技術領域中具通常知識者所知之任何合適的手段來硬化膜122。在一些實施例中,可由紫外線(UV)能量將膜122硬化。在一或多個實施例中,藉由將可流動低k膜122暴露於紫外線(UV)光而使膜122硬化。在其他實施例中,可藉由熱處理硬化膜,例如,藉由在惰性(Ar、He、N 2、Xe)或NH 3環境中將膜加熱至300 °C至600 °C。具體而言,可藉由快速熱處理(RTP)或在批次料爐中硬化膜122。此外,可利用其他硬化方法,包括但不限於:暴露於微波輻射。
於操作228,視情況藉由使用離子轟擊能量之低溫感應耦合電漿(ICP)處理(<600 °C)將膜122緻密化。在一或多個實施例中,ICP處理不只增進膜品質(如低的濕式蝕刻速度),還增進電特性(如洩漏電流/擊穿電壓)。
在一或多個實施例中,於決策點230,判斷膜122是否已達成預定厚度且無接縫。若未達成預定厚度,則方法220返回操作224,以繼續形成膜122直到達成預定厚度及接縫閉合。
在一或多個實施例中,於操作232,從特徵的側壁118、120蝕刻膜122,以於基板上形成低k膜124。蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程或二者之組合。
第6圖繪示根據一或多個實施例之方法240的製程流作圖。請參見第2A至2D圖及第6圖,在一或多個實施例中,將至少一個特徵116形成於電子元件100上。在一些實施例中,提供電子元件100進行處理。在一或多個實施例中,電子元件100具有已經形成在電子元件100上之至少一個特徵116。在其他實施例中,於操作242,將至少一個特徵116形成在電子元件100上。在一或多個實施例中,至少一個特徵從基板表面向底部表面延伸一特徵深度,D f,所述至少一個特徵具有由第一側壁118及第二側壁120界定之寬度,W。
在一或多個實施例中,於操作244,將膜122形成在基板表面102和至少一個特徵116的側壁118、120及底部117上。在一或多個實施例中,膜122可具有位於至少一個特徵116的寬度,W,內之孔隙或間隙或接縫125。
請參見第6圖,於操作246,藉由使用離子轟擊能量之低溫感應耦合電漿(ICP)處理(<600 °C)將膜122緻密化。在一或多個實施例中,ICP處理不只增進膜品質(如低的濕式蝕刻速度),還增進電特性(如洩漏電流/擊穿電壓)。
在一或多個實施例中,於決策點248,判斷膜122是否已達成預定厚度且無接縫。若未達成預定厚度,則方法240返回操作244,以繼續形成膜122直到達成預定厚度及接縫閉合。
一旦獲得期望厚度,於操作250,視情況將膜122硬化。可由本案所屬技術領域中具通常知識者所知之任何合適的手段來硬化膜122。在一些實施例中,可由紫外線(UV)能量將膜122硬化。在一或多個實施例中,藉由將可流動低k膜122暴露於紫外線(UV)光而使膜122硬化。在其他實施例中,可藉由熱處理硬化膜,例如,藉由在惰性(Ar、He、N 2、Xe)或NH 3環境中將膜加熱至300 °C至600 °C。具體而言,可藉由快速熱處理(RTP)或在批次料爐中硬化膜122。此外,可利用其他硬化方法,包括但不限於:暴露於微波輻射。
在一或多個實施例中,於操作252,從特徵的側壁118、120蝕刻膜122,以於基板上形成低k膜124。蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程或二者之組合。
第7圖繪示根據一或多個實施例之方法260的製程流作圖。請參見第2A至2D圖及第7圖,在一或多個實施例中,將至少一個特徵116形成於電子元件100上。在一些實施例中,提供電子元件100進行處理。在一或多個實施例中,電子元件100具有已經形成在電子元件100上之至少一個特徵116。在其他實施例中,於操作262,將至少一個特徵116形成於電子元件100上。在一或多個實施例中,至少一個特徵從基板表面向底部表面延伸一特徵深度,D f,所述至少一個特徵具有由第一側壁118及第二側壁120界定之寬度,W。
在一或多個實施例中,於操作264,將膜122形成在基板表面102和至少一個特徵116的側壁118、120及底部117上。在一或多個實施例中,膜122可具有位於至少一個特徵116的寬度,W,內之孔隙或間隙或接縫125。
請參見第7圖,於操作266,將膜122硬化。可由本案所屬技術領域中具通常知識者所知之任何合適的手段來硬化膜122。在一些實施例中,可由紫外線(UV)能量將膜122硬化。在一或多個實施例中,藉由將可流動低k膜122暴露於紫外線(UV)光而使膜122硬化。在其他實施例中,可藉由熱處理硬化膜,例如,藉由在惰性(Ar、He、N 2、Xe)或NH 3環境中將膜加熱至300 °C至600 °C。具體而言,可藉由快速熱處理(RTP)或在批次料爐中硬化膜122。此外,可利用其他硬化方法,包括但不限於:暴露於微波輻射。
在一或多個實施例中,於決策點268,判斷膜122是否已達成預定厚度且無接縫。若未達成預定厚度,則方法260返回操作264,以繼續形成膜122直到達成預定厚度及接縫閉合。
一旦獲得期望厚度,於操作270,視情況藉由使用離子轟擊能量之低溫感應耦合電漿(ICP)處理(<600 °C)將膜122緻密化。在一或多個實施例中,ICP處理不只增進膜品質(如低的濕式蝕刻速度),還增進電特性(如洩漏電流/擊穿電壓)。
在一或多個實施例中,於操作272,從特徵的側壁118、120蝕刻膜122,以於基板上形成低k膜124。蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程或二者之組合。
本揭示內容之額外實施例涉及如第3圖所示之處理工具300,用於形成GAA元件並用於本文所述之方法。可使用各種多重處理平台,包括來自Applied Materials ®的Centura ®、Dual ACP、Producer ®GT和Endura ®平台還有其他處理系統。請參見第8圖,群集工具300包括至少一個中央移送站314,所述中央移送站314有複數個側邊。機器人316安置在中央移送站314內並經配置以移動機器人葉片和晶圓至所述複數個側邊中之各側邊。
通常,群集工具是模組化系統,包含進行各種功能的多個腔室,所述功能包括:基板定心及定向、脫氣、退火、沉積及/或蝕刻。根據一或多個實施例,群集工具包括至少一第一腔室及中央移送腔室。中央移送腔室可容納機器人,該機器人在製程腔室與裝載閘腔室之間來回傳送基板。通常將移送腔室維持在真空條件下,並提供中間階段(intermediate stage),用於將基板從一個腔室傳送至另一腔室,及/或傳送至位在群集工具的前端之裝載閘腔室。然而,可因應執行本文所述之製程的特定步驟的目的而更改腔室的確切佈置及組合。可用的其他處理腔室包括,但不限於:循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、諸如RTP之類的熱處理、電漿氮化、脫氣、定向、羥化及其他基板製程。藉由在群集工具上之腔室中施行製程,可避免氣氛雜質(atmospheric impurities)對基板產生的表面污染,而不需在沉積後續膜之前進行氧化。
請參見第8圖,群集工具300包含連接至中央移送站之複數個製程腔室308、310及312,亦稱作處理站。各種製程腔室提供與相鄰處理站隔離之獨立處理區域。製程腔室可為任何合適的腔室,包括但不限於:預清潔腔室、沉積腔室、退火腔室、蝕刻腔室、選擇性蝕刻腔室等等。可取決於群集工具而改變製程腔室和部件之具體佈置,且不應被視為限制本揭示內容之範疇。
在一些實施例中,群集工具300包括用於選擇性地蝕刻/修整半導體材料層224之等向性蝕刻腔室。一些實施例之等向性蝕刻腔室包含一或多個氟系乾式蝕刻腔室。在一些實施例中,群集工具300包括預清潔腔室,所述預清潔腔室連接至中央移送站。
在第8圖所示之實施例中,工廠介面318連接至群集工具300的前側。工廠介面318包括用於在工廠介面318的前側319上裝載和卸載之腔室302。
可根據,例如,在群集工具300中待處理之基板而改變裝載腔室及卸載腔室302的尺寸和形狀。在所示之實施例中,裝載腔室及卸載腔室302的尺寸被設置以固持晶圓匣,其中複數個晶圓安置於所述匣內。
機器人304位於工廠介面318內且可在裝載與卸載腔室302之間移動。機器人304能夠通過工廠介面318將晶圓從裝載腔室302中之匣傳送至裝載閘腔室320。機器人304也能夠通過工廠介面318將晶圓從裝載閘腔室320傳送至卸載腔室302中之匣。
一些實施例的機器人316為能夠一次獨自移動超過一個晶圓之多臂機器人。機器人316經配置以在環繞移送腔室314之腔室之間移動晶圓。各晶圓被乘載於晶圓輸送葉片上,所述晶圓輸送葉片位於第一機器人式機構的遠端。
系統控制器357與機器人316和複數個製程腔室308、310及312通訊。系統控制器357可為任何合適的部件,其可控制製程腔室和機器人。舉例而言,系統控制器357可為包括中央處理單元(CPU) 392、記憶體394、輸入/輸出396、合適的電路398及儲存器之電腦。
通常可將製程儲存在系統控制器357的記憶體中做為軟體常式,當被處理器執行時,軟體常式致使製程腔室進行本揭示內容的製程。亦可由位在受處理器控制之硬體的遠端之第二處理器(未示出)儲存及/或執行所述軟體常式。也可在硬體中執行本揭示內容的一些或全部方法。由此,可將製程實現為軟體並使用電腦系統來執行、被實現為硬體(如,專用積體電路或其他類型的硬體實作),或被實現為軟體和硬體的組合。當由處理器執行時,軟體常式將通用電腦轉換為控制腔室操作以執行處理的專用電腦(控制器)。
在一些實施例中,系統控制器357具有之配置用於控制可流動低k介電材料122在基板102上之沉積、使用電漿將膜122緻密化、視情況硬化膜122,及回蝕以形成均勻的膜124。
在一或多個實施例中,一種處理工具包含:中央移送站,包含機器人,所述機器人經配置以移動晶圓;複數個處理站,各處理站連接至中央移送站,並提供處理區域,所述處理區域與相鄰處理站的處理區域分隔,複數個處理站包含:沉積腔室、電漿腔室、硬化腔室、蝕刻腔室;以及控制器,連接至中央移送站及複數個處理站,控制器經配置以啟動機器人在處理站之間移動晶圓,並控制在各處理站中發生之製程。
在整個說明書中對「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」之參照意味著結合該實施例描述之具體特徵、結構、材料或特性包括在本揭示內容之至少一個實施例中。因此,在整個說明書多處出現之片語,如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在實施例中」不必然指稱本揭示內容之相同實施例。此外,在一或多個實施例中,具體特徵、結構、材料或特性可以任何合適的方式組合。
雖然已參照特定實施例說明了本文的揭示內容,應瞭解到,這些實施例僅說明本揭示內容的原理與應用。在本揭示內容所屬技術領域中具有通常知識者將明瞭到,可對本揭示內容的方法與設備進行各種修改與變化,而不脫離本揭示內容的精神與範圍。因此,本揭示內容欲包括在隨附申請專利範圍及其均等範圍之範疇內的修改與變化。
100:電子元件 101:鰭片 102:基板 104:犧牲層 105:超晶格結構 106:通道層 108:側壁間隔物 110:閘極氧化物層 112:仿閘極 114:絕緣層 115:頂部表面 116:特徵 117:底部表面 118:第一側壁 120:第二側壁 122:膜 124:低k膜 125:孔隙/間隙/接縫 200,220,240,260:方法 202~212,222~232,242~252,262~272:操作 300:群集工具 302:裝載與卸載腔室 304:機器人 308:製程腔室 310:製程腔室 312:製程腔室 314:中央移送站 316:機器人 318:工廠介面 319:前側 320:裝載閘腔室 357:系統控制器 392:中央處理單元(CPU) 394:記憶體 396:輸入/輸出 398:電路
因此,可詳細理解本揭示內容之上述特徵之方式,即可參照實施例更具體描述上文簡要概述之本揭示內容,其中一些實施例圖示於隨附圖式中。然而,應注意的是,附圖僅顯示出此揭示內容的典型實施例,並且因此不應被認為是對其範圍的限制,因為本案可允許其他等效實施例。
第1圖顯示根據本揭示內容之一或多個實施例的GAA基板之剖面視圖;
第2A至2D圖顯示根據本揭示內容之一或多個實施例的GAA基板之剖面視圖;
第3圖根據本揭示內容之一或多個實施例的GAA基板之剖面視圖;
第4圖顯示根據本揭示內容之一或多個實施例的製程流;
第5圖顯示根據本揭示內容之一或多個實施例的製程流;
第6圖顯示根據本揭示內容之一或多個實施例的製程流;
第7圖顯示根據本揭示內容之一或多個實施例的製程流;以及
第8圖繪示根據一或多個實施例之群集工具。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
102:基板
104:犧牲層
106:通道層
108:側壁間隔物
110:閘極氧化物層
112:仿閘極
114:絕緣層
116:特徵
118:第一側壁
120:第二側壁
124:低k膜

Claims (40)

  1. 一種處理方法,包含以下步驟: 經由一製程循環將一介電膜形成於一基板表面上,該製程循環包含:將一可流動低k介電層沉積於該基板表面上,並將該可流動低k介電層緻密化並形成該介電膜;以及 將該介電膜的一部分去除。
  2. 如請求項1所述之方法,其中介電膜具有小於約3之一介電常數(k)。
  3. 如請求項1所述之方法,其中該電漿包含以下一或多者:氮(N 2)、氬(Ar)、氦(He)、氫(H 2)、一氧化碳(CO)、二氧化碳(CO 2)、氧化亞氮(N 2O)、氨(NH 3)或氧(O 2)。
  4. 如請求項1所述之方法,其中將該可流動低k介電層緻密化之步驟包含以下步驟:在低於約600 ̊C之一溫度下,以感應耦合電漿(ICP)處理該可流動低k介電層。
  5. 如請求項1所述之方法,其中該方法係在一製程腔室中進行而不破壞真空。
  6. 如請求項1所述之方法,其中該基板表面具有複數個鰭片、複數個閘極,及位於該基板表面上之至少一個特徵。
  7. 如請求項6所述之方法,其中該至少一個特徵包含一底部表面及至少一個側壁。
  8. 如請求項7所述之方法,其中該可流動低k介電層係沉積於該至少一個特徵的該底部表面及該至少一個側壁上。
  9. 如請求項6所述之方法,其中該複數個鰭片包含交替的矽鍺(SiGe)層和矽(Si)層。
  10. 如請求項1所述之方法,進一步包含以下步驟:在將該介電膜緻密化後,將該介電膜硬化。
  11. 如請求項10所述之方法,其中硬化包含以下一或多者:熱處理、微波輻射暴露及UV硬化。
  12. 如請求項1所述之方法,其中將該介電膜的一部分去除之步驟包含以下步驟:蝕刻該介電膜。
  13. 如請求項1所述之方法,進一步包含以下步驟:重複該製程循環。
  14. 一種處理方法,包含以下步驟: 將複數個膜堆疊形成於一基板上,該膜堆疊包含交替的矽鍺(SiGe)層和矽(Si)層; 蝕刻該膜堆疊以形成一開口,該開口從該膜堆疊的一頂部表面往一底部表面延伸一深度,該開口具有由一第一側壁和一第二側壁界定之一寬度; 進行一製程循環,包含以下步驟:將一介電膜沉積於該開口的該第一側壁、該第二側壁及該底部表面上,並將該介電膜緻密化;以及 將該介電膜的一部分去除。
  15. 如請求項14所述之方法,進一步包含以下步驟:在將該介電膜緻密化後將該介電膜硬化,該硬化包含以下一或多者:熱處理、微波輻射暴露及UV硬化。
  16. 如請求項14所述之方法,其中將該介電膜緻密化之步驟包含以下步驟:在低於約600 ̊C之一溫度下,以感應耦合電漿(ICP)處理該介電膜。
  17. 如請求項14所述之方法,其中將該介電膜的一部分去除之步驟包含以下步驟:從該開口的該第一側壁及該第二側壁及該底部表面蝕刻該介電膜。
  18. 如請求項14所述之方法,進一步包含以下步驟:重複該製程循環。
  19. 如請求項14所述之方法,其中該方法係在一製程腔室中進行而不破壞真空。
  20. 一種非暫態(non-transitory)電腦可讀取媒體,包括指令,當由一製程腔室的一控制器執行該等指令時,導致該製程腔室執行以下操作: 進行一製程循環,包含:將一介電膜沉積於從一膜堆疊的一頂部表面往一底部表面延伸一深度之一開口的一第一側壁、一第二側壁及一底部表面上,並將該介電膜緻密化;以及 將該介電膜的一部分去除;以及 視情況,將該介電膜硬化。
  21. 如請求項20所述之非暫態電腦可讀取媒體,包括進一步的指令,當由一製程腔室的一控制器執行該等指令時,導致該製程腔室執行以下進一步的操作:重複該製程循環。
  22. 一種處理方法,包含以下步驟: 經由一製程循環將一介電膜形成於一基板表面上,該製程循環包含:將一可流動低k介電層沉積於該基板表面上,並將該可流動低k介電層硬化以形成該介電膜;以及 將該介電膜的一部分去除。
  23. 如請求項22所述之方法,其中介電膜具有小於約3之一介電常數(k)。
  24. 如請求項22所述之方法,其中該電漿包含以下一或多者:氮(N 2)、氬(Ar)、氦(He)、氫(H 2)、一氧化碳(CO)、二氧化碳(CO 2)、氧化亞氮(N 2O)、氨(NH 3)或氧(O 2)。
  25. 如請求項22所述之方法,其中該方法係在一製程腔室中進行而不破壞真空。
  26. 如請求項22所述之方法,其中該基板表面具有複數個鰭片、複數個閘極,及位於該基板表面上之至少一個特徵。
  27. 如請求項26所述之方法,其中該至少一個特徵包含一底部表面及至少一個側壁。
  28. 如請求項27所述之方法,其中該可流動低k介電層係沉積於該至少一個特徵的該底部表面及該至少一個側壁上。
  29. 如請求項26所述之方法,其中該複數個鰭片包含交替的矽鍺(SiGe)層和矽(Si)層。
  30. 如請求項22所述之方法,其中硬化包含以下一或多者:熱處理、微波輻射暴露及UV硬化。
  31. 如請求項22所述之方法,進一步包含以下步驟:在將該介電膜硬化後將該介電膜緻密化。
  32. 如請求項31所述之方法,其中將該介電膜緻密化之步驟包含以下步驟:在低於約600 °C之一溫度下,以感應耦合電漿(ICP)處理該介電膜。
  33. 如請求項22所述之方法,其中將該介電膜的一部分去除之步驟包含以下步驟:蝕刻該介電膜。
  34. 如請求項22所述之方法,進一步包含以下步驟:重複該製程循環。
  35. 一種處理方法,包含以下步驟: 將複數個膜堆疊形成於一基板上,該膜堆疊包含交替的矽鍺(SiGe)層和矽(Si)層; 蝕刻該膜堆疊以形成一開口,該開口從該膜堆疊的一頂部表面往一底部表面延伸一深度,該開口具有由一第一側壁和一第二側壁界定之一寬度; 進行一製程循環,包含:將一介電膜沉積於該開口的該第一側壁、該第二側壁及該底部表面上,並將該介電膜硬化;以及 將該介電膜的一部分去除。
  36. 如請求項35所述之方法,其中將該介電膜硬化包含以下一或多者:熱處理、微波輻射暴露及UV硬化。
  37. 如請求項35所述之方法,進一步包含以下步驟:在將該介電膜硬化後將該介電膜緻密化,其中將該介電膜緻密化之步驟包含以下步驟:在低於約600 °C之一溫度下,以感應耦合電漿(ICP)處理該介電膜。
  38. 如請求項35所述之方法,其中將該介電膜的一部分去除之步驟包含以下步驟:從該開口的該第一側壁及該第二側壁及該底部表面蝕刻該介電膜。
  39. 如請求項35所述之方法,進一步包含以下步驟:重複該製程循環。
  40. 如請求項35所述之方法,其中該方法係在一製程腔室中進行而不破壞真空。
TW110127122A 2020-08-02 2021-07-23 集成可流動低k間隙填充及電漿處理 TW202221764A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063060083P 2020-08-02 2020-08-02
US63/060,083 2020-08-02
US202063065610P 2020-08-14 2020-08-14
US63/065,610 2020-08-14

Publications (1)

Publication Number Publication Date
TW202221764A true TW202221764A (zh) 2022-06-01

Family

ID=80004625

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110127122A TW202221764A (zh) 2020-08-02 2021-07-23 集成可流動低k間隙填充及電漿處理

Country Status (4)

Country Link
US (1) US20220037147A1 (zh)
KR (1) KR20220016446A (zh)
TW (1) TW202221764A (zh)
WO (1) WO2022031528A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821064B (zh) * 2022-12-07 2023-11-01 國立成功大學 反應式離子蝕刻處理方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240038527A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Forming films with improved film quality

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US9257330B2 (en) * 2013-11-27 2016-02-09 Applied Materials, Inc. Ultra-thin structure to protect copper and method of preparation
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425318B1 (en) * 2015-02-27 2016-08-23 GlobalFoundries, Inc. Integrated circuits with fets having nanowires and methods of manufacturing the same
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
KR20230130177A (ko) * 2017-05-13 2023-09-11 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102620595B1 (ko) * 2018-01-22 2024-01-03 삼성전자주식회사 소자분리막을 갖는 반도체 소자 및 그 제조 방법
US10916627B2 (en) * 2019-03-22 2021-02-09 International Business Machines Corporation Nanosheet transistor with fully isolated source and drain regions and spacer pinch off
US10840329B1 (en) * 2019-05-08 2020-11-17 International Business Machines Corporation Nanosheet transistor having improved bottom isolation
US11164776B2 (en) * 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure
US11195911B2 (en) * 2019-12-23 2021-12-07 International Business Machines Corporation Bottom dielectric isolation structure for nanosheet containing devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821064B (zh) * 2022-12-07 2023-11-01 國立成功大學 反應式離子蝕刻處理方法

Also Published As

Publication number Publication date
US20220037147A1 (en) 2022-02-03
WO2022031528A1 (en) 2022-02-10
KR20220016446A (ko) 2022-02-09

Similar Documents

Publication Publication Date Title
US11848369B2 (en) Horizontal gate-all-around device nanowire air gap spacer formation
CN108475695B (zh) 制造用于半导体应用的环绕式水平栅极器件的纳米线的方法
TWI774793B (zh) 用於製造半導體應用的奈米線之選擇性氧化
US8981466B2 (en) Multilayer dielectric structures for semiconductor nano-devices
US10211047B2 (en) Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
US20160086943A1 (en) Semiconductor device and method for manufacturing semiconductor device
TW202221764A (zh) 集成可流動低k間隙填充及電漿處理
KR20140100948A (ko) 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법
TW202129061A (zh) 環繞式閘極輸入/輸出工程
TWI716441B (zh) 用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法
US20220037529A1 (en) Conformal oxidation for gate all around nanosheet i/o device
TWI757165B (zh) 半導體元件與方法
TW202230533A (zh) 環繞式閘極元件之形成
WO2004097925A1 (ja) 半導体装置の製造方法
TWI839600B (zh) 低溫無蒸汽氧化物間隙填充
US20210280451A1 (en) Low temperature steam free oxide gapfill
US11626482B2 (en) Air spacer formation with a spin-on dielectric material
TW202339031A (zh) 具有多色背側介電隔離架構之環繞式閘極背側電力軌形成
TW202349569A (zh) 具有擴散中斷的閘極環繞背側電力軌
TW202339105A (zh) 具有填充介電材料之環繞式閘極電晶體架構