KR20120089792A - 고 종횡비 트렌치의 바텀 업 충전 - Google Patents

고 종횡비 트렌치의 바텀 업 충전 Download PDF

Info

Publication number
KR20120089792A
KR20120089792A KR1020110131725A KR20110131725A KR20120089792A KR 20120089792 A KR20120089792 A KR 20120089792A KR 1020110131725 A KR1020110131725 A KR 1020110131725A KR 20110131725 A KR20110131725 A KR 20110131725A KR 20120089792 A KR20120089792 A KR 20120089792A
Authority
KR
South Korea
Prior art keywords
gap
nitrogen
oxygen
species
plasma
Prior art date
Application number
KR1020110131725A
Other languages
English (en)
Inventor
락쉬미나라야나 니탈라
카레나 샤논
네리사 드라에거
메가 라토드
테 니젠후이스 헤럴드
바트 반 슈라벤디즈크
마이클 다넥
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/313,735 external-priority patent/US20120149213A1/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20120089792A publication Critical patent/KR20120089792A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

유동성 유전체 물질로 갭을 충전하는 신규한 방법이 제공된다. 다양한 실시예에 따라, 방법은, 갭의 다음 번 바텀 업 충전을 개선하기 위해 갭의 표면 처리를 수행하는 단계를 포함한다. 특정 실시예에서, 처리는 표면을 활성 화학종, 가령, 질소, 산소 및 수소 중 하나 이상의 활성 화학종에 노출시키는 단계를 포함한다. 특정 실시예에서, 처리는 표면을 질소와 산소의 혼합물로부터 생성된 플라스마에 노출하는 단계를 포함한다. 처리는 유동성 유전체 필름의 균일한 핵생성을 가능하게 하고, 핵생성 지연시간을 감소시키고, 증착 속도를 증가시키며, 특징부들 간 충전 높이 균일도를 개선할 수 있다.

Description

고 종횡비 트렌치의 바텀 업 충전{BOTTOM UP FILL IN HIGH ASPECT RATIO TRENCHES}
관련 출원의 상호 참조
이 출원은 35 U.S.C. § 119(e)에 따라, 2010년12월09일자 미국 가특허출원 제61/421,562호 "BOTTOM UP FILL IN HIGH ASPECT RATIO TRENCHES"를 기초로 우선권 주장하며, 상기 미국 가특허출원의 모든 내용은 본원에서 참조로서 포함된다.
반도체 공정 중에 절연 물질로 고 종횡비(high aspect ratio) 갭(gap)을 충전하는 것이 자주 요구된다. STI(shallow trench isolation), IMD(inter-metal dielectric) 층, ILD(inter-layer dielectric) 층, PMD(pre-metal dielectric) 층, 패시베이션 층 등이 그 경우이다. 디바이스 지오메트리가 작아지고, 열 소모 비용(thermal budget)이 감소함에 따라, 기존 증착 공정의 한계 때문에, 좁은 폭의 고 종횡비(AR) 특징부(가령, AR>6:1)의 보이드(void) 없는 충전이 점점 더 어려워진다.
유동성 유전체 물질로 갭을 충전하는 신규한 방법이 제공된다. 다양한 실시예에 따라, 방법은, 갭의 다음 번 바텀 업 충전을 개선하기 위해 갭의 표면 처리를 수행하는 단계를 포함한다. 특정 실시예에서, 처리는 표면을 활성 화학종, 가령, 질소, 산소 및 수소 중 하나 이상의 활성 화학종에 노출시키는 단계를 포함한다. 특정 실시예에서, 처리는 표면을 질소와 산소의 혼합물로부터 생성된 플라스마에 노출하는 단계를 포함한다. 처리는 유동성 유전체 필름의 균일한 핵생성을 가능하게 하고, 핵생성 지연시간을 감소시키고, 증착 속도를 증가시키며, 특징부들 간 충전 높이 균일도를 개선할 수 있다. 또한 본원에서 기재된 방법을 구현하기 위한 장치가 제공된다.
본원에서 기재되는 주제의 하나의 양태는 유동성 물질로 갭을 충전하는 것을 처리하는 방법을 포함한다. 상기 방법은 바닥 표면과 하나 이상의 측벽 표면을 포함하는 충전될 갭을 포함하는 기판을 처리 챔버로 제공하는 단계와, 갭의 표면을 반응성 수소, 질소 또는 산소 화학종으로 노출시키는 단계와, 갭 표면을 반응성 화학종에 노출시킨 후, 갭에 유동성 유전체 필름을 증착하는 단계를 포함한다.
일부 실시예에서, 갭에 유동성 유전체 필름을 증착하는 단계는, 실리콘 함유 전구물질 및 산화제를 유동성 유전체 필름이 형성되기 위한 조건 하에서 기판이 포함된 챔버로 도입하는 단계를 포함한다. 상기 방법은 증착된 필름의 최소한 일부분을 치밀화하는 단계를 더 포함한다. 다양한 실시예에 따르면, 표면은 고상 실리콘 함유 물질, 또는 금속일 수 있다. 일부 실시예에서, 갭에 임의의 유동성 유전체 필름을 증착하기 전에 갭 표면이 질소 및 산소 화학종에 노출된다.
하나 이상의 표면이 반응성 수소, 질소, 또는 산소 화학종에 노출될 수 있다. 일부 실시예에서, 바닥과 하나 이상의 측벽 표면이 반응성 화학종에 노출된다. 일부 실시예에서, 상기 방법은 수소 함유 화합물, 질소 함유 화합물, 및 산소 함유 화합물 중 하나 이상을 포함하는 기체로부터 플라스마를 생성하는 단계를 포함한다. 표면은 플라스마에 노출될 수 있다. 다양한 실시예에 따르면, 플라스마는 처리 챔버에서, 또는 챔버에서 원격으로 발생될 수 있다. 일부 실시예에서, 수소, 질소, 및 산소 화학종은 이온 및/또는 라디칼을 포함할 수 있다.
일부 실시예에서, 상기 방법은 수소 함유 화합물, 질소 함유 화합물, 및 산소 함유 화합물을 자외선 광 또는 그 밖의 다른 에너지 공급원에 기체를 노출시키는 단계를 포함할 수 있다. 이 단계는, 플라스마를 생성하는 것에 추가로, 또는 플라스마를 생성하지 않고, 수행될 수 있다.
일부 실시예에서, 갭을 질소 및 산소 화학종에 노출시키는 단계는, 질소 및 산소를 약 1:2 내지 1:30, 또는 약 1:5 내지 1:30, 약 1:10 내지 1:20의 비로 처리 챔버로 도입하는 단계를 포함한다.
다양한 실시예에 따르면, 유동성 유전체 물질이 처리 챔버에 증착될 수 있거나, 기판이 개별 증착 챔버로 수송될 수 있다. 다양한 실시예에 따르면, 질소 화학종이, N2, NH3, N2H4, N2O, NO, 및 NO2 중 하나 이상으로부터 생성될 수 있다. 산소 화학종은 O2, O3, H2O, H2O2, NO, NO2 및 CO2 중 하나 이상으로부터 생성될 수 있다. 수소 화학종은 H2, H2O, H2O2, 및 NH3 중 하나 이상으로부터 생성될 수 있다.
일부 실시예에서, 갭에 유동성 필름을 증착하기 전에, 실리콘 함유 전구물질이 챔버로 흘러들어갈 수 있다. 특정 실시예에서, 유동성 필름을 갭에 증착하기 전에, 실리콘 함유 전구물질이 챔버로 흘러들어갈 수 있다.
본 발명의 또 다른 양태는 처리 챔버에서 갭을 포함하는 기판을 처리하는 방법에 관한 것이며, 갭은 바닥 표면과 하나 이상의 측벽 표면을 가진다. 상기 방법은 갭 표면을, 산소 함유 기체, 수소 함유 기체, 및 질소 함유 기체 중 하나 이상을 포함하는 기체로부터 생성된 활성 화학종에 노출시키는 단계를 포함할 수 있다. 갭 표면을 활성 화학종에 노출한 후, 갭 내의 유동성 유전체 필름이 갭에 증착될 수 있다.
기체 조성의 예가, 수고를 포함하고, 산소 또는 수소 함유 화합물을 실질적으로 포함하지 않으며, 산소-함유 화합물을 포함하고, 질소 함유 화합물을 실질적으로 포함하지 않고, 질소 함유 화합물을 포함하고 산소 함유 화합물을 실질적으로 포함하지 않는 것이 있다.
또 다른 양태가, 갭을 포함하는 기판을 처리 챔버로 제공하는 단계와, 산소 및 질소 화학종을 상기 갭이 내포된 처리 챔버로 도입하는 단계와, 산소 및 질소 화학종을 처리 챔버에 도입한 후 상기 갭을 유동성 유전체 물질로 부분적으로 또는 전체적으로 충전하는 단계를 포함하는 방법에 관한 것이다.
일부 실시예에서, 산소 및 질소 화학종을 처리 챔버로 도입하는 단계는 산소 함유 화합물과 질소 함유 화합물을 포함하는 공정 기체를 처리 챔버로 도입하고 공정 기체로부터 플라스마를 생성하는 단계를 포함한다.
일부 실시예에서, 산소 및 질소 화학종을 처리 챔버로 도입하는 단계는, 산소 함유 화합물, 수소 함유 화합물 및 질소 함유 화합물 중 하나 이상을 포함하는 공정 기체로부터 플라스마를 생성하고 생성된 플라스마로부터의 화학종을 처리 챔버로 도입하는 단계를 포함한다. 예를 들어, 기체 조성은 H2, H2/N2, H2/O2, O2, O3, N2, NH3 및 N2/O2 중 하나일 수 있으며, 각각은 하나 이상의 비활성 기체, 가령, He 또는 Ar을 선택사항으로서 포함할 수 있다.
또 다른 양태는, 바닥 표면과 하나 이상의 측벽 표면을 갖는 충전될 갭을 포함하는 기판을 처리 챔버로 제공하는 단계와, 산소 함유 기체, 수소 함유 기체 및 질소 함유 기체 중 하나 이상을 포함하는 기체를 자외선 광에 노출시켜, 활성 화학종을 생성하는 단계와, 갭 표면을 활성 화학종에 노출시키는 단계와, 상기 갭 표면을 활성 화학종에 노출시킨 후, 유동성 유전체 필름을 갭에 증착하는 단계를 포함하는 방법에 관한 것이다.
또 다른 양태는, 부분 제조된 반도체 기판을 내포하도록 구성된 처리 챔버와, 부분 제조된 반도체 기판을 내포하도록 구성된 증착 챔버와, 처리 챔버가 기판을 내포하는 동안 처리 챔버로 활성 화학종을 도입하고, 상기 기판을 진공 상태에서 증착 챔버로 수송하며, 실리콘 함유 전구물질과 산화제를 증착 챔버로 도입하여 기판 상에 유동성 옥사이드 필름을 증착하도록 하는 프로그램 인스트럭션을 포함하는 제어기를 포함하는 장치에 관한 것이다.
도 1-3은 다양한 실시예에 따르는 유전체 증착 방법에서의 작업을 설명하는 공정 순서도이다.
도 4A-4C는 다양한 실시예에 따라 충전되는 갭의 예를 도시하는 개략도이다.
도5는 2번의 증착 사이클 후의 갭의 이미지를 도시하며, 하나의 갭 이미지는 제 1 증착 사이클 전에 O2/N2 전-처리를 한 유동성 옥사이드로 충전된 것이며, 다른 하나의 갭 이미지는 제 1 증착 사이클 전에 전-처리를 하지 않은 유동성 옥사이드로 충전된 것이다.
도 6은 다양한 전-처리 작업을 비교하는 2 증착 사이클 후의 갭의 이미지들을 도시한다.
도 7은 O2/N2 전-충전 처리를 위한 N2 유량에 따른, 충전 높이의 도표이다.
도 8은 O2/N2 전-충전 처리를 위한 N2 유량에 따른, 충전 비-균일성의 도표이다.
도 9는 다양한 전-처리 작업을 비교하는 2 증착 사이클 후의 갭의 이미지를 도시한다.
도 10A 및 10B는 다양한 실시예를 실시하기에 적합한 다중-스테이션 장치를 도시하는 평면도이다.
도 11은 다양한 실시예를 실시하기에 적합한 증착 및/또는 처리 챔버를 도시하는 개략도이다.
도 12는 다양한 실시예를 실시하기에 적합한 경화 모듈의 단순화된 도시이다.
도 13은 다양한 실시예를 실시하기에 적합한 HDP-CVD 모듈의 단순화된 도시이다.
본 발명은 기판 상의 갭(gap)을 충전하기 위한 방법에 관한 것이다. 특정 실시예에서, 상기 방법은 고 종횡비(AR)(일반적으로, 최소한 6:1, 예를 들어 7:1 또는 그 이상의 종횡비)의, 좁은 폭(가령, 50㎚ 이하)을 갖는 갭을 충전하는 것에 관한 것이다. 특정 실시예에서, 상기 방법은 저(low)-AR 갭(가령, 넓은 트렌치)도 충전한다. 또한 특정 실시예에서, 다양한 AR의 갭이 기판에 있을 수 있으며, 이때, 실시예는 저 AR 및 고 AR 갭을 충전하는 것에 관련된다.
반도체 공정에서 절연 물질로 고 종횡비 갭을 충전하는 것이 자주 필요하다. STI(shallow trench isolation), IMD(inter-metal dielectric) 층, ILD(inter-layer dielectric) 층, PMD(pre-metal dielectric) 층, 패시베이션(passivation) 층 등이 이 경우에 해당한다. 디바이스 지오메트리가 축소되고, 열 소모 비용이 감소됨에 따라, 기존 증착 공정의 한계 때문에, 좁은 폭을 갖는 고 종횡비(AR) 특징부(가령, AR>6:1)의 보이드(void) 없는 충전이 점점 더 어려워진다. 특정 예에서, PMD 층은 디바이스 레벨과 부분 제조된 집적 회로의 인터커넥트 레벨의 제 1 금속 층 사이에 제공된다. 본원에서 기재되는 방법은, 갭(가령, 게이트 전도체 스택들 사이의 갭)이 유전체 물질로 충전되는 유전체 증착 과정을 포함한다. 또 다른 일례에서, 상기 방법은, 반도체 기판에 트렌치가 형성되어 디바이스를 절연하는 STI(shallow trench isolation) 공정을 위해서 사용된다. 본원에서 기재되는 방법은 이들 트렌치를 유전체로 증착하는 것을 포함한다. 상기 방법은 또한, FEOL(front end of line) 경우에 추가로, BEOL(back end of line) 경우에서도 사용될 수 있다. 이들은 인터커넥트 레벨에서 갭을 충전하는 것을 포함할 수 있다.
본 발명의 방법은, 본 발명의 방법에 선행하여, 또는 후속하여 리소그래피 및/또는 패터닝 공정 있는 공정에서 실시될 수 있다. 덧붙이자면, 본 발명의 장치는 또한, 반도체 제조를 위한 리소그래피 및/또는 패터닝 하드웨어를 포함하는 시스템에서 구현될 수 있다.
본원에서 사용될 때, 용어 "유동성 유전체 필름(flowable dielectric film)"은, 갭의 보이드 없는 충전을 제공하는 흐름 특성(flow characteristics)을 갖는 유동성의 도핑되거나 도핑되지 않은 유전체 필름을 지칭한다. 다양한 실시예에 따르면, 필름은 갭 내부로 흐르거나, 및/또는 갭 내에서 형성될 수 있다. 본원에서 사용될 때, 용어 "유동성 옥사이드 필름"은 갭의 보이드 없는 충전을 제공하는 흐름 특성을 갖는 유동성의 도핑되거나 도핑되지 않은 실리콘 옥사이드 필름을 지칭한다. 또한 유동성 옥사이드 필름은 연성의 젤리(jelly)형 필름, 액체 흐름 특성을 갖는 겔, 액상 필름, 또는 유동성 필름이라고 기재될 수 있다. 특정 실시예에서, 유동성 필름을 형성하는 것은, 실리콘-함유 전구체와 산화제를 반응시켜, 기판 상에 응축된 유동성 필름을 형성하는 것을 포함한다. 본원에서 기재되는 유동성 옥사이드 증착 방법은, 특정 반응 메커니즘으로 국한되지 않는다. 가령, 반응 메커니즘은 흡착 반응, 가수분해 반응, 응축 반응, 중합 반응, 응축된 기상 산물을 생성하는 기상 반응, 반응 전 하나 이상의 반응물의 응축, 또는 이들의 조합을 포함할 수 있다. 기판이, 유동성 필름을 증착하여 갭의 최소한 일부분을 충전하기에 충분한 주기 동안 공정 기체에 노출된다. 증착 공정은 일반적으로, 일관된 충전을 제공하는, 우수한 흐름 특성을 갖는 연성의 젤리형 필름을 형성한다. 특정 실시예에서, 유동성 필름은 유기-실리콘 필름, 가령 비정질 유기-실리콘 필름이다. 또 다른 실시예에서, 유동성 옥사이드 필름은 실질적으로 유기 물질을 포함하지 않을 수 있다.
다양한 실시예에 따르면, 공정은, 고상 옥사이드 필름, 가령, HDP 옥사이드 필름 및 TEOS 옥사이드 필름을, 가령, 평탄한 유전체 층으로서 증착하는 것을 포함한다. 증착된 그대로의(as-deposited) HDP 옥사이드 필름과 TEOS 옥사이드 필름은 치밀질(dense)의 고체 상태이지 유동성이 아닌 반면에, 증착된 그대로의 유동성 옥사이드 필름은 완전히 치밀화되지 않았으며, 덜 치밀하고, HDP 옥사이드 및 TEOS 옥사이드 필름보다 연성이다. 본원에서, 치밀화 공정, 또는 필름을 완전히 또는 부분적으로 치밀화하는 경화 공정을 겪은 유동성 옥사이드 필름뿐 아니라, 증착된 그대로의 유동성 옥사이드 필름을 지칭하기 위해 용어 "유동성 옥사이드 필름이 사용된다. 유동성 옥사이드 증착 공정의 세부사항이 이하에서 추가로 기재된다.
본 발명의 하나의 양태는 유동성 유전체 증착 전에 이뤄지는 기판 표면의 처리와 관련된다. 이하의 기재에서, 처리 방법이 채용될 수 있는 공정 시퀀스의 예가 제공된다. 또한 상기 방법은, 미국 특허 제7,074,690호, 제7,524,735호, 제7,582,555호 및 제7,629,227호, and 미국 특허 제11/834,581호, 제12/334,726호, 제12/566,085호, 및 제61/285,091호에 기재된 유동성 증착 공정에 따라 채용될 수 있으며, 상기 미국 특허들 모두는 본원에서 참조로서 포함된다.
공정 개요
앞서 나타낸 바와 같이, 본 발명의 하나의 양태는, 유동성 유전체 증착 전에 이뤄지는 기판 표면의 처리와 관련된다. 도 1은 전-처리 작업을 포함하는 공정의 일례를 도시하는 공정 순서도이다. 먼저, 갭을 갖는 기판이 제공된다[블록(101)]. 많은 경우에서, 기판은 복수의 갭을 포함하는데, 이러한 갭은 트렌치, 홀, 비아 등일 수 있다. 도 4A는 갭(403)의 횡단면을 도시한다. 상기 갭(403)은 측벽(405)과 바닥부(bottom, 407)로 형성된다. 상기 갭은, 기판 상의 블랭킷(평탄) 층의 패터닝 및 에칭을 포함하는 특정 통합 공정(integration process)에 따라 달라지는 다양한 기법에 의해, 또는 기판 상에, 사이에 갭을 갖는 구조물을 구축함으로써, 형성될 수 있다. 특정 실시예에서, 갭(403)의 상부(top)가 평탄 표면(409)의 높이로서 정의된다. 갭의 특정 예가 도 4B 및 4C에 제공된다. 도 4B에서, 갭(403)은 기판(401) 상의 2개의 게이트 구조물(402) 사이에서 보인다. 기판(401)은 가령, 실리콘, 실리콘-온-인슐레이터(SOI), 갈륨 아르세나이드 등의 반도성 기판일 수 있으며, n-도핑된 영역 및 p-도핑된 영역(도면상 도시되지 않음)을 포함한다. 특정 실시예에서, 갭은 오목형(re-entrant)이다. 즉, 측벽이 갭의 바닥에서 위쪽으로 뻗어 감에 따라, 측벽이 안쪽으로 차츰 가늘어 진다. 도 4B의 갭(403)이 일례이다.
도 4C는 충전될 갭의 또 다른 일례를 도시한다. 이 예에서, 갭(403)은 실리콘 기판(401)에 형성되는 트렌치이다. 갭의 측벽과 바닥이, 라이너 층(liner layer)(416)(가령, 실리콘 니트라이드, 또는 실리콘 옥시니트라이드 층), 패드 실리콘 옥사이드 층(pad silicon oxide layer)(415), 및 패드 실리콘 니트라이드 층(413)에 의해 형성된다. 도 4C는 STI 공정 동안 충전될 수 있는 충전될 수 있는 갭의 일례이다. 특정 경우에서, 라이너 층(416)이 제공되지 않는다. 특정 실시예에서, 실리콘 기판(401)의 측벽이 산화된다.
도 4B 및 4C는 반도체 제조 공정 중에, 유전체 물질로 충전될 수 있는 갭의 예를 제공한다. 본원에서 기재되는 방법은 유전체 충전물을 필요로 하는 임의의 갭을 충전하도록 사용될 수 있다. 특정 실시예에서, 갭의 임계 치수(critical dimension)는 약 1-50㎚ 수준이며, 일부 경우, 약 2-30㎚ 또는 4-20㎚, 예컨대, 13㎚이다. 임계 치수는 갭 개구부의 가장 좁은 곳에서의 폭을 일컫는다. 특정 실시예에서, 갭의 종횡비가 3:1 내지 60:1이다. 다양한 실시예에 따르면, 갭의 임계 치수가 32㎚ 이하, 및/또는 종횡비가 약 6:1 이상이다.
앞서 나타낸 바와 같이, 일반적으로 갭은 바닥 표면과 측벽으로 형성된다. 측벽(들)이라는 용어는, 둥근 홀, 길고 좁은 트렌치 등의 임의의 형태의 갭의 측벽(들)을 지칭하기 위해 상호교환적으로 사용될 수 있다. 갭을 형성하는 측벽과 바닥 표면은 하나 또는 복수의 물질일 수 있다. 갭 측벽 및/또는 바닥 물질의 예로는, 니트라이드, 옥사이드, 카바이드, 옥시니트라이드, 옥시카바이드, 실리사이드, 베어 실리콘(bare silicon), 또는 그 밖의 다른 반도체 물질을 포함한다. 특정 예로는, SiN, SiO2, SiC, SiON, NiSi, 폴리실리콘 및 그 밖의 다른 임의의 실리콘-함유 물질이 있다. BEOL 공정에서 사용되는 갭 측벽 및/또는 바닥 물질의 추가적인 예로는 구리, 탄탈럼, 탄탈럼 니트라이드, 티타늄, 티타늄 니트라이드, 루테늄, 및 코발트가 있다.
특정 실시예에서, 유동성 유전체 증착 전에, 갭의 바닥 및/또는 측벽의 전체 또는 부분이 컨포멀 층(conformal layer)이도록, 상기 갭에, 라이너, 장벽, 또는 갭 내에 형성되는 그 밖의 다른 유형의 컨포멀 층이 제공된다.
도 1로 다시 돌아와서, 갭이 전-처리된다[블록(103)]. 전-처리 작업은 이하에서 더 설명된다. 특정 실시예에서, 상기 전-처리 작업은, 갭의 하나 이상의 표면을 O2/N2 플라스마에 노출시키는 과정을 포함한다. 특정 실시예에서, 블록(103)는 갭의 하나 이상의 표면을 H2에 노출시키는 과정을 포함한다. 이하에서 더 설명되겠지만, 본원에서 기재되는 특정 전-처리 작업이 핵생성 지연시간(nucleation delay)을 감소시키고, 바텀 업 충전(bottom up fill)을 개선한다. 또한 처리는 핵생성 균일성 또는 유동성 옥사이드와 기판 물질 간의 계면 접착성(interface adhesion)을 개선할 수 있다. 많은 실시예에서, 갭의 모든 표면은 처리 화학종에 노출된다. 특정 실시예에서, 바텀 표면이, 가령 이방성 플라스마 처리 공정에 의해, 원하는 대로 노출된다. 이러한 공정은 기판을 바이어싱하는 것을 포함할 수 있다. 그 밖의 다른 실시예에서, 갭 표면의 원치 않는 손상을 피하기 위해, 기판 바이어스는 피해진다.
그 후, 유동성 유전체 필름이 갭에 증착된다[블록(105)]. 많은 실시예에서, 상기 블록(105)는, 응축된 유동성 필름이 갭 내에 형성되도록, 유전체 전구물질과 산화제를 포함하는 기상 반응물에 기판을 노출시키는 과정을 포함한다. 다양한 실시예에 따르면, 갭에서 발생하는 반응과, 필름의 최소한 일부가 갭의 내부로 흐르는 필드 영역(field region)에서 발생하는 반응 중 하나 이상을 포함하는 다양한 반응 메커니즘이 발생할 수 있다. 다양한 실시예들에 따르는 증착 화학물 및 반응 메커니즘의 예가 이하에서 기재된다. 그러나 상기 방법은 특정 화학물 또는 메커니즘에 국한되지 않는다. 많은 실시예에서, 유전체 전구물질이 실리콘-함유 화합물이고, 산화제는 페록사이드, 오존, 산소, 수증기 등의 화합물이다. 이하에서 더 설명되겠지만, 증착 화학물은 용매 및 촉매 중 한 가지 이상을 포함할 수 있다.
공정 기체는 반응기로 동시에, 또는 하나 이상의 구성 기체(component gas)가 다른 구성 기체보다 먼저 도입될 수 있다. 미국 특허 출원 제12/566,085호는 특정 실시예에 따라 사용될 수 있는 반응물 기체 시퀀스에 대한 기재를 제공하며, 상기 미국 특허 출원은 본원에서 참조로서 포함된다. 반응은 비-플라스마(화학) 반응이거나 플라스마-보조 반응일 수 있다. 본원에서 참조로서 포함되는 미국 특허 출원 제12/334,726호는 플라스마-강화 화학 기상 증착(PECVD: plasma-enhanced chemical vapor deposition) 공정에 의한 유동성 유전체 필름의 증착을 기재한다.
다양한 실시예에 따르면, 증착 작업은, 갭이 유동성 유전체 물질로 부분적으로 충전될 때까지만 진행되거나, 최소한, 갭이 완전히 충전될 때까지 진행될 수 있다. 특정 실시예에서, 갭은 단일 사이클을 통해 충전되며, 이때, 한 사이클은 전-처리 작업과 증착 작업을 포함하고, 수행되는 경우, 후-증착 처리 작업도 포함한다. 또 다른 실시예에서, 다중 사이클 반응이 수행되며, 작업(105)은 갭을 부분적으로만 충전한다.
증착 작업 후, 후-증착 처리 작업이 수행된다[블록(107)]. 후-증착 처리 작업은, 증착된 그대로의 필름을 치밀화 및/또는 증착된 그대로의 필름을 원하는 유전체 물질로 화학적으로 변환하기 위한 하나 이상의 작업을 포함할 수 있다. 예를 들어, 후-증착 처리는 필름을 Si-O 망으로 변환하고 필름을 치밀화하는 산화 플라스마(oxidizing plasma)를 포함할 수 있다. 또 다른 실시예에서, 변환과 치밀화를 위해 상이한 작업이 수행될 수 있다. 치밀화 처리는 경화, 또는 어닐링이라고 지칭될 수도 있다. 후-증착 처리는 인 시추(in situ)로, 즉, 증착 모듈에서 수행되거나, 엑스 시추(ex-situ)로, 즉, 또 다른 모듈에서 수행되거나, 둘 모두의 조합으로 수행될 수 있다. 후-증착 처리 작업의 추가적인 설명이 이하에서 제공된다. 다양한 실시예에 따르면, 후-처리 작업은 증착된 필름의 전체, 또는 상부 부분에만 작용할 수 있다. 예를 들어, 특정 실시예에서, 산화 플라스마로의 노출은 전체 깊이의 증착된 필름을 산화시키지만, 상부 부분만 치밀화한다. 또 다른 실시예에서, 선행하는 작업에서 증착된 전체 두께가 치밀화된다.
도 2는 특정 실시예에 따르는 다중-사이클 증착 작업을 도시하는 공정 순서도이다. 먼저, 앞서 기재된 바와 같이 갭이 전-처리된다[블록(201)]. 전-처리 후, 갭이 유전체 전구물질 및 산화제에 노출되어, 갭에 유동성 필름을 증착할 수 있다[블록(203)]. 그 후, 후-증착 처리가 수행되어, 예를 들어, 증착된 필름의 전체 또는 일부분을 치밀화할 수 있다[블록(205)]. 이 시점에서, 더 이상의 증착이 요구되지 않는다면, 가령, 갭이 충전됐다면, 공정은 종료되고, 웨이퍼는 추가 공정을 위해 대기할 수 있다. 증착이 더 필요한 경우, 전-처리가 요구되는지의 여부에 따라, 공정은 작업(201 또는 203)으로 복귀한다. 많은 실시예에서, 전-처리 작업을 수행하는 것에 대한 결정은, 후-증착 처리 작업을 토대로 이뤄진다. 예를 들어, 특정 실시예에서, 후-증착 작업은 상부 치밀화된 부분, 또는 핵생성이 어려운 크러스트(crust)를 생성할 수 있다. 전-처리 작업이 다음 번 증착에서의 핵생성 및 바텀-업 충전을 개선하기 위해 사용될 수 있다. 또 다른 실시예에서, 후-증착 작업이 불필요할 수 있다. 또 다른 실시예에서, 하나의 단일 작업이 후-증착 작업과, 다음 번 증착의 전-처리 작업, 모두로서 기능할 수 있다. 이러한 공정의 일례가 도 3을 참조하여, 이하에서 기재된다.
공정이 작업(201) 또는 작업(203)으로 복귀하는지의 여부에 관계없이, 이 시점에서 갭은 부분적으로 충전되고, 최소한, 이전 유동성 필름 증착 사이클로부터의 바닥 표면의 옥사이드(또는 또 다른 유전체)를 포함한다. 특정 실시예에서, 이전 증착 사이클로부터의 소량의 옥사이드가 측벽에도 존재한다. 특정 실시예에서, 이 옥사이드 크기는 수 옹스트롬 이하일 수 있다. 그 후, 희망 두께가 증착될 때까지 공정이 반복된다. 다중-사이클 증착 공정이, 충전된 특정부의 밀도 구배를 감소, 또는 제거하기 위해 사용될 수 있다. 이러한 공정의 예가, 본원에서 참조로서 포함되는 미국 특허 출원 제11/834,581호에 기재되어 있다.
도 3은 O2/N2 처리를 이용하는 다중-사이클 공정의 일례를 도시하는 순서도이다. 또 다른 실시예에서, 이 처리를 대신하여, 또 다른 전-처리 및/또는 후-증착 처리가 사용될 수 있다. 공정은 O2/N2 플라스마로 웨이퍼를 처리하는 것으로 시작된다[블록(301)]. 그 후, 비활성 가스층 또는 진공 상태에서 웨이퍼가 유동성 옥사이드 증착 모듈로 전달된다[블록(303)]. 비활성 가스층의 예가 He, Ar, 및 N2를 포함한다. 또 다른 실시예에서, 전-처리는 증착 모듈에서 인 시추로 수행되고, 수송 작업이 필요하지 않다. 증착 모듈에서, 유동성 옥사이드 필름이 기판 상의 하나 이상의 갭을 부분적으로 충전하도록 증착된다[블록(305)]. 희망 두께가 증착되고, 어떠한 경화도 원치 않은 경우, 공정이 종료된다. 엑스 시추 경화가 수행될 경우, 웨이퍼가 경화 모듈로 수송되고, O2/N2 플라스마에 노출된다[블록(307)]. 경화 모듈은 작업(301)에서 사용되는 것과 동일하거나 상이한 모듈일 수 있다. 덧붙이자면, 공정 조건(가령, 상대 유량, 전력 등)이 작업(301)에서와 동일하거나 상이할 수 있다. 추가 증착을 희망하는 경우, 공정이 작업(303)으로 복귀되며, 이때 웨이퍼가 증착 모듈로 수송된다. 이 실시예에서, 후-증착 O2/N2가 증착된 필름을 치밀화하고, 또 다른 증착을 위해 표면을 준비하여, 별도의 전-처리 작업에 대한 필요성을 제거한다. 희망 두께가 얻어질 때까지 공정은 계속된다. O2/N2 처리가 도 3의 블록(301)에서 나타나고, O2/N2 경화가 블록(307)에서 나타나지만, 이들 블록에서, O2/N2를 대신하여 또 다른 화학물이 사용될 수 있다. 또 다른 화학물은 O2, O3, N2, O2/H2, N2O, NH3 및 H2를 포함하며, 이들 각각은 선택사항으로서 비활성 기체를 포함할 수 있다.
도 1-3은 다양한 실시예에 따르는 공정 흐름의 예를 제공한다. 해당업계 종사자라면, 본원에서 기재된 유동성 유전체 증착 방법이 또 다른 공정 흐름과 함께 사용될 수 있고, 특정 시퀀스뿐 아니라 다양한 작업의 존재 여부가 구현예에 따라 달라질 것임을 이해할 것이다.
전-처리
다양한 실시예에 따라, 핵생성 및/또는 바텀 업 충전을 개선하는 전-처리 작업이 제공된다. 앞서 기재된 바와 같이, 전-처리 작업은 임의의 유동성 유전체 증착에 앞서서 발생할 수 있다. 다중-사이클 작업에서, 전-처리는 뒤 이은 증착 작업 전에 수행될 수도 있고, 수행되지 않을 수도 있다.
다양한 실시예에 따라, 본원에서 기재된 전-처리 작업은, 수소 함유, 질소 함유, 및 산소 함유 화합물(N2 및 O2) 중 하나 이상에, 또는 이들 화합물로부터 유래된 화학종에, 필름이 증착될 표면의 최소한 일부분을 노출시키는 과정을 포함한다. 질소-함유 화합물의 예가 N2, NH3, N2H4, N2O, NO, 및 NO2를 포함한다. 산소-함유 화합물의 예는 O2, O3, H2O, H2O2, NO, NO2, 및 CO2를 포함한다. 수소-함유 화합물의 예는 H2, H2O, H2O2, 및 NH3를 포함한다. 특정 실시예에서, 본 발명의 전-처리 작업은, 필름이 증착될 표면의 최소한 일부분을, 산소-함유 화합물(또는 이들 화합물로부터 유래된 화학종)없이 질소-함유 화합물에 노출시키는 과정을 포함한다. 특정 실시예에서, 본 발명의 전-처리 작업은, 필름이 증착될 표면의 최소한 일부분을, 질소-함유 화합물(또는 이들 화합물로부터 유래한 화학종)없이 산소-함유 화합물에 노출시키는 과정을 포함한다.
특정 실시예에서, 상기 처리는 표면을, 질소와 산소를 함유하는 기체로부터 발생된 플라스마에 노출시키는 과정을 포함한다. 비활성 기체, 가령, 헬륨, 아르곤, 크립톤 또는 제논이, 플라스마를 발생시키기 위해 사용되는 혼합 기체에 존재할 수 있다. 특정 실시예에서, 수소(H2)는 홀로, 또는 다른 비활성 및 반응성 화학종과 결합하여 존재할 수 있다. 또 다른 실시예에서, 플라스마를 생성하기 위해 사용되는 비활성 혼합기체는, 질소-함유 기체, 산소-함유 기체, 및 선택사항으로서 비활성 기체(가령, N2/O2, N2/O2/Ar, NO2/Ar 등)로 본질적으로 구성될 수 있다. 또한, 특정 실시예에서, 플라스마를 발생시키기 위해 사용되는 혼합 기체는, 선택사항인 비활성 기체, 및 질소 및/또는 산소를 포함하는 화합물로 본질적으로 구성될 수 있다. 또한, 특정 실시예에서, 플라스마를 발생시키기 위해 사용되는 기체는, 선택사항인 비활성 기체, 및 수소 기체로 본질적으로 구성될 수 있다. 해당업계 종사자라면, 플라스마에 존재하는 실제 화학종은 이들 기체로부터 유래된 상이한 화학종들의 혼합물일 수 있음을 알 것이다. 플라스마에 존재하는 활성화된 화학종은 이온, 라디칼, 및 고 에너지 원자 및 분자를 포함할 수 있다. 특정 실시예에서, 이온 또는 전자가 유의미한 양으로 존재하지 않는다. 이 실시예 또는 다른 실시예에서, 열 에너지원, 광원(가령, 자외선 및/또는 적외선 공급원), 및 마이크로파 공급원으로부터 발생되는 하나 이상의 에너지의 존재 하에서, 기체가 처리 챔버 또는 모듈로 도입된다. 표면 처리 전에, 및/또는 표면 처리 동안에, 기체가 하나 이상의 에너지에 노출될 수 있다. 특정 실시예에서, 활성화된 화학종이 노출 시 형성된다.
상기 처리가 플라스마 발생을 포함하는 실시예에서, 원격 플라스마 발생기(가령, Astron® 원격 플라스마 공급원), 또는 유도성(inductively)-결합 또는 용량성(capacitively)-결합된 플라스마 발생기가 사용될 수 있다. 다양한 실시예에 따르면, 처리 모듈은 증착 모듈과 동일한 또는 상이한 모듈일 수 있다. 기판을 처리 플라스마에 노출시키도록 구성된 모듈의 예가 이하에서 제공된다. 플라스마 파워는, 전-처리를 효과적으로 만들 정도로 충분히 높으며, 기판을 손상하지 않을 정도로 충분히 낮다. 인 시추(직접) 플라스마용으로 사용될 수 있는 파워에 대해, 파워 범위는 약 50W 내지 5㎾, 가령, 100W 내지 1000W일 수 있고, 원격으로 발생되는 플라스마의 경우, 0.1 내지 10㎾, 가령, 0.1 내지 5㎾일 수 있다. 다양한 유형의 플라스마 발생기가 사용될 수 있는데, 가령, RF, 마이크로파 등의 플라스마 발생기가 있다. 주파수는 다양할 수 있는데, 예컨대, 저 주파수(가령 400㎑), 고 주파수(가령 13.56㎒) 등일 수 있다.
웨이퍼 표면을, 질소와 산소 화학종을 포함하는 플라스마에 노출시킴으로써, 충전 균일성(fill uniformity)이 개선되고, 핵생성 지연시간이 감소됨이 발견되었다. 예기치않게, 이러한 처리가, 특정 기판 물질 및 증착 조건에 대해, 산소만 함유하는 플라스마, 또는 질소만 함유하는 플라스마에의 노출 동안 핵생성을 개선함이 발견되었다.
도 5는 도핑되지 않은 실리콘 옥사이드의 2회 증착 사이클 후의 갭의 이미지를 도시하며, 제 1 증착 사이클 전에 O2/N2 전-처리가 있은 후의 충전(501)과, 전-처리 없는 충전(502)을 비교한다. 각각의 사이클은 후-증착 O2/N2 플라스마 경화를 포함한다. 경화는 상부에 고 밀도 크러스트를 갖는 저 밀도 옥사이드를 도출한다. 공정 후, 이미징 전에 플루오르화 수소산 에칭이 수행되었다. 저 밀도 물질이 에칭되어 보이드(void)가 남겨진다. 크러스트는 치밀화된 상부 층이다. 이미지(501)는 두 증착 사이클 모두 갭 충전을 도출했음을 나타내는 두 개의 크러스트(505 및 507)를 보여준다. 이미지(502)는 하나의 단일 크러스트(509)를 나타내고, 이미지(501)에서 보이는 것보다 더 적은 전체 충전(overall fill)을 보여준다. 크러스트(509)는 제 2 사이클 동안의 증착물을 나타내며, 제 1 사이클은 O2/N2플라스마 전-처리가 없을 때 핵생성을 하지 않는다. 제 1 사이클 후의 O2/N2 플라스마 경화가 크러스트(509)의 존재가 가리키는 제 2 사이클의 핵생성 및 증착을 가능하게 했다고 판단된다. 일례를 들자면, 후-증착 플라스마 공정 조건은, 노출 시간을 제외하고 전-처리 플라스마 조건과 동일하다. 다양한 실시예에 따르면, 후-증착 플라스마 조건이 전-처리와 상이할 수 있다. 일례에서, 전-처리가 증착 챔버에서 인 시추 플라스마를 이용해 수행되고, 후-증착 처리는 외부에서 수행된다. 기판이 증착 챔버로 돌아올 때, 필요하다면, 또 다른 인 시추 플라스마 전-증착 처리를 겪을 수 있다.
나타낸 바와 같이, O2/N2 플라스마 전-처리가 O2(N2는 없음) 또는 N2(O2는 없음) 플라스마에 의해 얻어지지 않는 이점을 제공함이 발견되었다. 도 6의 이미지가 이를 설명한다: 이미지(601)에서, 초기 O2/N2 전-처리 후의 2-사이클 갭 충전이 나타난다. (이 이미지는 나란히 비교하기 위해 두 열 모두에서 나타난다.) 이미지(603)에서, 초기 O2 전-처리 후의 2-사이클 갭 충전이 나타나고, 이미지(605)에서, 초기 N2 전-처리 후의 2-사이클 갭 충전이 나타난다. 각각의 사이클은 도핑되지 않은 실리콘 옥사이드를 증착했고, 후-증착 O2/N2 플라스마 경화를 포함했다. 이미지들을 비교함으로써 나타나는 바와 같이, 제 1 사이클에 대한 핵생성 지연시간을 감소시킴에 있어 O2/N2 전-처리가, O2 또는 N2 처리보다 더 효과적이고, 후자 이미지에서는 단 하나의 크러스트만 존재한다는 것이, O2 또는 N2 플라스마 전-처리 후의 제 1 사이클에서는 실질적으로 증착이 발생하지 않음을 나타낸다. 더 좁은 특징부에 대한 유사한 비교(도시되지는 않음)에 의하면, O2 및 N2 플라스마 전-처리 후의 제 1 사이클에서 적은 필름 양이 증착되었지만, 이 양은 O2/N2 전-처리 후의 것보다 상당히 적음을 알 수 있었다. 이미지(607 및 609)가, O2/N2 전-처리 후에 O2 전-처리와 N2 전-처리가 뒤 따른 후의 충전된 갭에 대한 결과를 보여준다. 결과는, 이미지(603 및 605) 각각에서 나타난, O2 전-처리 및 N2 전-처리에 대해 얻어진 것과 유사하다. 이는, O2 또는 N2 플라스마 처리에 뒤 따름으로써, O2/N2 전-처리가 덜 효과적으로 이뤄질 수 있음을 나타낸다. 어떠한 특정 이론에 구애받지 않고, O2/N2 전-처리는, 유동성 옥사이드 필름의 더 빠르고 더 균일한 핵생성을 촉진시키는 고유한 표면 상태를 생성하는 것으로 판단된다. O2/N2 전-처리가 또한 더 우수한 특징부들 간 충전 균일도(feature-to-feature fill uniformity)를 제공한다.
전-처리 후, 그러나 유동성 옥사이드 증착 전에, 기판이 공기 또는 그 밖의 다른 비활성이 아닌 가스층에 노출되는 경우 전-처리의 이점이 없어질 수 있다. 적어도 일부의 경우에서, 전-처리에 의해 생성된 바람직한 표면 터미네이션(surface termination)은, 원치 않은 화학종을 탈착시키기 위한 열 처리에 의해서 회복될 수 없다는 것이 발견되었다. 따라서 특정 실시예에서, 전-처리와 증착 사이에 웨이퍼가 진공 또는 비활성 가스층에만 노출된다. 전-처리가 증착 챔버 외부에서 발생하는 실시예에서, 전-처리된 기판을 증착 챔버로 수송하는 것이, 진공 또는 비활서 d가스층하에서 이뤄진다.
O2:N2 유량비, 또는 더 일반적으로, 플라스마 발생기 및 전-처리 모듈로 흐르는 전-처리 기체의 O:N 유량비의 범위는, 약 30:1 내지 약 1:10로 꽤 넓을 수 있다. 특정 실시예에서, 유량비는 약 30:1 내지 1:1, 또는 약 25:1 내지 2:1이다.
일부 실시예에서, 약간의 비-흔적량(non-trace amount)의 질소가 존재하는 한, 충전 높이는 N2 유량에 비교적 영향받지 않는다. 이는, O2 유량은 10slm으로 일정하게 유지한, 다양한 N2 유량에 대한 도핑되지 않은 실리콘 옥사이드 충전 높이의 도표인 도 7에 도시되어 있다. O:N 유량비, 0, 20:1, 10:1 및 2.5(각각, 0, 0.5, 1 및 4slm의 N2에 대응함)가 표시되어 있다. N2 없이, 거의 필름이 증착되지 않는다. 그러나 측정 가능한 양의 N2가 존재하는 경우, 충전 높이는 일정하다. 특정 실시예에서, 최소한 약 0.1slm 또는 0.25slm의 N2가 플라스마 발생기로 도입된다. 해당업계 종사자라면, 플라스마가 사용되는 경우 플라스마 발생기, 사용되는 특정 화합물 등에 따라 유량이 변화할 수 있음을 알 것이다.
특정 실시예에서, O2:N2 유량비, 또는 더 일반적으로 O:N 유량비가 2.5:1 이상, 또는 약 10:1 이상이다. 이는 특징부들 간 충전 균일도를 개선할 수 있다. 도 8은 O2 유량은 10slm으로 일정하게 유지한, 다양한 N2 유량에 대한 도핑되지 않은 실리콘 옥사이드 충전 비-균일도의 도표이다. 유량비 0, 20:1, 10:1, 및 2.5(각각 0, 0.5, 1 및 4slm N2에 대응함)가 표시된다. 충전 균일도는 N2 유량에의 종속성을 보여주고, 비-균일도는 N2 유량에 따라 증가한다.
전-처리 노출 시간은 수 초 내지 수 분의 범위를 가질 수 있으며, 온도에 따라 달라질 수 있고, 온도가 높을수록 전-처리의 효율이 더 높다. 다양한 실시예에 따르면, 증착 온도 또는 그 이상에서 전-처리가 수행된다. 특정 실시예에서, 전-처리는 증착보다 상당히 더 높은 온도에서 수행되는데, 가령, 증착 온도보다 최소한 약 100℃ 또는 200℃ 더 높은 온도에서 수행된다. 특정 실시예에서, 전-처리 온도는 최소한 약 100℃ 또는 200℃이거나, 최소한 약 300℃(예를 들어, 375℃)이다. 일부 실시예에서, 온도는 약 350℃±25℃이다. 도 9는 다양한 전-처리 작업에 대한 2번의 증착 사이클(증착 + 수-증착 O2/N2 경화) 후의 갭의 이미지를 보여주고, 이미지(901)는 어떠한 전-처리도 없는 충전을 나타내고, 이미지(903)는 30초 동안 375℃에서 이뤄진 O2/N2 플라스마 전-처리 후의 충전을 보여주며, 이미지(905)는 30초 동안 30℃에서 이뤄진 O2/N2 플라스마 전-처리 후의 충전을 보여주고, 이미지(907)는 10분 동안 30℃에서 이뤄진 O2/N2플라스마 전-처리 후의 충전을 보여준다. 점선은 제 1 증착 사이클 후의 충전을 가리킨다. 특정 실시예에서, 가령, 전-처리와 증착 사이에 기판이 이동하지 않도록, 증착과 동일한 챔버 또는 스테이션에서 수행되는 전-처리는 증착 온도에서 수행된다.
특정 실시예에서, 처리 작업은 표면을, H2 기체로부터 생성된 활성화된 화학종에 노출시키는 과정을 포함한다. 상기 H2 기체는 홀로, 또는 다른 기체와 함께 제공될 수 있다. 일부 실시예에서, H2는 N2 및/또는 O2 없이 제공된다. 수소 터미네이션은, 잠재적으로 소수성, 접촉 각, 결합 세기, 접착 및 계면 에칭율을 변경하면서, 상이한 표면 속성을 생성할 수 있다. H2 전-처리는, 가령, N2/O2 전-처리보다 특정 유형의 필름(가령, 도핑되지 않은 실리콘 옥사이드 필름보다 더 소수성인 탄소 도핑된 실리콘 옥사이드 필름)의 증착 전에 더 적합할 수 있다. 예를 들어, 일부 경우, 탄소 도핑된 필름의 증착 전에 이뤄지는 H2 전-처리는 우수한 바텀 업 갭 충전을 제공하는데, 반면에, N2/O2 전-처리는 불완전한 커버리지(coverage)를 야기할 수 있다. H2 활성화된 화학종이 생성될 수 있는 혼합 기체의 예로는 H2/He, H2/N2, H2/Ar, 및 H2/O2가 있다. 앞서 설명된 바와 같이, 활성화된 화학종은 인 시추로, 또는 원격 플라스마 발생기를 이용함으로써, 및/또는 열 에너지 공급원, 광원(자외선 및/또는 적외선 광원), 및 마이크로파 공급원을 포함하는 하나 이상의 에너지 공급원에 노출시킴으로써 혼합 기체로부터 생성될 수 있다.
유동성 옥사이드 증착
실리콘 옥사이드를 형성하기 위해, 공정 기체 반응물이 실리콘-함유 화합물 및 산화제를 포함하는 것이 일반적이며, 또한 촉매, 용매 및 그 밖의 다른 첨가제도 포함할 수 있다. 또한 기체는 하나 이상의 도펀트 전구물질, 가령, 불소, 인, 탄소, 질소 및/또는 붕소-함유 기체도 포함할 수 있다. 가끔, 필수는 아니지만, 비활성 기체가 제공된다. 특정 실시예에서, 액체 분사 시스템을 이용하여 기체가 도입된다. 특정 실시예에서, 실리콘-함유 화합물 및 산화제가, 개별 입구를 통해 도입되거나, 반응기로 도입되기 전에 혼합 보울(mixing bowl) 및/또는 샤워헤드에서 결합된다. 촉매 및/또는 선택적 도펀트가, 반응물들 중 하나로 혼입되거나, 반응물 중 하나와 미리 혼합(pre-mix)되거나, 개별 반응물로서 혼입될 수 있다. 그 후, 기판은 공정 기체에 노출된다. 반응기 내 조건은, 실리콘-함유 화합물 및 산화제가 반응하여, 응축된 유동성 필름을 기판 상에 형성하도록 정해진다. 촉매가 제공됨으로써, 필름의 형성이 보조될 수 있다. 방법은 특정 반응 메커니즘에 국한되지 않는데, 가령, 반응 메커니즘은 가수분해 반응, 중합 반응, 응축 반응, 응축하는 기상 산물을 생성하는 기상 반응(vapor-phase reaction), 반응 전 반응물들 중 하나 이상의 응축, 또는 이들의 조합을 포함할 수 있다. 유동성 필름을 증착하여 갭의 최소한 일부분을 충전하도록, 또는 갭을 원하는 만큼 과충전(overfill)하기 충분한 시간 동안 기판이 공정 기체에 노출된다.
실리콘 함유 전구물질의 예로는, 알콕시실란, 가령, 테트라옥시메틸사이클로테트라실록산(TOMCTS), 옥타메틸사이클로테트라실록산(OMCTS), 테트라에톡시실란(TEOS), 트리에톡시실란(TES), 트리메톡시실란(TriMOS), 메틸트리에톡시오소실리케이트(MTEOS), 테트라메틸오소실리케이트(TMOS), 메틸트리메톡시실란(MTMOS), 디메틸디메톡시실란(DMDMOS), 디에톡시실란(DES), 디메톡시실란(DMOS), 트리페닐에톡시실란, 1-(트리에톡시시릴)-2-(디에톡시메틸시릴)에탄, 트리-t-부톡실실라놀, 헥사메톡시디실란(HMODS), 헥사에톡시디실란(HEODS), 테트라이소사이아나테실란(TICS), 비스-테르트-부틸아미노 실란(BTBAS), 수소 실세스퀴옥산, 테르트-부톡시디실란, T8-히드리도스테로실록산, 옥타히드로 POSSTM(폴리헤드랄 올리고머릭 실세스퀴옥산) 및 1,2-디메톡시-1,1,2,2-테트라메틸디실란을 포함하나, 이에 국한되지 않는다. 실리콘 함유 전구물질의 추가적인 예로는, 실란(SiH4), 디실란, 트리실란, 헥사실란, 사이클로헥사실란, 및 알킬실란, 가령, 메틸실란 및 에틸실란을 포함한다.
특정 실시예에서, 실리콘-함유 전구물질은 알콕시실란이다. 사용될 수 있는 알콕시실란은, 다음을 포함한다(그러나 이에 국한되지 않는다):
Hx-Si-(OR)y 여기서 x=0-3, x+y=4 그리고 R은 치환된 또는 치환되지 않은 알킬 그룹이며;
R’x-Si-(OR)y 여기서 x는 0-3, x+y=4, R은 치환된 또는 치환되지 않은 알킬 그룹이고, R'은 치환된 또는 치환되지 않은 알킬, 알콕시, 또는 알콕시알칸 그룹이고,
Hx(RO)y-Si-Si-(OR)yHx 여기서 x=0-2, x+y=3이고, R은 치환된, 또는 치환되지 않은 알킬 그룹이다.
특정 실시예에서, 탄소 도핑된 전구물질이, 또 다른 전구물질(가령, 도펀트 역할을 하는)에 추가로, 또는 홀로 사용된다. 탄소 도핑된 전구물질은 하나 이상의 Si-C 결합을 포함한다. 사용될 수 있는 탄소 도핑된 전구물질은, 다음을 포함한다(그러나 이에 국한되지 않는다):
R'x--Si-Ry 여기서 x=0-3, x+y=4, R은 치환된 또는 치환되지 않는 알킬 그룹이고, R'은 치환된 또는 치환되지 않는 알킬, 알콕시, 또는 알콕시알칸 그룹이며,
SiHxR'y-Rz 여기서 x=1-3, y=0-2, x+y+z=4, R은 치환된 또는 치환되지 않은 알킬 그룹이고, R'은 치환된 또는 치환되지 않은 알킬, 알콕시, 또는 알콕시알칸 그룹이다.
탄소 도핑된 전구물질의 예가 추가로 제공되는데, 가령, 트리메틸실란(3MS), 테트라메틸실란(4MS), 디에톡시메틸실란(DEMS), 디메틸디메톡시실란(DMDMOS), 메틸트리에톡시실란(MTES), 메틸-트리메톡시실란, 메틸-디에톡시실란, 메틸-디메톡시실란, 트리메톡시메틸실란, (TMOMS), 디메톡시메틸실란, 및 bis(트리메틸시릴)카보디이미드가 있다. 그러나 이에 제한되지 않는다.
특정 실시예에서, 아미노실란 전구물질이 사용된다. 아미노실란 전구물질은, 다음을 포함한다(그러나 이에 국한되지 않음):
Hx-Si-(NR)y 여기서 x=0-3, x+y=4, R은 유기 히드라이드 그룹이다.
아미노실란 전구물질의 예가 추가로 제공되는데, 가령, tris(디메틸아미노)실란이 있다(그러나 이에 제한되지 않음).
적정한 산화제의 예로는, 오존(O3), 수소 페록사이드(H2O2), 산소(O2), 물(H2O), 및 알콜(가령, 메탄올, 에탄올 및 이소프로패놀) 등의 페록사이드, 니트릭 옥사이드(NO), 니트러스 디옥사이드(NO2), 니트러스 옥사이드(N2O), 탄소 모녹사이드(CO), 및 탄소 디옥사이드(CO2)가 있다(그러나 이에 제한되는 것은 아님).
하나 이상의 도펀트 전구물질, 촉매, 억제제, 완충제, 용매 및 그 밖의 다른 화합물을 포함하는 계면활성제가 도입될 수 있다. 촉매는 할로겐-함유 화합물, 산, 또는 염기를 포함할 수 있다. 특정 실시예에서, 프로톤 도너(proton donor) 촉매가 채용된다. 프로톤 도너 촉매의 예로는, 1) 질산, 플루오르화 수소산, 인산, 황산, 염화수소산, 브롬산 등의 산, 2) R-COOH 및 R-C(=O)X(여기서 R은 치환된 또는 치환되지 않은 알킬, 아릴, 아세틸, 또는 페놀이고, X는 할라이드임) 등의 카르복실 산 유도체, R-COOC-R 카르복실 안히드라이드, 3) SixXyHz 여기서 x는 1-2, y=1-3, z=1-3이고, X는 할라이드, 4) RxSi-Xy 여기서 x=1-3이고, y=1-3, R은 알킬, 알콕시, 알콕시알탄, 아릴, 아세틸 또는 페놀, X는 할라이드, 5) 암모니아 및 그 유도체(가령, 암모니아 히드록사이드, 히드라진, 히드록실아민, 및 R-NH2, 여기서 R은 치환된 또는 치환되지 않은 알킬, 아릴, 아세틸 또는 페놀.
앞서 제공된 예에 추가로, 사용될 수 있는 할로겐-함유 화합물은 할로겐화 유기 분자(가령, 디클로로실란(Si2Cl2H2), 트리클로로실란(SiCl3H), 메틸클로로실란(SiCH3ClH2), 클로로트리에톡시실란, 클로로트리메톡시실란, 클로로메틸디에톡시실란, 클로로메틸디메톡시실란, 비닐트리클로로실란, 디에톡시디클로로실란, 및 헥사클로로디실록산) 등의 할로겐화 분자를 포함한다. 사용될 수 있는 산은 무기산(mineral acid)(가령, 염화수소산(HCl), 황산(H2SO4), 및 인산(H3PO4)), 유기산(가령, 포름산(HCOOH), 아세트산(CH3COOH), 및 트리플루오르 아세트산(CF3COOH)일 수 있다. 사용될 수 있는 염기는 암모니아(NH3), 또는 암모니아 히드록사이드(NH4OH), 포스핀(PH3) 및 그 밖의 다른 질소 또는 인-함유 유기 화합물을 포함한다. 촉매의 또 다른 예로는, 클로로-디에톡시실란, 메탄설포닉 산(CH3SO3H), 트리플루오로메탄설포닉 산("triflic", CF3SO3H), 클로로-디메톡시실란, 파이리딘, 아세틸 클로라이드, 클로로아세트산(CH2ClCO2H), 디클로로아세트산(CHCl2CO2H), 트리클로로아세트산(CCl2CO2H), 옥살산(HO2CCO2H), 벤조산(C6H5CO2H), 및 트리에틸아민이다.
다양한 실시예에 따르면, 촉매 및 그 밖의 다른 반응물이 동시에, 또는 특정 순서로 도입될 수 있다. 예를 들어, 일부 실시예에서, 산성 화합물이 반응기로 도입되어, 증착 공정의 시작 부분에서 가수 분해 반응을 촉진시킬 수 있고, 그 후, 염기성 화합물이 가수 분해 단계의 거의 끝 부분에 도입되어, 가수 분해 반응을 억제하고, 응축 또는 중합 반응을 촉진시킬 수 있다. 빠른 전달 즉 "퍼핑(puffing)"에 의해 산 또는 염기가 도입되어, 증착 공정 동안 가수 분해 또는 응축 반응을 빠르게 촉진, 또는 억제할 수 있다. 증착 공정 중 임의의 때에 퍼핑에 의한 pH의 교번이 발생할 수 있으며, 상이한 공정 타이밍 및 순서(sequence)가, 상이한 적용예에 바람직한 속성을 갖는 상이한 필름을 도출할 수 있다. 또 다른 촉매의 예로는 염화수소산(HCl), 플루오르화 수소산(HF), 아세트산, 트리플루오르화 아세트산, 포름산, 디클로로실란, 트리클로로실란, 메틸트리클로로실란, 에틸트리클로로실란, 트리메톡시클로로실란, 및 트리에톡시클로로실란을 포함한다. 채용될 수 있는 빠른 전달 방법은 미국 출원 제12/566,085호에 기재되어 있으며, 상기 미국 출원은 본원에서 참조로서 인용된다.
기판 표면에서의 표면 장력을 완화시키고 반응물의 습윤도(wetting)를 증가시키기 위해 계면활성제가 사용될 수 있다. 또한 계면활성제는, 액상으로 응축될 때 다른 반응물과의 유전체 전구물질의 혼화성(miscibility)도 증가시킬 수 있다. 계면활성제의 예로는, 용매, 알콜, 에틸렌 글리콜, 및 폴리에틸렌 글리콜이 있다. 탄소 함유된 부분(moiety)이 종종 전구물질을 더 소수성으로 만들기 때문에 탄소 도핑된 실리콘 전구물질에 대해 상이한 계면활성제가 사용될 수 있다.
용매는 비 극성이거나 극성 용매이고, 프로톤성 용매이거나 비 프로톤성 용매이다. 용매는 유전체 전구물질의 선택에 맞춰져서, 산화제에서의 혼화성을 향상시킬 수 있다. 비 극성 용매는 알칸 및 알켄을 포함하고, 극성 비 프로톤성 용매는 아세톤 및 아세테이트를 포함하며, 극성 프로톤성 용매는 알콜 및 카르복실 화합물을 포함한다.
도입될 수 있는 용매의 예로는, 알콜, 가령, 이소프로필 알콜, 에탄올 및 메탄올, 또는 그 밖의 다른 화합물, 가령 에테르, 카르보닐, 니트릴, 다른 반응물과의 혼화물을 포함한다. 용매는 선택사항이며, 특정 실시예에서, 개별적으로 도입되거나, 산화제나 또 다른 공정 기체와 함께 도입된다. 용매의 예로는, 메탄올, 에탄올, 이소프로패놀, 아세톤, 디에틸에테르, 아세토니트릴, 디메틸포름아미드, 및 디메틸 설폭사이드를 포함한다(그러나 이에 제한되지 않음). 일부 실시예에서, 특히, 전구물질과 산화제가 낮은 혼화성을 갖는 경우에, 용매가 퍼핑에 의해 반응기로 도입되어, 가수 분해를 촉진시킬 수 있다.
특정 실시예에서, 필름의 탄소, 질소, 또는 실리콘 함유량을 증가시키기 위해, 도펀트가 사용된다. 예를 들어, 트리에톡시실란에 메틸-트리에톡시실란(CH3Si(OCH2)3)이 도핑되어, 탄소를 증착된 그대로의 필름(as-deposited film)에 도입시킬 수 있다. 대안적 구현예에서, 메틸트리에톡시실란이 사용되어, 다른 전구물질 없이 탄소 함유 필름을 증착할 수 있다. 탄소 도핑된 전구물질의 또 다른 예로는, 트리메틸실란(3MS), 테트라메틸실란(4MS), 디에톡시메틸실란(DEMS), 디메틸디메톡시실란(DMDMOS), 메틸트리메톡시실란(MTMS), 메틸-디에톡시실란(MDES), 메틸-디메톡시실란(MDMS) 및 고리형 아자실란을 포함한다. 추가적인 탄소 도핑된 전구물질이 앞서 기재된다. 특정 실시예에서, 필름에 추가적인(extra) 실리콘 및/또는 질소가 도핑된다.
이 실시예 또는 다른 실시예에서, 어닐링 동안 필름을 탄소 함유, 질소 함유 및/또는 실리콘 함유 가스층에 노출시킴으로써, 필름에 도핑이 될 수 있다. 앞서 기재된 바와 같이, 이는 가령, 열, UV, 플라스마 또는 마이크로파 에너지 등의 에너지 공급원이 있을 때, 이뤄질 수 있다.
이 실시예 또는 다른 실시예에서, 탄소 도핑은 특정 촉매를 이용하는 것을 포함할 수 있다. 탄소-도핑된 필름을 위해 사용될 수 있는 촉매의 예로는, 클로로메틸디에톡시실란, 클로로메틸디메톡시실란, 및 비닐 트리클로로실란을 포함한다.
일부 실시예에서, 탄소 도핑된 필름, 또는 도핑되지 않은 실리콘 옥사이드보다 더 소수성인 다른 필름의 증착 전에 H2 전-처리가 채용될 수 있다.
가끔, 필수는 아니더라도, 비활성 기체가 제공된다. 예를 들어, 앞서 기재된 화합물들 중 하나와 함께 질소, 헬륨 및/또는 아르곤이 챔버로 도입될 수 있다.
반응 조건은, 실리콘 함유 화합물 및 산화제가 유동성 필름을 형성하도록 정해진다. 특정 실시예에서, 반응이 다크 또는 비-플라스마 조건에서 발생한다. 챔버 압력은 약 1 내지 600Torr일 수 있으며, 특정 실시예에서, 5 내지 200Torr, 또는 10 내지 100 Torr일 수 있다. 특정 실시예에서, 챔버 압력은 약 10 Torr이다. 또 다른 실시예에서, 플라스가 있을 때, 반응이 발생한다. 플라스마-강화 화학 기상 증착(PECVD) 반응을 통해 갭 충전을 하기 위해 유동성 필름을 증착하는 방법이 미국 특허 제12/334,726호에 기재되어 있으며, 상기 미국 특허는 본원에서 참조로서 포함된다.
특정 실시예에서 기판 온도는 약 -20℃ 내지 250℃이다. 특정 실시예에서, 온도는 약 -10℃ 내지 80℃, 또는 약 0℃ 내지 35℃이다. 증착 시간을 조정하기 위해 압력 및 온도는 달라질 수 있으며, 흡착 또는 응축 반응을 이용할 때 빠른 증착을 위해 높은 압력과 낮은 온도가 일반적으로 바람직하다. 높은 온도와 낮은 압력은 더 느린 증착 시간을 도출할 것이다. 따라서 온도를 증가시키는 것은 증가된 압력을 필요로 할 수 있다. 하나의 실시예에서, 온도는 약 5℃이고, 압력은 약 10Torr이다. 노출 시간은 반응 조건과 희망하는 필름 두께에 따라 달라진다. 증착속도(deposition rate)는, 다양한 실시예에 따라, 약 100옹스트롬/분(min) 내지 1마이크로미터/분(min)이다.
이러한 조건 하에서, 유동성 필름을 갭 내부에 증착하기 충분히 긴 시간 동안 기판이 반응물에 노출된다. 앞서 설명한 바와 같이, 전체 희망하는 필름 두께가 단일 사이클 증착으로 증착될 수 있다. 복수의 증착 작업을 이용하는 또 다른 실시예에서, 특정 사이클에서 희망 필름 두께의 일부분만 증착된다. 특정 실시예에서, 기판은 반응물에 지속적으로 노출되지만, 다른 실시예에서, 반응물 중 하나 이상이 펄스(puls)화 되거나, 그 밖의 다른 방식으로 간헐적으로 도입될 수 있다. 또한, 앞서 말했다시피, 특정 실시예에서, 유전체 전구물질, 산화제, 촉매 또는 용매 등의 반응물들 중 하나 이상은, 나머지 반응물의 도입 전에 도입될 수 있다.
특정 실시예에서, 유전체 전구물질, 산화제, 또는 그 밖의 다른 반응물들 중 하나는, 다른 반응물의 도입 전에 전-처리된 표면 너머로 흐른다.
반응 메커니즘의 일례에서, 실리콘 함유 유기 전구물질(가령, 트리-메톡시 실란 또는 트리-에톡시 실란 등의 실록산), 및 산화제(가령, 물)가 반응한다. 메탄올, 에탄올 및 이소프로패놀 등의 용매가 사용되어, 실리콘-함유 유기 전구물질과 물 간의 혼화성을 개선하고, 표면의 습윤성을 개선한다. 가수 분해 매질에서, 실리콘 함유 전구물질이 웨이퍼 표면 상에 유체형 필름(fluid-like film)을 형성하고, 바람직하게도 상기 필름은, 모세관 응축 및 표면 장력에 의해 트렌치에 증착되어, 바텀 업 충전 공정이 야기된다. 이 유체형 필름은 알콕시 그룹(-OR, R은 알킬 그룹)을 -OH 그룹으로 대체함으로써 형성된다. 필름 형성 중 이 단계가 가수분해라고 지칭된다. -OH 그룹 및 잔여 알콕시 그룹은, 물 및 알콜 분자의 방출을 야기하고, Si-O-Si 결합을 형성하는 응축 반응에 참가한다. 증착된 그대로의 필름은 대부분, (실리콘 함유 전구물질로부터 기원된) 일부 비 가수 분해된(unhydrolyzed) Si-H 결합을 포함할 수 있는 저 밀도 실리콘 옥사이드이다. 반응 메터니즘 및 증착된 그대로의 필름의 조성은 특정 반응물과 반응 조건에 따라 달라질 수 있다. 본원에서 기재되는 유동성 옥사이드 증착 방법은 특정 반응 메커니즘에 제한되지 않는데, 상기 반응 메커니즘은 흡착 반응, 가수 분해 반응, 응축 반응, 중합 반응, 응축하는 기상 산물을 생성하는 기상 반응, 반응 전에 이뤄지는 반응물들 중 하나 이상의 응축, 또는 이들의 조합을 포함할 수 있다. 예를 들어, 특정 실시예에서, 페록사이드가 실리콘 함유 전구물질(가령, 알킬실란)과 반응하여, 탄소 함유 실라놀을 포함하는 유동성 필름을 형성할 수 있다. 해당업계 종사자라면, 유동성 필름 공정을 위해 그 밖의 다른 알려진 증기 증착 공정이 사용될 수 있음을 알 것이다.
특정 실시예에서, 본원에서 기재된 전-처리 작업은, 웨이퍼 기판 상으로의 반응물의 흡착 및/또는 응축에 의해 개시되는 증착의 핵생성을 촉진시킨다. 예를 들어, 전 처리 작업은, 앞서 설명된 모세관 응축 법에 의해 핵생성을 촉진시킬 수 있다. 이러한 메터니즘의 또 다른 기재가 미국 특허 제7,074,690호 및 제7,524,735호에서 발견되며, 이들 미국 특허는 본원에서 참조로서 포함된다. 구체적 이론에 구애받지 않고, 유동성 옥사이드 필름의 균일한 핵생성을 가능하게 하는 기재된 전-처리에 의해 바람직한 표면 터미네이션이 생성된다고 판단된다.
후-증착 처리
다양한 실시예에 따라, 증착 후, 증착된 그대로의 필름(as-deposited film)이 처리된다. 다양한 실시예에 따르면, 다음 중 한 가지 이상을 하기 위한 하나 이상의 처리 작업이 수행될 수 있다: 도펀트의 도입, 증착된 그대로의 필름의 화학적 전환, 및 치밀화(densification).
후-증착 처리는 인 시추로, 즉, 증착 챔버 내에서, 또는 또 다른 챔버에서 수행될 수 있다. 경화(cure)나 어닐(anneal) 작업이라고도 지칭되는 치밀화 작업은, 플라스마 기반으로, 또는 순수 열 방식으로, 또는 복사선(가령, 자외선, 적외선 또는 마이크로파 복사선)으로의 노출에 의해 이뤄질 수 있다.
온도 범위는 0° 내지 600°, 또는 그 이상일 수 있으며, 여기서 온도 범위의 상한은 특정 공정 스테이지에서의 열 소모 비용(thermal budget)에 의해 결정된다. 예를 들어, 특정 실시예에서, 전체 공정이 약 400℃ 이하의 온도에서 수행됐다 이 온도는 예를 들면 NiSi 접촉과 조화되는 것이다. 압력 범위는 플라스마 공정에 대해 0.1-10 Torr일 수 있으며, 그 밖의 다른 유형의 공정에 대한 최대 대기압을 가진다. 해당업계 종사자라면 특정 공정은 이들 범위 밖의 온도와 압력 범위를 가질 수 있음을 이해할 것이다.
비활성 분위기(Ar, He, 등)에서, 또는 잠재적으로 반응성 분위기에서, 어닐이 수행될 수 있다. (O2, N2O, O3, H2O, H2O2 등을 이용하는) 산화 분위기가 사용될 수 있지만, 특정 상황에서, 필름에 질소가 혼입되는 것을 방지하기 위해, 질소 함유 화합물이 피해질 것이다. 다른 실시예에서, (N2, N2O, NH3 등을 이용하는) 질화 분위기가 사용된다. 일부 실시예에서, 산화 분위기와 질화 분위기의 혼합이 사용된다.
언급한 바와 같이, 특정 실시예에서, 원격(또는 다운스트림) 공급원, 또는 인-시추 공급원으로부터의 플라스마에 필름을 노출시킴으로써, 필름이 처리된다. 이로써, 유동성 필름을 치밀화된 고상 필름으로 탑-다운 전환(top-down conversion)하는 것이 도출될 수 있다. 플라스마는 비활성이거나 반응성일 수 있다. 플라스마는 용량성 결합되거나 유도성 결합될 수 있다. 헬륨과 아르곤 플라스마가 비활성 플라스마의 예이며, 산소와 수증기 플라스마가 (예를 들어, 탄소 또는 질소를 제거하거나 필름을 원하는 대로 추가로 산화시키기 위해 사용되는) 산화 플라스마의 예이다. 플라스마 노출 동안의 온도는 약 200℃ 이상인 것이 일반적이다. 특정 실시예에서, 산소 또는 산소 함유 플라스마가 탄소 또는 질소를 제거하기 위해 사용된다.
또 다른 어널링 공정, 가령, 고속 열 공정(RTP)이 더 사용되어, 필름을 고상화(solidify) 및/또는 수축시킬 수 있다. 엑스 시추 공정을 이용하는 경우, 더 높은 온도와 그 밖의 다른 에너지 공급원이 사용될 수 있다. 엑스 시추 처리는, N2, O2, H2O 또는 He 등의 분위기에서의 고온 어닐(700℃ 내지 1000℃)을 포함한다. 특정 실시예에서, 엑스 시추 처리는 가령 자외선 열 공정(UVTP)에서 필름을 자외선 복사선에 노출시키는 과정을 포함한다. 예를 들어, 필름을 경화하기 위해, UV 노출과 함께 400℃ 이상의 온도가 사용될 수 있다. 그 밖의 다른 섬광(flash) 경화 공정, 가령, RTP가 엑스 이추 처리를 위해, 역시 사용될 수 있다.
특정 실시예에서, 동일한 공정 작업에 의해, 필름은 치밀화되고, 화학적 또는 물리적으로 전환된다. 필름을 변환하는 것은 반응성 화학물을 이용하는 것을 포함한다. 다양한 실시예에 따르면, 어닐링된 필름의 조성은 증착된 그대로의 필름(as-deposited film)과 경화 화학물에 따라 달라진다. 예를 들어, 특정 실시예에서, 산화 플라스마 경화를 이용하여 Si(OH)x 증착된 그대로의 필름이 SiO 망으로 전환된다. 또 다른 실시예에서, 산화 및 질화 플라스마에 노출시킴으로써, Si(OH)x 증착된 그대로의 필름이 SiON 망으로 전환되거나, SiN 또는 SiON 증착된 그대로의 필름이 Si-O 필름으로 전환된다.
앞서 도 3을 참조하여 기재된 바와 같이, 다중-사이클 공정이 사용되는 특정 실시예에서, 질화 및 산화 플라스마로의 노출, 또는 그 밖의 다른 후-증착 처리가, 다음 번 증착뿐 아니라, 치밀화와 전화을 위해서도 표면을 전-처리하기 위해 사용될 수 있다.
장치
본 발명의 방법은 다양한 장치에서 수행될 수 있다. 증착 작업은 유전체 필름을 증착하기 위한 임의의 챔버, 가령, HDP-CVC 반응기, PECVD 반응기, 대기하(sub-atmospheric) CVD 반응기, CVD 반응을 위한 챔버,및 PDL(pulsed deposition layer)용으로 사용되는 챔버에서 수행될 수 있으며, 이때, 처리 작업은 이들 또는 또 다른 챔버를 이용해 수행된다.
일반적으로, 장치는 하나 이상의 웨이퍼를 하우징하고 웨이퍼 처리에 적합한 하나 이상의 챔버, 또는 "반응기(reactor)"(때때로 복수의 스테이션을 포함함)를 포함할 것이다. 각각의 챔버는 처리되기 위한 하나 이상의 웨이퍼를 하우징할 수 있다. 하나 이상의 챔버는 웨이퍼를 지정된 하나 이상의 위치에 유지시킨다(이때, 상기 위치 내에서 움직임, 가령, 회전, 진동 또는 그 밖의 다른 교반이 있을 수도 있고, 없을 수도 있다). 처리 중에, 페데스털(pedestal), 웨이퍼 척, 및/또는 그 밖의 다른 웨이퍼 고정 장치에 의해 각각의 웨이퍼가 제 위치로 유지된다. 웨이퍼가 가열될 특정 작업에 있어서, 장치는 가열기, 가령 히팅 플레이트(heating plate)를 포함할 수 있다.
도 10A는 예시적 툴 구성(1000)을 도시하며, 여기서, 툴은 2개의 고밀도 플라스마 화학 기상 증착(HDP-CVD) 모듈(1010)과, 유동성 갭 충전 모듈(1020)과, PEC(1030)와, WTS(Wafer Transfer Systme)(1040)과, 로드록(loadlock)(1050)을 포함하며, 일부 실시예에서는 웨이퍼 냉각 스테이션, 및 진공 수송 모듈(1035)을 포함한다. HDP-CVD 모듈(1010)은, 예를 들어, Novellus SPEED MAX 모듈일 수 있다. 유동성 갭 충전 모듈(1020)은, 예를 들어, Novellus Flowable Oxide 모듈일 수 있다.
도 10B는 또 다른 예시적 툴 구성(1060)을 제공하며, 상기 툴 구성(1060)은 웨이퍼 수송 시스템(1095)과 로드 록(1090)과, 진공 수송 모듈(1075)과, 경화 모듈(1070)과, 유동성 갭 충전 모듈(1080)을 포함한다. 추가적인 경화 모듈(1070) 및/또는 유동성 갭 충전 모듈(1080)이 또한 포함될 수 있다. 경화 모듈(1070)은 플라스마 경화 모듈, 가령, 원격 플라스마 경화 모듈이거나, 유도성 또는 용량성 결합된 경화 모듈일 수 있다. 또 다른 실시예에서, 경화 모듈(1070)은 UV 경화 모듈이거나 열 경화 모듈이다. 인 시추 어닐이 수행되는 실시예에서, 경화 모듈(1070)이 제공되지 않을 수 있다. 경화 모듈(1070)의 예로는 Novellus SPEED 또는 SPEED Max, Novellus Altus EctremeFill(EFx) 모듈, 플라스마(ClEAR 모듈), 자외선(Lmier 모듈) 또는 적외선 처리를 위해 사용될 수 있는 Novellus Vector Extreme Pre-treatment 모듈, 또는 UV 처리를 위해 사용될 수 있는 Novellus SOLA을 포함할 수 있다.
도 11은 본 발명의 특정 실시예에 따라 증착 챔버, 처리 및 증착 챔버, 또는 독립적인 경화 모듈로서 사용될 수 있는 반응기의 일례를 도시한다. 도 11에 도시된 반응기는 다크(비-플라스마) 또는 플라스마-강화 증착 모두에 대해 적합할 뿐 아니라, 예를 들어, 용량성 결합된 플라스마 어닐에 의한 경화용으로도 적합하다. 나타난 바와 같이, 반응기(1100)는 공정 챔버(1124)를 포함하며, 상기 공정 챔버는 반응기의 다른 구성요소를 감싸고, 접지 히터 블록(1120)과 함께 동작하는 샤워헤드(1114) 등의 커패시터 유형 시스템에 의해 발생된 플라스마를 내포하는 역할을 한다. 저주파수 RF 발생기(1102)와 고주파수 RF 발생기(1104)는 샤워헤드(1114)로 연결되어 있다. 파워 및 주파수가 공정 기체로부터 플라스마를 생성하기에 충분한데, 가령, 총 에너지는 50W 내지 5㎾이다. 본 발명의 구현예에서, 유동성 필름의 다크 증착 동안 발생기가 사용되지 않는다. 플라스마 어닐링 단계 동안, 하나 또는 둘 모두의 발생기가 사용될 수 있다. 예를 들어, 통상의 공정에서, 고주파수 RF 성분이 2-60㎒이고, 바람직한 하나의 실시예에서, 그 성분은 13.56㎒이다.
반응기 내에서, 웨이퍼 페데스털(1118)이 기판(1116)을 지지한다. 페데스털은 척, 포크(fork), 또는 리프트 핀(lift pin)을 포함하여, 증착 및/또는 플라스마 처리 반응 동안, 또는 이들 반응과 반응 사이에 기판을 고정 및 수송할 수 있다. 척은 정전식 척, 기계식 척, 또는 산업 및/또는 연구에서 사용될 수 있는 그 밖의 다른 다양한 유형의 척일 수 있다.
공정 기체가 유입구(1112)를 통해 도입된다. 복수의 공급원 기체 라인(1110)이 다기관(1108)에 연결되어 있다. 기체는 미리 혼합된 것이거나 아닐 수 있다. 혼합 볼(mixing bowl)/다기관의 온도는 반응 온도 이상의 수준으로 유지되어야 한다. 보통, 약 20Torr 이하의 압력에서 약 80도 이상의 온도가 충분하다. 적정 밸브 및 매스 흐름 제어(mass flow control) 수단이 채용되어, 공정의 증착 및 플라스마 처리 과정에서 올바른 기체가 전달되었음을 보장할 수 있다. 화학 전구물질이 액체 형태로 전달되는 경우, 액체 흐름 제어 수단이 채용된다. 그 후, 상기 액체는 기화되고, 증착 챔버에 도달하기 전에, 수송 동안, 상기 액체의 기화 점 이상으로 가열된 다기관에서 다른 공정 기체와 혼합될 수 있다.
공정 기체는 배출구(1122)를 통해 챔버(1100)를 빠져 나온다. 폐쇄 루프-제어되는 흐름 제한 장치(가령, 쓰로틀 밸브, 또는 펜둘럼 밸브)에 의해, 진공 펌프(1126)(가령, 1 또는 2-스테이지 기계적 건조 펌프 및/또는 터보분자식 펌프)가 공정 기체를 배기시키고, 반응기 내부를 적합한 낮은 압력으로 유지한다.
도 12는 특정 실시예에 따르는 원격 플라스마 전-처리 및/또는 경화 모듈의 단순화된 개략도이다. 장치(1200)는 샤워헤드 조립체 또는 페이스플레이트(1217)에 의해 분리되는 플라스마 발생 부분(1211)과, 노출 챔버(1201)를 가진다. 노출 챔버(1201) 내부에서, 플래튼(또는 스테이지)(1205)가 웨이퍼 지지대를 제공한다. 플래튼(1205)에 가열/냉각 요소가 장착되어 있다. 일부 실시예에서, 플래튼(1205)은 또한 웨이퍼(1203)에 바이어스(bias)를 적용하도록 구성된다. 도관(1207)을 통해 진공 펌프를 통해 노출 챔버(1201)에서 저 압력이 유지된다. 기상 처리(gaseous treatment) 기체의 공급원이, 유입구(1209)를 통해 상기 장치의 플라스마 생성 부분(1211)으로의 기체의 흐름을 제공한다. 플라스마 생성 부분(1211)은 인덕션 코일(도면상 도시되지 않음)에 의해 둘러싸일 수 있다. 작업 동안, 혼합 기체가 플라스마 생성 부분(1211)으로 도입되며, 인덕션 코일이 여기되고, 플라스마 생성 부분(1211)에서 플라스마가 생성된다. 샤워헤드 조립체(1217)는 인가된 전압을 가질 수 있으며, 일부 이온의 흐름을 중단시키고, 노출 챔버(1201)로의 중성 화학종(neutral species)의 흐름을 허용할 수 있다.
도 13은 다양한 실시예에 따라, 전-처리 및/또는 후-증착 처리(또는 경화)를 위해 사용될 수 있는 HDP-CVD 장치의 다양한 구성요소의 단순화된 도시이다. 도시된 바와 같이, 반응기(1301)는 반응기의 다른 구성요소를 내장하고 플라스마를 내포하도록 기능하는 공정 챔버(1303)를 포함한다. 하나의 예에서, 공정 챔버 벽은 알루미늄, 알루미늄 옥사이드, 및/또는 그 밖의 다른 적합한 물질로 만들어진다. 도 13에 도시된 실시예는 2개의 플라스마 공급원: 상부 RF 코일(1305)과 측부 RF 코일(1307)을 포함한다. 상부 RF 코일(1305)는 중간 주파수, 즉 MFRF 코일이고, 측부 RF 코일(1307)은 저 주파수, 즉 LFRF 코일이다. 도 13에 도시된 실시예에서, MFRF 주파수는 430 내지 470㎑일 수 있고, LFRF 주파수는 340 내지 370㎑일 수 있다. 그러나 단일 공급원 및/또는 비-RF 플라스마 공급원을 갖는 장치가 사용될 수 있다.
반응기 내에서, 웨이퍼 페데스털(1309)은 기판(13111)을 지지한다. 열 전달 유체를 공급하기 위한 라인(1313)을 포함하는 열 전달 서브시스템은 기판(1311)의 온도를 제어한다. 웨이퍼 척 및 열 전달 유체 시스템은 적정 웨이퍼 온도를 유지하는 것을 촉진시킬 수 있다.
HFRF 공급원(1315)의 고주파수 RF은 기판(1311)을 전기적으로 바이어스하고, 대전된 전구물질 화학종을, 전-처리 또는 경화 작업을 위해 기판으로 인출하도록 기능한다. 공급원(1315)으로부터의 전기 에너지가, 가령, 전극 또는 용량성 결합을 통해 기판(13111)으로 연결된다. 기판에 적용되는 바이어스는 RF 바이어스일 필요는 없다. 또 다른 주파수 및 DC 바이어스가 사용될 수 있다.
하나 이상의 유입구(1317)를 통해 공정 기체가 도입된다. 기체는 미리 혼합되거나 아닐 수 있다. 기체를 기판 표면으로 지향시키거나 지향시키지 않을 수 있는 주 기체 링(primary gas ring)(1321)로부터 기체 또는 혼합 기체가 도입될 수 있다. 분사기(injector)가 상기 주 기체 링(1321)으로 연결되어, 기체 또는 혼합 기체 중 최소한 일부를 챔버 내부로, 그리고 기판 쪽으로 지향시킬 수 있다. 특정 실시예에서 분사기, 기체 링 또는 그 밖의 다른, 공정 기체를 웨이퍼 쪽으로 지향시키기 위한 수단이 제공되지 않을 수 있다. 공정 기체가 배출구(1322)를 통해 챔버(1303)를 빠져 나간다. 진공 펌프가 공정 기체를 인출하고, 상기 반응기 내부에서 적절히 낮은 압력을 유지하는 것이 일반적이다. 전-처리 및/또는 후-증착 처리 (또는 경화)의 관점에서 HDP 챔버가 기재되었지만, HDP 챔버는 특정 실시예에서, 유동성 필름의 증착을 위한 증착 반응기로서 사용될 수 있다. 예를 들어 열(비-플라스마) 증착에서, 이러한 챔버는 플라스마 점화 없이 사용될 수 있다.
도 11-13은 본원에서 기재된 전-처리를 구현하기 위해 사용될 수 있는 장치의 예를 제공한다. 그러나 해당업계 종사자라면 기재로부터 다양한 수정예가 이뤄질 수 있음을 알 것이다. 예를 들어, 처리 기체가 하나 이상의 UV 광원으로부터의 복사선(또는 또 다른 에너지 소스로부터의 에너지)에 노출될 수 있도록, 하나 이상의 UV 광원 또는 그 밖의 다른 에너지 소스가 처리 챔버 및/또는 기체 유입구에 대해 배치될 수 있다. 다양한 실시예에 따르면, 하나 이상의 UV 광원은 처리 챔버 내에, 또는 밖에 있을 수 있다. UV 광원이 처리 챔버 밖에 있는 경우, UV-투과성 창에 의해, UV 복사가 처리 챔버로 들어올 수 있다. 일부 실시예에서, 기체가 챔버로 들어오기 전에, UV 광원이 처리 기체를 조사하도록 배치될 수 있다. 본원에 기재된 방법을 구현하기 위해 사용될 수 있는 장치의 추가적인 기재가 미국 가특허출원 제61/425,150호에서 제공되며, 상기 미국 가특허출원은 본원에서 참조로서 인용된다.
특정 실시예에서, 시스템 제어기가 공정 파라미터를 제어하도록 사용된다. 시스템 제어기는 하나 이상의 메모리 장치와 하나 이상의 프로세서를 포함하는 것이 일반적이다. 일반적으로 상기 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결, 스테퍼(stepper) 모터 제어기 보드 등을 포함한다. 일반적으로 시스템 제어기와 연계된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 공정 조건의 그래픽 소프트웨어 디스플레이와, 사용자 입력 장치(가령, 포인팅 장치, 키보드, 터치 스크린, 마이크로폰, 등)를 포함할 수 있다. 시스템 제어기는 도 10A 또는 10B에 도시된 툴의 구성요소들 중 임의의 것 또는 모두로 연결될 수 있으며, 배치와 연결은 특정 구현예에 따라 달라질 수 있다.
특정 실시예에서, 시스템 제어기는 공정 챔버 내 압력을 제어한다. 시스템 제어기는 또한, 전달 시스템의 밸브, 액체 전달 제어기 및 MFC와, 배기 라인으로의 흐름 제한 밸브를 조정함으로써, 챔버 내 다양한 공정 기체의 농도도 제어할 수 있다. 시스템 제어기는 타이밍, 기체 및 액체의 유량, 챔버 압력, 기판 온도, 및 특정 공정의 또 다른 파라미터를 제어하기 위한 명령들의 세트를 포함하는 시스템 제어 소프트웨어를 실행한다. 일부 실시예에서, 제어기와 연계된 메모리 장치에 저장된 또 다른 컴퓨터 프로그램이 사용될 수 있다. 특정 실시예에서, 시스템 제어기는 도 10A와 10B에 나타난 장치의ㅏ 다양한 구성요소들로, 또는 구성요소 밖으로 기판을 수송하는 것을 제어한다.
공정 순서로 공정을 제어하기 위한 컴퓨터 프로그램 코드가 임의의 종래 컴퓨터 판독형 프로그래밍 언어로 쓰여질 수 있으며, 예를 들어, 어셈블리어, C, C++, 파스칼(Pascal), 포트란(Fortran) 기타 등이 있다. 컴파일된 객체 코드 또는 스크립트가 프로세서에 의해 실행되어, 프로그램에 식별된 작업을 수행할 수 있다. 시스템 소프트웨어는 다양한 방식으로 설계 또는 설정될 수 있다. 예를 들어, 다양한 챔버 구성요소 서브루틴 또는 제어 객체가, 기재된 공정을 수행하기에 필수적인 챔버 구성요소의 작업을 제어하도록 쓰여질 수 있다. 이러한 목적의 프로그램 또는 프로그램 섹션의 예가, 공정 기체 제어 코드, 압력 제어 코드, 및 플라스마 제어 코드를 포함한다.
제어기 파라미터는 공정 조건, 가령, 각각의 작업의 타이밍, 챔버 내부 압력, 기판 온도, 챔버 온도, 기체 전달 온도, 공정 기체 유량, RF 파워, 앞서 설명된 그 밖의 다른 것과 관련된다. 이들 파라미터는 사용자에게, 레시피(recipe)의 형태로 제공되고, 사용자 인터페이스를 이용하여 입력될 수 있다. 공정을 모니터링하기 위한 신호가 시스템 제어기의 아날로그 및/또는 디지털 입력 연결에 의해 제공될 수 있다. 공정을 제어하기 위한 신호는 장치의 아날로그 및 디지털 출력 연결에서의 출력이다.
본 발명의 방법 및 장치가 또한, 반도체 제조를 위한 리소그래피 및/또는 패터닝 하드웨어를 포함하는 시스템에서 구현될 수 있다. 덧붙여, 본 발명의 방법은 리소그래피 및/또는 패터닝 공정이 본 발명의 방법에 선행하거나 후속하는 공정에서 구현될 수 있다. 여기서 기재된 장치/공정은, 반도체 장치, 디스플에이, LED, 광기전 패널 등을 제조하기 위한 리소그래피 패터닝 툴 또는 공정과 함께 사용될 수 있다. 일반적으로, 필수는 아닐지라도, 이러한 툴/공정은 공통이 제조 설비에서 다 함께 사용 또는 실시될 수 있을 것이다. 일반적으로, 필름의 리소그래피 패터닝은 다음의 단계들 중 일부 또는 전부를 포함한다(각각의 단계는 복수의 가능한 툴에 의해 활성화됨): (1) 스핀-온 또는 스프레이-온 툴을 이용해 포토레지스트를 작업부재, 즉, 기판에 도포하기, (2) 고온 플레이트 또는 노(furnace) 또는 UV 경화 툴을 이용해 포토레지스트를 경화하기, (3) 툴, 가령 웨이퍼 스테퍼를 이용해 포토레지스트를 가시광 또는 UV 또는 ㅌ-선 광에 노출시키기, (4) 툴, 가령 습식 벤치(wet bench)를 이용해 레지스트를 선택적으로 제거하여 패터닝하기 위해 레지스트를 현상하기, (5) 건식 또는 플라스마 보조 에칭 툴을 이용하여 레지스트 패턴을 아래에 놓인 필름 또는 작업부재에 전사하기, 및 (6) 툴, 가령, RF 또는 마이크로파 플라스마 레지스트 스트리퍼를 이용해 레지스트를 제거하기.
본원에서 예제 및 실시예들은 설명을 위한 목적으로 기재된 것이며, 이러한 관점에서, 다양한 변형예나 변경예가 해당 업계 종사자에게 자명할 것이다. 다양한 세부사항이 명료성을 위해 생략되었지만, 다양한 설계적 치환예가 구현될 수 있다. 따라서 본 발명의 예제들은 한정이 아니라 설명적 예시로서 여겨질 것이다.

Claims (36)

  1. 바닥 표면과 하나 이상의 측벽 표면을 포함하는 충전될 갭을 포함하는 기판을 처리 챔버로 제공하는 단계와,
    갭의 표면을 질소 및 산소 화학종에 노출시키는 단계와,
    상기 갭의 표면을 질소 및 산소 화학종에 노출시킨 후, 상기 갭에 유동성 유전체 필름을 증착하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 갭에 유동성 유전체 필름을 증착하는 단계는, 실리콘-함유 전구물질 및 산화제를, 유동성 유전체 필름이 형성되기 위한 조건 하에서 기판을 내포하고 있는 챔버에 도입시키는 단계를 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 상기 방법은
    증착된 필름의 부분 또는 전부를 치밀화(densify)하는 단계
    를 더 포함하는 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서, 표면은 고상 실리콘-함유 물질인 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서, 상기 갭으로의 유동성 유전체 필름의 증착 전에, 상기 갭 표면은이 질소 및 산소 화학종에 노출되는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 바닥 및 하나 이상의 측벽 표면은 질소 및 산소 화학종에 노출되는 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서, 상기 방법은
    질소 함유 화합물 및 산소 함유 화합물을 포함하는 기체로부터 플라스마를 발생시키는 단계
    를 더 포함하는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, 표면을 질소 및 산소 화학종에 노출시키는 단계는 표면을 플라스마에 노출시키는 단계를 포함하는 것을 특징으로 하는 방법.
  9. 제 7 항에 있어서, 상기 플라스마는 원격으로 발생된 플라스마인 것을 특징으로 하는 방법.
  10. 제 7 항에 있어서, 상기 플라스마는 상기 처리 챔버 내에서 발생된 것임을 특징으로 하는 방법.
  11. 제 1 항에 있어서, 질소 및 산소 화학종은 이온과 라디칼 중 하나 이상을 포함하는 것을 특징으로 하는 방법.
  12. 제 1 항에 있어서, 갭을 질소 및 산소 화학종에 노출시키는 단계는 질소 및 산소를, 1:2 내지 1:30의 비로 처리 챔버로 도입시키는 단계를 포함하는 것을 특징으로 하는 방법.
  13. 제 1 항에 있어서, 갭을 질소 및 산소 화학종에 노출시키는 단계는 질소 및 산소를, 1:5 내지 1:30의 비로 처리 챔버로 도입시키는 단계를 포함하는 것을 특징으로 하는 방법.
  14. 제 1 항에 있어서, 갭을 질소 및 산소 화학종에 노출시키는 단계는, 질소 및 산소를, 1:10 내지 1:20의 비로 처리 챔버로 도입시키는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제 1 항에 있어서, 상기 방법은
    증착된 필름을, 질소-함유 화합물 및 산소-함유 화합물을 포함하는 기체로부터 생성된 플라스마에 노출시키는 단계
    를 더 포함하는 것을 특징으로 하는 방법.
  16. 제 1 항에 있어서, 유동성 유전체 물질이 처리 챔버에서 증착되는 것을 특징으로 하는 방법.
  17. 제 1 항에 있어서, 상기 방법은
    표면을 질소 및 산소 화학종에 노출시킨 후, 유동성 유전체 막을 증측시키기 전에, 기판을 증착 챔버로 수송하는 단계
    를 더 포함하는 것을 특징으로 하는 방법.
  18. 제 1 항에 있어서, 상기 방법은
    N2, NH3, N2H4, N2O, NO, 및 NO2의 기체들 중 하나 이상으로부터 질소 플라스마 화학종을 생성하는 단계와, O2, O3, H2O, H2O2, NO, NO2 및 CO2의 기체들 중 하나 이상으로부터 산소 화학종을 생성하는 단계
    를 더 포함하는 것을 특징으로 하는 방법.
  19. 제 1 항에 있어서, 상기 방법은
    유동성 필름을 갭에 증착하기 전에, 실리콘-함유 전구물질을 챔버로 흘려 보내는 단계
    를 더 포함하는 것을 특징으로 하는 방법.
  20. 제 1 항에 있어서, 상기 방법은
    갭에 유동성 필름을 증착하기 전에, 산화제를 챔버로 흘려 보내는 단계
    를 더 포함하는 것을 특징으로 하는 방법.
  21. 제 1 항에 있어서, 갭의 표면을 질소 및 산소 화학종에 노출시키는 단계와, 유동성 유전체 필름을 갭에 증착하는 단계가 동일한 챔버에서 수행되는 것을 특징으로 하는 방법.
  22. 제 1 항에 있어서, 상기 방법은
    산소 및 질소 화학종의 존재에서, 갭의 표면을 자외선 광에 노출시키는 단계
    를 더 포함하는 것을 특징으로 하는 방법.
  23. 제 1 항에 있어서, 리소그래피 작업 후에 기판이 제공되는 것을 특징으로 하는 방법.
  24. 갭을 갖는 기판을 처리 챔버로 제공하는 단계와,
    산소 및 질소 화학종을, 상기 기판을 내포하고 있는 처리 챔버로 도입하는 단계와,
    산소 및 질소 화학종을 처리 챔버로 도입한 후, 상기 갭을 유동성 유전체 물질로 부분적으로, 또는 완전히 충전하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  25. 제 24 항에 있어서, 산소 및 질소 화학종을 처리 챔버로 도입하는 단계는, 산소 함유 화합물 및 질소 함유 화합물을 포함하는 공정 기체를 처리 챔버로 도입하는 단계와, 상기 공정 기체로부터 플라스마를 생성하는 단계를 포함하는 것을 특징으로 하는 방법.
  26. 제 24 항에 있어서, 산소 및 질소 화학종을 처리 챔버로 도입하는 단계는, 산소 함유 화합물 및 질소 함유 화합물을 포함하는 공정 기체로부터 플라스마를 생성하는 단계와 생성된 플라스마로부터의 화학종을 처리 챔버로 도입하는 단계를 포함하는 것을 특징으로 하는 방법.
  27. 바닥 표면과 하나 이상의 측벽 표면을 포함하는 충전될 갭을 포함하는 기판을 처리 챔버로 제공하는 단계와,
    갭의 표면을, 산소 함유 기체, 수소 함유 기체, 및 질소 함유 기체 중 하나 이상을 포함하는 기체로부터 생성된 활성 화학종에 노출시키는 단계와,
    상기 갭의 표면을 활성 화학종에 노출시킨 후, 상기 갭에 유동성 유전체 필름을 증착하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  28. 제 27 항에 있어서, 기체는 수소(H2)를 포함하고, 산소 함유 화합물 또는 질소 함유 화합물은 실질적으로 포함하지 않는 것을 특징으로 하는 방법.
  29. 제 28 항에 있어서, 유동성 유전체 필름은 탄소 도핑된 유전체 필름인 것을 특징으로 하는 방법.
  30. 제 27 항에 있어서, 기체는 산소 함유 화합물을 포함하고, 질소 함유 화합물은 실질적으로 포함하지 않는 것을 특징으로 하는 방법.
  31. 제 27 항에 있어서, 기체는 질소 함유 화합물을 포함하고, 산소 함유 화합물은 실질적으로 포함하지 않는 것을 특징으로 하는 방법.
  32. 제 27 항에 있어서, 기체는, H2, H2/N2, H2/O2, O2, O3, N2, NH3 및 N2/O2 중 하나이며, 각각은 하나 이상의 비활성 기체를 선택적으로 포함할 수 있는 것을 특징으로 하는 방법.
  33. 바닥 표면과 하나 이상의 측벽 표면을 포함하는 충전될 갭을 포함하는 기판을 처리 챔버로 제공하는 단계와,
    산소 함유 기체, 수소 함유 기체, 및 질소 함유 기체 중 하나 이상을 포함하는 기체를 자외선 광에 노출시켜 활성 화학종을 생성하는 단계와,
    갭의 표면을 상기 활성 화학종에 노출시키는 단계와,
    상기 갭의 표면을 활성 화학종에 노출시킨 후, 상기 갭에 유동성 유전체 필름을 증착하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  34. 부분 제조된 반도체 기판을 내포하도록 구성된 처리 챔버와,
    부분 제조된 반도체 기판을 내포하도록 구성된 증착 챔버와,
    처리 챔버가 기판을 내포하는 동안 처리 챔버로 활성 화학종을 도입하고,
    상기 기판을 진공 상태에서 증착 챔버로 수송하며,
    실리콘 함유 전구물질과 산화제를 증착 챔버로 도입하여 기판 상에 유동성 옥사이드 필름을 증착하도록 하는 프로그램 인스트럭션을 포함하는 제어기
    를 포함하는 것을 특징으로 하는 장치.
  35. 제 34 항에 있어서, 활성 화학종은 질소 및 산소 활성 화학종인 것을 특징으로 하는 장치.
  36. 제 34 항에 있어서, 활성 화학종은 수소 활성 화학종인 것을 특징으로 하는 장치.
KR1020110131725A 2010-12-09 2011-12-09 고 종횡비 트렌치의 바텀 업 충전 KR20120089792A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US42156210P 2010-12-09 2010-12-09
US61/421,562 2010-12-09
US13/313,735 2011-12-07
US13/313,735 US20120149213A1 (en) 2010-12-09 2011-12-07 Bottom up fill in high aspect ratio trenches

Publications (1)

Publication Number Publication Date
KR20120089792A true KR20120089792A (ko) 2012-08-13

Family

ID=46874312

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110131725A KR20120089792A (ko) 2010-12-09 2011-12-09 고 종횡비 트렌치의 바텀 업 충전

Country Status (1)

Country Link
KR (1) KR20120089792A (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150048084A (ko) * 2013-10-25 2015-05-06 램 리써치 코포레이션 기판 표면들 상에 유동성 유전체 디포지션 처리
KR20170097200A (ko) * 2014-12-22 2017-08-25 어플라이드 머티어리얼스, 인코포레이티드 증착 조절에 의한 fcvd 라인 벤딩 해소
KR20190141786A (ko) * 2017-05-13 2019-12-24 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
KR20210082343A (ko) * 2019-12-24 2021-07-05 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스를 형성하기 위한 성막 프로세스 및 시스템
US11270896B2 (en) 2015-11-16 2022-03-08 Lam Research Corporation Apparatus for UV flowable dielectric

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150048084A (ko) * 2013-10-25 2015-05-06 램 리써치 코포레이션 기판 표면들 상에 유동성 유전체 디포지션 처리
KR20220002827A (ko) * 2013-10-25 2022-01-07 램 리써치 코포레이션 기판 표면들 상에 유동성 유전체 디포지션 처리
KR20170097200A (ko) * 2014-12-22 2017-08-25 어플라이드 머티어리얼스, 인코포레이티드 증착 조절에 의한 fcvd 라인 벤딩 해소
US11270896B2 (en) 2015-11-16 2022-03-08 Lam Research Corporation Apparatus for UV flowable dielectric
KR20190141786A (ko) * 2017-05-13 2019-12-24 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
KR20210082343A (ko) * 2019-12-24 2021-07-05 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스를 형성하기 위한 성막 프로세스 및 시스템

Similar Documents

Publication Publication Date Title
KR102427218B1 (ko) 기판 표면들 상에 유동성 유전체 디포지션 처리
US9299559B2 (en) Flowable oxide film with tunable wet etch rate
US8685867B1 (en) Premetal dielectric integration process
US20120149213A1 (en) Bottom up fill in high aspect ratio trenches
US8728958B2 (en) Gap fill integration
US20150118863A1 (en) Methods and apparatus for forming flowable dielectric films having low porosity
US10049921B2 (en) Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9245739B2 (en) Low-K oxide deposition by hydrolysis and condensation
US7629227B1 (en) CVD flowable gap fill
US11270896B2 (en) Apparatus for UV flowable dielectric
US9257302B1 (en) CVD flowable gap fill
KR20120089792A (ko) 고 종횡비 트렌치의 바텀 업 충전
WO2015073188A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
KR20160028359A (ko) 가수 분해 및 응결에 의한 저-k 옥사이드 증착

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application