CN117524976A - 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理 - Google Patents

用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理 Download PDF

Info

Publication number
CN117524976A
CN117524976A CN202311146441.8A CN202311146441A CN117524976A CN 117524976 A CN117524976 A CN 117524976A CN 202311146441 A CN202311146441 A CN 202311146441A CN 117524976 A CN117524976 A CN 117524976A
Authority
CN
China
Prior art keywords
chamber
chambers
curing
plasma
processing chambers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311146441.8A
Other languages
English (en)
Inventor
梁璟梅
Y·孙
郭津睿
P·P·杰哈
J·C·李
T-J·龚
M·斯利尼瓦萨恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117524976A publication Critical patent/CN117524976A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)

Abstract

本文公开的实施方式关于在基板中形成沟槽和以可流动介电材料填充沟槽的方法。在一个实施方式中,所述方法包括:使具有至少一个沟槽的基板经历沉积处理,以自下而上的方式在所述沟槽的底表面和侧壁表面上形成可流动层,直到所述可流动层达到预定的沉积厚度为止;使所述可流动层经历第一固化处理,所述第一固化处理是UV固化处理;使经UV固化的可流动层经历第二固化处理,所述第二固化处理是等离子体处理或等离子体辅助处理;以及依序地且重复地执行所述沉积处理、所述第一固化处理和所述第二固化处理,直到经等离子体固化的可流动层填充沟槽并在所述沟槽的顶表面上达到预定高度为止。

Description

用于高质量间隙填充方案的循环可流动沉积和高密度等离子 体处理处理
本申请是申请日为2018年05月02日、申请号为“201880031479.1”、发明名称为“用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理”的发明专利申请的分案申请。
技术领域
本公开的实施方式一般涉及在基板中形成沟槽以及以可流动介电材料填充沟槽的方法。
背景技术
现今组件上沟槽的宽度已经缩小到使沟槽深度与宽度的深宽比高到难以用介电材料填充沟槽的程度。在沟槽完全填充之前,沉积介电材料容易在顶部堵塞,在沟槽的中间产生空隙或接缝。特别是当由于图案负载效应而造成在沟槽的顶部和底部形成具有不同直径的沟槽时,这问题会变得更严重。
因此,本领域需要新的沉积处理来解决上述问题。
发明内容
本文公开的实施方式涉及在基板中形成沟槽和以可流动介电材料填充沟槽的方法。在一个实施方式中,该方法包括:使具有至少一个沟槽的基板经历沉积处理,以自下而上的方式在沟槽的底表面和侧壁表面上形成可流动层,直到可流动层达到预定的沉积厚度为止;使可流动层经历第一固化处理,该第一固化处理是UV固化处理;使经UV固化的可流动层经历第二固化处理,该第二固化处理是等离子体处理或等离子体辅助处理;以及依序地且重复地执行沉积处理、第一固化处理和第二固化处理,直到经等离子体固化的可流动层填充沟槽并在沟槽的顶表面上达到预定高度为止。
在另一个实施方式中,该方法包括以下步骤:通过使含硅前驱物与氧基自由基前驱物和氮基自由基前驱物反应来执行沉积处理,以在基板的沟槽内形成可流动层;固化在等离子体腔室中的可流动层,其中第二处理腔室具有含氧环境或含氮环境;以及依序地且重复地执行沉积处理和固化处理,直到经固化的可流动层填充沟槽并在沟槽的顶表面上达到预定高度为止。
在又一个实施方式中,提供了一种用于处理基板的群集工具。群集工具包括:装载锁定腔室;传送腔室,耦合到该装载锁定腔室的第一侧;多个第一处理腔室,耦合到传送腔室,其中第一处理腔室中的每一个是能够执行可流动层沉积的沉积腔室;多个第二处理腔室,耦合到传送腔室,其中第二处理腔室中的每一个是能够执行固化处理的固化腔室;多个第三处理腔室,耦合到传送腔室,其中第三处理腔室中的每一个是能够执行等离子体固化处理的等离子体腔室;以及工厂接口,耦合至装载腔室的第二侧面。
在另一个实施方式中,群集工具包括:装载锁定腔室;第一真空传送腔室,耦合至装载锁定腔室的第一侧;第二真空传送腔室;冷却站,设置在第一真空传送腔室和第二真空传送腔室之间;工厂接口,耦合至装载锁定腔室的第二侧;多个第一处理腔室,耦合至第一真空传送腔室,其中第一处理腔室中的每一个是能够执行可流动层沉积的沉积腔室;以及多个第二处理腔室,耦合至第二真空传送腔室,其中第二处理腔室中的每一个是能够执行等离子体固化处理的等离子体腔室。
附图说明
为了能够详细理解本公开的上述特征,可以通过参照实施方式来对上面简要总结的本公开进行更具体描述,其中一些具体细节在附图中示出。然而,要注意的是,附图仅示出了本公开的典型实施方式,因此不被认为是对范围的限制,因为本公开可以允许其他等效的实施方式。
图1是示出形成便于填充沟槽的可流动介电层的示例方法中的选定操作的流程图。
图2A示出了基板的一部分的示意性三维视图。
图2B-2G示出了在根据图1的流程图的各个制造阶段期间的图2A的基板。
图3是根据本公开的实施方式的可用于执行图1中所示的处理顺序的处理系统的示意性俯视图。
图4是根据本公开的实施方式的可用于执行图1中所示的处理顺序的处理的处理系统的示意性俯视图。
为了利于理解,在允许的情况下使用相同的附图标记来表示各附图中共同的相同组件。可以预期的是,在一个实施方式中公开的组件可以在其他实施方式上有利地使用,而不需要特别说明。
具体实施方式
图1是示出了形成便于填充沟槽的可流动介电层的方法100中的选定操作的流程图。图2A示出了基板200的一部分的示意性三维视图。图2B-2G是沿着图2A的A-A线的半导体器件结构的一部分的示意性横截面图。图2B-2G示出了在根据图1的流程图的各个制造阶段期间的图2A的基板200。为了便于说明,将结合图1和图2A-2G进行说明。
方法100通过将诸如图2A中所示的基板200之类的基板转移到沉积腔室的基板处理区域中而开始于框102。合适的沉积腔室可以包括高密度等离子体CVD腔室、等离子体增强CVD腔室、次大气压CVD腔室等。可以经适配以形成可流动的氧化物/氮化物层的示例性沉积腔室,包括ETERNA/>系统或Ultima HDP/>系统,二者皆可自美国加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)取得。可以预期到,也可以使用来自其他制造商的其他合适的沉积腔室。
基板200上形成有翼片202。每个翼片202可以用作将形成一个或更多个器件的有效区。使用在基板200上执行的合适处理(包括掩模、光刻和/或蚀刻处理)来制造翼片202,以将沟槽204形成到基板200中,使翼片202从基板200向上延伸。
沟槽204的深宽比可以是约1∶1、约2∶1、约3∶1、约5∶1、约10∶1、约15∶1、约20∶1、约30∶1、约50∶1、约100∶1,或更高。在一些实施方式中,沟槽204的深宽比可以是约10∶1至约30∶1,例如约15∶1。本文描述的术语“深宽比”,是指一特定特征(例如,形成在基板200中的沟槽204)的高度尺寸与宽度尺寸的比值。
基板200可以是能够在其上沉积材料的任何基板,诸如硅基板(例如,硅(掺杂或未掺杂)、晶体硅(例如,Si<100>或Si<111>)、氧化硅、掺杂或未掺杂的多晶硅等)、锗基板、硅锗(SiGe)基板、III-V族化合物基板(如砷化镓基板)、碳化硅(SiC)基板、图案化或未图案化的绝缘体上硅(SOI)基板、碳掺杂氧化物、氮化硅、显示器基板(诸如液晶显示器(LCD)、等离子体显示器、电致发光(EL)灯显示器)、太阳能电池阵列、太阳能电池板、发光二极管(LED)基板、玻璃、蓝宝石,或诸如金属、金属合金和其他导电材料之类的任何其他材料。一个或更多个电子器件(诸如各种N型金属氧化物半导体(NMOS)和/或P型金属氧化物半导体(PMOS)组件,例如晶体管、电容器、电阻器、二极管、光电二极管、熔丝等)可以形成在基板200中。可以预期到,基板200不限于任何特定的大小或形状。因此,基板200可以是具有200mm直径、300mm直径或其他直径(例如,450mm等)的圆形基板。基板200也可以是任何多边形、正方形、矩形、曲形或其他非圆形工件。
在框104处,在基板200上形成可流动层206。可流动层206可以是至少包含硅的介电层。在一些实施例中,可流动层206是至少包含硅和氧的介电层。在一些实施例中,可流动层206是至少包含硅和氮的介电层。在一些实施例中,可流动层206是至少含有硅、氧和氮的介电层。在可流动层206是包含硅、氧和氮的介电层的情况下,可以将含硅前驱物、氧基自由基前驱物和氮基自由基前驱物引入沉积腔室中以在基板200上形成可流动层206。可流动层206可以沉积在基板200的暴露表面上并填充沟槽204。在一个实施例中,如图2B所示,可流动层206形成在沟槽204的底表面207上并沿沟槽204的侧壁表面209形成。合适的可流动层206可包括(但不限于)SiC、SiO、SiCN、SiO2、SiOC、SiOCN、SiON或SiN。或者,可流动层206可以不含有可追踪量的碳(即,无碳)。
可流动层206提供流动性以允许以无缝或无空隙的方式自下而上填充沟槽204。流动性可以至少部分是由于沉积层中存在短链聚硅氮烷聚合物。例如,沉积层可以具有硅氮烷型Si-NH-Si主链(即,Si-N-H层)。允许形成短链聚合物和流动性的氮可源自自由基前驱物或含硅前驱物。由于介电层是可流动的,所以它可以以自下而上的方式填充具有高深宽比的沟槽而不会在沟槽204中产生空隙。当达到预定的沉积厚度时可以停止可流动层206的沉积。在一个实施例中,预定的沉积厚度“T1”在约20埃至约300埃的范围内。介电层的流动性随着沉积的进行而衰减,且流动性在随后的固化/等离子体处理阶段期间基本上被消除。
合适的含硅前驱物可以包括其中氧与硅原子的比值为0至约6的有机硅化合物。合适的有机硅化合物可以是:硅氧烷化合物、包括一种或更多种卤素部分(如氟、氯、溴或碘)的卤化硅氧烷化合物,例如四氯硅烷、二氯二乙氧基硅氧烷、氯三乙氧基硅氧烷、六氯二硅氧烷和/或八氯三硅氧烷,及氨基硅烷(例如,三硅烷基胺(TSA)、六甲基二硅氮烷(HMDS)、硅烷、四(二甲基氨基)硅烷、双(二乙基氨基)硅烷、三(二甲基氨基)氯硅烷)和甲基杂氮硅三环。也可以使用其它含硅前驱物,例如硅烷、卤化硅烷、有机硅烷及其任何组合。硅烷可以包括具有实验式SixH(2x+2)的硅烷(SiH4)和更高阶的硅烷,例如乙硅烷(Si2H6)、丙硅烷(Si3H8)和四硅烷(Si4H10),或其他更高阶的硅烷,如聚氯硅烷。
氧基自由基前驱物可以包括由氧(O2)、臭氧(O3)、氮氧化合物(如NO、NO2或N2O)、氢氧化合物(如水或过氧化物)、碳氧化合物(如一氧化碳或二氧化碳)、及其他含氧前驱物,以及它们的任何组合所形成的氧自由基。氧自由基可以远程产生并与含硅前驱物一同被引入。氧基自由基前驱物在被引入到沉积腔室之前,可以例如使用远程等离子体源(其可以具有CCP(电容耦合等离子体)或ICP(电感耦合等离子体)配置)来激活。
氮基自由基前驱物可以包括由氮(N2)、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、氨(NH3)以及他们的任何组合所形成的氮自由基。氮自由基可以远程产生,并且与含硅前驱物和氧基自由基前驱物一同引入。氮基自由基前驱物在被引入到沉积腔室之前,可以例如使用远程等离子体源(其可具有CCP(电容耦合等离子体)或ICP(电感耦合等离子体)配置)来激活。
在一些实施方式中,氧基自由基前驱物以第一体积流量流入沉积腔室,且含硅前驱物以第二体积流量流入沉积腔室,并且第一体积流量与第二体积流量的比值可以被控制在约0.3∶1至约0.9∶1之间,例如约0.5∶1至约0.7∶1之间,如约0.6∶1。
在一些实施方式中,氮基自由基前驱物以第一体积流量流入沉积腔室,且含硅前驱物以第二体积流量流入沉积腔室,并且第一体积流量与第二体积流量的比值可以被控制在约0.2∶1至约0.8∶1之间,例如约0.4∶1至约0.6∶1,如约0.5∶1。
可预期到,如果使用了含有氧自由基和氮自由基两者的自由基前驱物,则可以省略氧基自由基前驱物或氮基自由基前驱物。
含硅前驱物、氧基自由基前驱物和氮基自由基前驱物可以在约摄氏150度或以下(例如约摄氏100度或以下(如约摄氏65度))的温度下反应。在形成可流动介电层期间,沉积腔室的室压可以保持在约0.1托至约10托,例如约0.5托至约6托。沉积速率可以被控制在约50埃/秒或以下,以提供足够薄的沉积控制。在一个实施方式中,沉积速率被控制在约5埃/秒或更小,例如约4埃/秒。较慢的沉积速率(5埃/秒或以下)在一些应用中可以是有利的,因为其允许可流动层形成无空隙且具有平滑的表面粗糙度。
在框106处,在可流动层达到预定沉积厚度“T1”(例如,约20-300埃)之后,含硅前驱物、氧基自由基前驱物和氮基自由基前驱物的流动被中断,并且基板在固化腔室中经历第一固化处理231,如图2C所示。经固化的可流动层206呈现出更高的密度、更好的稳定性,并且能够承受将在随后的等离子体处理期间执行的高温(框108)。固化腔室可以使用任何合适的固化技术,例如UV光固化、热固化、微波固化、等离子体固化、电子束固化或中性束固化。在一些实施方式中,固化处理是可选的并且可以省略。在一个实施方式中,固化腔室是UV固化腔室。示例性的固化腔室可以包括NANOCURETM 3的UV固化腔室,其可自美国加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)取得。可以预期到,也可以使用来自其他制造商的其他合适的固化腔室,以执行本文所述的处理。
固化处理231可以在含氧环境、含氮环境和/或惰性气体环境中执行。可以通过将一种或更多种含氧气体(例如分子氧(O2)、臭氧(O3)、水蒸汽(H2O)、一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)及其任何组合)引入到固化腔室来产生含氧环境。可通过将一种或更多种含氮气体(例如氮气(N2)、氨气(NH3)及其任何组合)引入到固化腔室中来产生含氮环境。可以通过将氦气、氩气、氢气、氪气、氙气及其任何组合引入到固化腔室中来产生惰性环境。需要时,可以在基于自由基的环境中,即,使用来自含氧气体、含氮气体或惰性气体的自由基执行固化处理来帮助将氧/氮原子结合到可流动层中206。
在可流动层为氧化物的一些实施例中,固化处理231可以在含氧的环境中执行。在这种情况下,固化处理可以在臭氧环境中使用热或UV以用于氧插入和膜交联目的。含氧环境提供氧气以将可流动层(可以是含硅层)转化为氧化硅层。在可流动层为氮化物的情况下,固化处理可以在含氮环境中执行。在这种情况下,固化处理可以在氮气或氨气环境中使用UV以氮化可流动层并增加沉积层中的氮浓度。在任何一种情况下,固化处理都可以帮助稳定沉积层的挥发性键,从而在等离子体处理之前形成热稳定层(框108)。较长UV处理通常会导致在等离子体处理后的较小的收缩率和接近于中性膜应力。
在一个实施方式中,固化处理231使用UV光固化技术。固化处理可在所需的环境(反应性或惰性环境)、温度和压力下使膜能够进行热交联或UV光子辅助交联。示例性的UV光固化技术可以包括从将光投影到基板上的一个或更多个UV光源提供光或光子能量。这些UV光源可以包括UV灯,其发射在UV波长(例如,220nm)处具有峰值强度的广谱波长(包括非UV波长)的光。UV灯的示例包括氙灯(峰值发射波长在172nm处)、汞灯(峰值在243nm处)、氘灯(峰值在140nm处)和氯化氪(KrCl2)灯(峰值在222nm处),以及其他类型的UV灯。额外的UV光源可以包括激光器,其向流动层提供相干窄频带UV光。激光光源可包括准分子激光器(例如,XeCl、KrF、F2等准分子激光器)和/或固态激光器(例如,Nd-YAG激光器)的适当谐波。UV光源还可包括二极管UV光源。
在固化处理231期间,可流动层206进行固化约10秒至约60分钟,固化时间可以根据不同应用而改变。固化腔室的压力可在约1托至约600托的范围内,例如约10托至150托。固化温度可在约5摄氏度至约1100摄氏度的范围内,例如约10摄氏度、约25摄氏度、约50摄氏度、约100摄氏度、约200摄氏度、约300摄氏度、约400摄氏度、约500摄氏度、约600摄氏度、约700摄氏度、约800摄氏度、约900摄氏度、约1000摄氏度。在一个示例中,固化处理是在臭氧环境中在约350摄氏度的温度下和约500托下进行约100秒的热固化处理。
在热固化经适配的一些情况下,固化处理可在沉积可流动层206的沉积腔室中原位执行,或者可在将执行等离子体处理的等离子体腔室中执行(框108),取决于固化温度和压力。
在框108处,在沉积处理完成之后(或在可选的固化处理之后(如果执行固化处理)),基板200在等离子体腔室中经历第二固化处理233以进一步固化形成在基板200上的介电层,如图2D中所示。在一个实施例中,第二固化处理233是等离子体处理。等离子体腔室可以是使用等离子体或等离子体辅助技术的任何合适的腔室。等离子体腔室产生在高温下的高密度等离子体,用来自高密度等离子体的离子进行轰击且因此致密化和进一步固化经固化的层(来自框106)或可流动介电层(来自框104,若不执行固化处理)。
取决于材料,等离子体处理可在含氧环境中(若经固化的层或可流动介电层是氧化物)或含氮环境(若经固化的层或可流动介电层是氮化物)中执行。可以通过将一种或更多种含氧气体(如分子氧(O2)、臭氧(O3)、水蒸汽(H2O)、一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)及其任何组合)引入等离子体腔室来产生含氧环境。可以通过将一种或更多种含氮气体(如氮气(N2)、氨气(NH3)及其任何组合)引入等离子体腔室来产生含氮环境。在任何一种情况下,都可以将惰性气体(如氩气、氢气或氦气)引入等离子体腔室中。例如,若经固化的层或可流动介电层是氧化物,则可以在氧气/氦气环境、氧气/氩气环境或氧气/氢气环境中执行等离子体处理。若经固化的层或可流动介电层是氮化物,则可以在氮/氨环境、氮/氢环境或氮/氦环境中执行等离子体处理。
在一些实施方式中,等离子体处理可以是基于自由基的处理。例如,含氧环境可以是(或额外包括)可远程产生并输送到等离子体腔室中的自由基氧物质和/或自由基羟基物质。同样地,含氮环境可以是(或额外包括)可远程产生并输送到等离子体腔室中的自由基氮物质。使用自由基的等离子体处理,可以在较高压力(例如1托以上,如约10-40托)下和/或以脉冲RF功率波形执行。例如,等离子体处理可以是使用以标准模式操作的脉冲源功率(即,使用相同的RF频率并且流过线圈天线的电流同相)的电感耦合等离子体。
在等离子体处理期间,由于存在于等离子体腔室中的氧气或氮气环境,经固化的层或可流动介电层进一步转化成氧化物或氮化物。由于Si-N键能(355kJ/mol)和N-H键能(386kJ/mol)低于Si-O键能(452kJ/mol),氧环境促使固化层或可流动介电层中的Si-N键或N-H键被Si-O键取代。因此,当在含氧环境中执行等离子体处理时,经固化的层或可流动介电层(具有Si-NH-Si主链)进一步转化为氧化硅层。当在含氮环境中执行等离子体处理时,经固化的层或可流动介电层(具有Si-NH-Si主链)进一步转化为氮化硅层。因此,等离子体处理在一个操作中结合材料的转化和致密化,而不需要一个长持续时间的热退火处理(这通常在固化处理之后在FCVD膜的常规形成程序中进行以将氧原子或氮原子进一步并入层中)。与热退火相比,高密度等离子体还可以降低热预算。结果,制造处理的整体热预算降低了。
在一些实施方式中,等离子体处理是双操作处理,其包括使用氧气/氦气环境、氧气/氩气环境或氧气/氢气环境的第一等离子体处理操作(如果经固化的层或可流动介电层是氧化物)以及使用诸如氦气的惰性气体环境的第二等离子体处理操作。若经固化的层或可流动介电层是氮化物,则等离子体处理可以包括使用氮气/氨气环境、氮气/氢气环境或氮气/氦气环境的第一等离子体处理操作以及使用诸如氦气的惰性环境的第二等离子体处理操作。在惰性环境(例如,氦气)中的等离子体处理在薄膜致密化中是有效的,因为高能离子的轰击使得薄膜破坏现有的键并重构,以释放薄膜应力并形成更致密的结构网。
等离子体腔室可以是任何合适的等离子体反应器,其可独立控制对等离子体源发生器和基板偏压装置的输入功率。在一个实施方式中,等离子体腔室是电感耦合等离子体(ICP)腔室。在这样的情况下,等离子体腔室可以具有:等离子体源控制器,控制决定等离子体密度的电感耦合RF功率(源功率)的供应;及偏压控制器,控制用于产生基板表面上的偏压的RF功率或DC功率(偏压功率)的供应。此偏置电压用于将来自在处理区域中形成的等离子体的离子吸引到基板200。可以使用偏压来控制经固化的层(或者,若不执行固化处理时,可流动介电层)上的离子物质的轰击能量。源功率和压力是控制电离的操作钮。偏压功率提供额外的操作钮来调节离子能量,以控制薄膜处理深度。除了偏压功率之外,低压(例如,小于约5毫托)能够实现长平均自由径和深沟槽层处理。一种合适的等离子体腔室是可自美国加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)取得的AdvantageTMMesaTM蚀刻腔室。
尽管在本公开中使用ICP腔室作为用于形成等离子体的示例,可以预期也可以使用其他等离子体源,例如电容耦合等离子体(CCP)源、解耦等离子体源(DPS)、磁控管等离子体源、电子回旋共振(ECR)源或微波等离子体源。
在使用ICP腔室的情况下,以下的腔室处理参数可用于执行等离子体处理。如前所述,这些参数可用于处理经固化的层(来自框106)或可流动介电层(来自框104)。在各种实施方式中,经固化的层是氧化物或氮化物。腔室压力可以是约1毫托至约10托,诸如约2毫托至约1托,例如约5毫托至约88毫托。源功率可以是约50瓦(W)至约650W,诸如约100W至约500W,例如约250W至约450W。所施加的源功率可以在约30MHz至约60MHz的射频(RF)范围。提供给ICP腔室的基板支撑件的偏压功率可以是约10W至约450W,诸如约50W至约300W,例如100W至约200W。所施加的偏压功率可以在约10MHz至约30MHz的RF范围。基板温度可以是约550摄氏度或以下,例如约300摄氏度至约500摄氏度,如约350摄氏度。第一气体(例如,含氧气体或含氮气体)的气体流可以是约60sccm至约5000sccm,例如约100sccm至约2200sccm,如约300sccm至约1000sccm。第二气体(例如,惰性气体)的气体流可以是约5sccm至约250sccm,例如约10sccm至约150sccm,如约20sccm至约100sccm。处理时间可以是约10秒至约120秒,诸如约30秒至约90秒,例如约45秒至约60秒。本文论述的处理参数是基于300mm的基板。可预期到,这些处理参数可以根据经固化的层(来自框106)或可流动介电层(来自框104)的厚度、沟槽204的大小、基板200的大小、等离子体腔室的功能和应用等而改变。
在框108之后,作出关于沉积的介电层(即,已经固化和/或处理过的可流动层206)是否达到目标高度“T2”的决定110,如图2E所示。沉积的介电层的目标高度“T2”可以是约500埃至约8000埃,例如约1000埃至约6000埃,测量从沟槽204的底表面207至沉积的介电层的顶表面211。若尚未达到目标高度“T2”,则可在再次将经固化的/经等离子体处理的层的厚度与目标厚度进行比较之前执行另一循环的沉积/固化/等离子体处理(例如,框104-108)。可重复框104、106和108的处理,直到沉积的介电层达到目标高度“T2”为止。
一旦达到目标高度“T2”,就可以例如通过化学机械平面化(CMP)将沉积的介电层平坦化,使得翼片202的顶表面208和沉积的介电层的顶表面210共面,如图2C所示。然后,例如通过使用可接受的蚀刻处理,使基板200凹陷以显露或暴露翼片202的上部分213。蚀刻处理可以在与进行等离子体处理(框108)的等离子体腔室相同的等离子体腔室中执行。之后,可以将基板200从等离子体腔室传送到装载锁定腔室,接着传送到一个或更多个前开式晶片盒(FOUP),其中基板将被传送到其他处理系统以继续进行制造集成电路芯片所需的下游处理,诸如替换闸极形成、外延沉积、清洁、退火、热处理、化学气相沉积、氧化或氮化处理等等。
图3是根据本公开的实施方式的可用于执行图1中所示的处理顺序的处理系统300的示意性俯视图。处理系统300的一个例子为,可自美国加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)取得的或CentrisTM系统。处理系统300包括真空密封处理平台302和工厂接口304。平台302包括耦接到真空基板传送腔室312的多个处理腔室306a-b、308a-b、310a-b,以及设置在真空基板传送腔室312和工厂接口304之间并与之耦接的装载锁定腔室314。
工厂接口304包括至少一个工厂接口机器人316、318,以便于传送基板。工厂接口304被配置以接受一个或更多个前开式晶片盒(FOUP)320。在一个例子中,三个FOUP被适配。工厂接口机器人316、318将基板(例如,框102中描述的基板)从工厂接口304传送到处理平台302,至少一个传送机器人322在处理平台302处从工厂接口机器人316、318接收基板,然后将它们传送到任何处理腔室306a-b、308a-b、310a-b。在一个实施方式中,处理腔室306a-b是沉积腔室,可用于执行框104描述的处理。处理腔室308a-b是固化腔室,可用于执行框106中所述的处理。处理腔室310a-b是等离子体腔室,可用于执行框108中所述的处理和翼片显露处理。一旦完成这些处理,通过传送机器人322将基板传送到装载锁定腔室314。工厂接口机器人314、316接着从装载锁定腔室314拾取基板并将其传送回FOUP320。
图4是根据本公开的实施方式的可用于执行图1中所示的处理顺序的处理的处理系统400的示意性俯视图。在一个示例性实施方式中,处理系统400是用于执行图1中所示的某些程序(例如,框102、104和108)的大量制造(HVM)系统。处理系统400包括真空密封处理平台402和工厂接口404。平台402包括:多个处理腔室406a-d、408a-f,分别耦合到第一真空基板传送腔室412和第二真空基板传送腔室413;冷却站415,设置在第一真空基板传送腔室412和第二真空基板传送腔室413之间;以及装载锁定腔室414,设置在第一真空基板传送腔室412和工厂接口404之间并与之耦合。
工厂接口404包括至少一个工厂接口机器人416、418,以便于传送基板。工厂接口404被配置以接受一个或更多个前开式晶片盒(FOUP)420。在一个例子中,四个FOUP被适配。工厂接口机器人416、418将基板(例如,框102中描述的基板)从工厂接口404传送到处理平台402。在第一真空基板传送腔室412处的至少一个传送机器人422从工厂接口机器人416、418接收基板,接着将它们传送到处理腔室406a-d中的任何一个。在一个实施方式中,处理腔室406a-d是可用于执行框108中所述的程序的等离子体腔室。可选的传送机器人417可以设置在冷却站415处,以在第一真空基板传送腔室412和第二真空基板传送腔室413之间传送基板。在第二真空基板传送腔室413处的至少一个传送机器人419接收来自冷却站415的基板,接着将它们传送到处理腔室408a-f中的任何一个。或者,传送机器人417可以被省略,并且传送机器人417、422可以一起工作以在第一真空基板传送腔室412和第二真空基板传送腔室413之间传送基板。在一个实施方式中,处理腔室408a-f是可用于执行框104中所述的处理的沉积腔室。可以在沉积腔室(即,处理腔室408a-f)和等离子体腔室(即,处理腔室406a-d)之间传送基板,直到达到沉积的介电层的目标高度为止。在这些程序完成后,基板被传送到装载锁定腔室414。工厂接口机器人414、416接着从装载锁定腔室414拾取基板,并将其传送回FOUP 420。
概括而言,本文公开的实施方式关于在基板中形成沟槽以及以可流动介电层填充沟槽的方法。该方法包括以下步骤:在含氧/惰性气体或含氮/惰性气体环境中,以来自高密度电感耦合等离子体的离子轰击可流动介电层,以将介电层转化并致密化为目标层组合物。可在可流动沉积和等离子体处理之间插入固化处理,以帮助膜交联并将氧/氮原子结合到可流动介电层中。这些处理以循环方式执行,直到达到所需的厚度为止。循环处理使沟槽底部的介质层始终维持好质量,同时沟槽侧壁上的沉积最少。
尽管前述内容针对本公开的实施方式,但是可以在不脱离本公开的基本范围的情况下设计本公开的其他和进一步的实施方式,并且其范围由随后的权利要求决定。

Claims (20)

1.一种用于处理基板的群集工具,包括:
装载锁定腔室;
传送腔室,耦接至所述装载锁定腔室的第一侧;
多个第一处理腔室,耦接至所述传送腔室,其中所述第一处理腔室中的每一个是能够执行可流动层沉积的沉积腔室,并且其中所述第一处理腔室中的至少一个被配置为沉积含硅介电层;
多个第二处理腔室,耦接至所述传送腔室,其中所述第二处理腔室中的每一个是能够执行热固化处理的固化腔室,并且其中所述第二处理腔室中的至少一个是UV光固化腔室;
多个第三处理腔室,耦接至所述传送腔室,其中所述第三处理腔室中的每一个是能够执行等离子体固化处理的等离子体腔室,并且其中所述第三处理腔室中的至少一个是电感耦合等离子体(ICP)腔室;
其中所述多个第一处理腔室与所述多个第二处理腔室和所述多个第三处理腔室相邻;并且
其中所述装载锁定腔室与所述多个第二处理腔室和所述多个第三处理腔室相邻;以及
工厂接口,耦接至所述装载腔室的第二侧。
2.如权利要求1所述的群集工具,其中所述第一处理腔室中的至少一个是等离子体增强CVD腔室或次大气压CVD腔室。
3.如权利要求1所述的群集工具,其中所述第一处理腔室中的至少一个是高密度等离子体CVD腔室。
4.如权利要求1所述的群集工具,其中所述第一处理腔室中的至少一个与含硅前驱物连通,所述含硅前驱物包含硅氧烷化合物或卤化硅氧烷化合物,所述卤化硅氧烷化合物包括一种或更多种卤素部分。
5.如权利要求1所述的群集工具,其中所述第二处理腔室中的至少一个是热固化腔室、微波固化腔室、等离子体固化腔室、电子束固化腔室或中性束固化腔室。
6.如权利要求1所述的群集工具,其中所述第二处理腔室中的至少一个被配置为在包含氧气、氮气或惰性气体的基于自由基的环境中执行固化处理。
7.如权利要求1所述的群集工具,其中所述第三处理腔室中的至少一个是电容耦合等离子体(CCP)腔室。
8.如权利要求1所述的群集工具,其中所述第三处理腔室中的至少一个被配置为在氧气/氦气环境、氧气/氩气环境或氧气/氢气环境中执行固化处理。
9.一种用于处理基板的群集工具,包括:
装载锁定腔室;
传送腔室,耦接至所述装载锁定腔室;
多个第一处理腔室,耦接至所述传送腔室,其中所述第一处理腔室中的每一个是能够执行可流动层沉积的沉积腔室,并且其中所述第一处理腔室中的至少一个被配置为沉积含硅介电层;
多个第二处理腔室,耦接至所述传送腔室,其中所述第二处理腔室中的至少一个是能够执行热固化处理的固化腔室;以及
多个第三处理腔室,耦接至所述传送腔室,其中所述第三处理腔室中的至少一个是能够执行等离子体固化处理的等离子体腔室,并且其中所述第三处理腔室中的至少一个是电感耦合等离子体(ICP)腔室;
其中所述多个第一处理腔室与所述多个第二处理腔室和所述多个第三处理腔室相邻;并且
其中所述装载锁定腔室与所述多个第二处理腔室和所述多个第三处理腔室相邻。
10.如权利要求9所述的群集工具,其中所述第一处理腔室中的至少一个是等离子体增强CVD腔室或次大气压CVD腔室。
11.如权利要求9所述的群集工具,其中所述第一处理腔室中的至少一个是高密度等离子体CVD腔室。
12.如权利要求9所述的群集工具,其中所述第一处理腔室中的至少一个与含硅前驱物连通,所述含硅前驱物包含硅氧烷化合物或卤化硅氧烷化合物,所述卤化硅氧烷化合物包括一种或更多种卤素部分。
13.如权利要求9所述的群集工具,其中所述第二处理腔室中的至少一个是UV光固化腔室、热固化腔室、微波固化腔室、等离子体固化腔室、电子束固化腔室或中性束固化腔室。
14.如权利要求13所述的群集工具,其中所述第二处理腔室中的至少一个被配置为在包含氧气、氮气或惰性气体的基于自由基的环境中执行固化处理。
15.如权利要求9所述的群集工具,其中所述第三处理腔室中的至少一个是电容耦合等离子体(CCP)腔室。
16.如权利要求9所述的群集工具,其中所述第三处理腔室中的至少一个被配置为在氧气/氦气环境、氧气/氩气环境或氧气/氢气环境中执行固化处理。
17.如权利要求9所述的群集工具,其中所述第二处理腔室中的至少一个是UV光固化腔室。
18.一种用于处理基板的群集工具,包括:
装载锁定腔室;
传送腔室,耦接至所述装载锁定腔室;
多个第一处理腔室,耦接至所述传送腔室,其中所述第一处理腔室中的每一个是化学气相沉积腔室;
多个第二处理腔室,耦接至所述传送腔室,其中所述第二处理腔室中的每一个是能够执行热固化处理的UV光固化腔室,并且其中所述第二处理腔室中的至少一个被配置为在包含氧气、氮气或惰性气体的基于自由基的环境中执行固化处理;以及
多个第三处理腔室,耦接至所述传送腔室,其中所述第三处理腔室中的每一个是能够执行等离子体处理的电感耦合等离子体(ICP)腔室;
其中所述多个第一处理腔室与所述多个第二处理腔室和所述多个第三处理腔室相邻;并且
其中所述装载锁定腔室与所述多个第二处理腔室和所述多个第三处理腔室相邻。
19.如权利要求18所述的群集工具,其中所述第一处理腔室中的至少一个被配置为沉积含硅介电层,并且其中所述第一处理腔室中的至少一个与含硅前驱物连通,所述含硅前驱物包含硅氧烷化合物或卤化硅氧烷化合物,所述卤化硅氧烷化合物包括一种或更多种卤素部分。
20.如权利要求18所述的群集工具,其中所述第三处理腔室中的至少一个被配置为在氧气/氦气环境、氧气/氩气环境或氧气/氢气环境中执行所述固化处理。
CN202311146441.8A 2017-05-13 2018-05-02 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理 Pending CN117524976A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762505857P 2017-05-13 2017-05-13
US62/505,857 2017-05-13
CN201880031479.1A CN110622298B (zh) 2017-05-13 2018-05-02 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
PCT/US2018/030699 WO2018212999A1 (en) 2017-05-13 2018-05-02 Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880031479.1A Division CN110622298B (zh) 2017-05-13 2018-05-02 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理

Publications (1)

Publication Number Publication Date
CN117524976A true CN117524976A (zh) 2024-02-06

Family

ID=64097395

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880031479.1A Active CN110622298B (zh) 2017-05-13 2018-05-02 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
CN202311146441.8A Pending CN117524976A (zh) 2017-05-13 2018-05-02 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880031479.1A Active CN110622298B (zh) 2017-05-13 2018-05-02 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理

Country Status (6)

Country Link
US (2) US10707116B2 (zh)
JP (2) JP7168586B2 (zh)
KR (2) KR102576563B1 (zh)
CN (2) CN110622298B (zh)
TW (2) TWI721270B (zh)
WO (1) WO2018212999A1 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102576563B1 (ko) 2017-05-13 2023-09-07 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
WO2019012797A1 (ja) * 2017-07-13 2019-01-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7085929B2 (ja) * 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
SG11202107377VA (en) 2019-01-24 2021-08-30 Applied Materials Inc Methods for depositing silicon nitride
TW202108813A (zh) * 2019-06-08 2021-03-01 美商應用材料股份有限公司 可流動pecvd的低沉積速率
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
US11643724B2 (en) * 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI792005B (zh) 2019-07-23 2023-02-11 美商應用材料股份有限公司 可流動cvd薄膜之表面粗糙度
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
WO2021097022A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
US20210175075A1 (en) * 2019-12-09 2021-06-10 Applied Materials, Inc. Oxygen radical assisted dielectric film densification
US11901222B2 (en) * 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11508572B2 (en) * 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11615966B2 (en) * 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
TW202221764A (zh) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 集成可流動低k間隙填充及電漿處理
US11581203B2 (en) 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US20220199458A1 (en) * 2020-12-18 2022-06-23 Intel Corporation Gap fill dielectrics for electrical isolation of transistor structures in the manufacture of integrated circuits
JP2022111764A (ja) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 シリコン含有膜の形成方法及び処理装置
WO2022230944A1 (ja) * 2021-04-29 2022-11-03 東ソー株式会社 平坦化膜の製造方法、平坦化膜用材料および平坦化膜
US11862458B2 (en) * 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition
US20230265562A1 (en) * 2022-02-22 2023-08-24 Applied Materials, Inc. Stable silicon oxynitride layers and processes of making them
US20230386829A1 (en) * 2022-05-27 2023-11-30 Applied Materials, Inc. Low temperature silicon oxide gap fill

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
KR20030043724A (ko) * 2001-11-27 2003-06-02 엔이씨 일렉트로닉스 코포레이션 반도체 장치 제조 방법
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080166498A1 (en) * 2007-01-10 2008-07-10 United Microelectronics Corp. Method of curing porous low-k layer
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
KR20090066936A (ko) * 2007-12-20 2009-06-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성방법
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8466067B2 (en) * 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
KR101484658B1 (ko) 2010-04-30 2015-01-21 캐논 아네르바 가부시키가이샤 에피텍셜 박막형성방법, 진공처리장치, 반도체 발광소자 제조방법, 반도체 발광소자, 및 조명장치
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5521981B2 (ja) 2010-11-08 2014-06-18 豊田合成株式会社 半導体発光素子の製造方法
CN102477531B (zh) 2010-11-26 2015-03-25 鸿富锦精密工业(深圳)有限公司 被覆件及其制造方法
KR20120089792A (ko) * 2010-12-09 2012-08-13 노벨러스 시스템즈, 인코포레이티드 고 종횡비 트렌치의 바텀 업 충전
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
WO2012087352A2 (en) 2010-12-20 2012-06-28 The Regents Of The University Of California Superhydrophobic and superoleophobic nanosurfaces
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
CN102758172A (zh) 2011-04-27 2012-10-31 鸿富锦精密工业(深圳)有限公司 铁基合金表面镀膜方法及由该方法制得的镀膜件
US8575819B1 (en) 2011-07-18 2013-11-05 Integrated Device Technology, Inc. Microelectromechanical resonators with passive frequency tuning using built-in piezoelectric-based varactors
CN102896842A (zh) 2011-07-29 2013-01-30 鸿富锦精密工业(深圳)有限公司 镀膜件及其制造方法
CN104428441B (zh) * 2012-07-02 2017-04-12 应用材料公司 由物理气相沉积形成的氮化铝缓冲层和活性层
KR101942092B1 (ko) 2012-07-30 2019-01-25 한국전자통신연구원 유기발광소자 제조방법
KR102052936B1 (ko) * 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
FR3022070B1 (fr) * 2014-06-04 2016-06-24 Univ D'aix-Marseille Procede de texturation aleatoire d'un substrat semiconducteur
TWI595296B (zh) * 2014-09-23 2017-08-11 元太科技工業股份有限公司 顯示器
US9570287B2 (en) * 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films
US9786496B2 (en) * 2015-08-17 2017-10-10 Lam Research Corporation Method of densifying films in semiconductor device
KR102576563B1 (ko) 2017-05-13 2023-09-07 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들

Also Published As

Publication number Publication date
KR20190141786A (ko) 2019-12-24
US20200286773A1 (en) 2020-09-10
US10707116B2 (en) 2020-07-07
JP2020520120A (ja) 2020-07-02
WO2018212999A1 (en) 2018-11-22
US20180330980A1 (en) 2018-11-15
US11152248B2 (en) 2021-10-19
KR102576563B1 (ko) 2023-09-07
KR20230130177A (ko) 2023-09-11
TW201909234A (zh) 2019-03-01
JP2023017833A (ja) 2023-02-07
JP7458457B2 (ja) 2024-03-29
CN110622298A (zh) 2019-12-27
TW202135136A (zh) 2021-09-16
TWI782410B (zh) 2022-11-01
CN110622298B (zh) 2023-09-22
TWI721270B (zh) 2021-03-11
JP7168586B2 (ja) 2022-11-09

Similar Documents

Publication Publication Date Title
CN110622298B (zh) 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
US9390914B2 (en) Wet oxidation process performed on a dielectric material formed from a flowable CVD process
KR101081632B1 (ko) 질소 플라즈마 인-시튜 처리 및 엑스-시튜 uv 경화를 이용하여 실리콘 질화물 인장 스트레스를 증가시키는 방법
KR101244832B1 (ko) 인장 응력 및 압축 응력을 받은 반도체용 재료
KR101201402B1 (ko) 포스트 pecvd 증착 uv 경화를 이용하여 실리콘 질화물 막들의 인장 스트레스를 증가시키는 방법
US7601648B2 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
CN110476239B (zh) 使用反应性退火的间隙填充
US20150118822A1 (en) Methods for silicon recess structures in a substrate by utilizing a doping layer
KR20120093139A (ko) 붕소 막 인터페이스 엔지니어링
KR20110104482A (ko) 낮은 에치 레이트 유전체 라이너들을 이용한 갭충진 개선
WO2008147689A1 (en) Boron nitride and boron nitride-derived materials deposition method
KR20210043746A (ko) 유전체 재료를 증착하기 위한 방법들
CN111095524A (zh) 用于使用保护阻挡物层制造半导体结构的设备和方法
KR20220025758A (ko) 고에너지 저선량 플라즈마를 이용하여 실리콘 질화물계 유전체 막들을 후처리하는 방법들
TWI834038B (zh) 具有均勻階梯高度之淺溝槽隔離結構

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination