KR101157683B1 - 실리콘 산화막의 제조 방법 - Google Patents

실리콘 산화막의 제조 방법 Download PDF

Info

Publication number
KR101157683B1
KR101157683B1 KR1020067018915A KR20067018915A KR101157683B1 KR 101157683 B1 KR101157683 B1 KR 101157683B1 KR 1020067018915 A KR1020067018915 A KR 1020067018915A KR 20067018915 A KR20067018915 A KR 20067018915A KR 101157683 B1 KR101157683 B1 KR 101157683B1
Authority
KR
South Korea
Prior art keywords
silicon oxide
oxide film
film
producing
vapor deposition
Prior art date
Application number
KR1020067018915A
Other languages
English (en)
Other versions
KR20060129471A (ko
Inventor
히로아끼 다께우찌
사또시 하또리
히로시 스즈끼
가쯔요시 하라다
Original Assignee
도아고세이가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도아고세이가부시키가이샤 filed Critical 도아고세이가부시키가이샤
Publication of KR20060129471A publication Critical patent/KR20060129471A/ko
Application granted granted Critical
Publication of KR101157683B1 publication Critical patent/KR101157683B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

저온에서 TEOS보다 막 질이 양호한 실리콘 산화물 막을 제조하는 방법 및 실리콘 산화물로 구성된 절연막을 성막하는 반도체 장치의 제조 방법을 제공하기 위해, 하기 화학식 1로 표시되는 실란 화합물을 반응시키는 CVD법에 의해 실리콘 산화물로 구성된 절연막을 퇴적시킨다.
<화학식 1>
HnSi2(OR)6-n
(식 중, R은 탄소수 1 내지 5의 알킬기이고, n은 0 내지 2의 정수이다.)
실리콘 산화막, 절연막, 반도체 장치, 실리콘 산화물, 실란 화합물, 희석 가스

Description

실리콘 산화막의 제조 방법 {Method for Producing Silicon Oxide Film}
본 발명은 반도체 장치의 제조에 널리 응용되고 있는 실리콘 산화막의 효율적인 제조 방법을 제공하는 것이다.
또한, 본 발명은 실리콘 산화막으로 구성된 절연막을 CVD법으로 형성하는 반도체 장치의 제조 방법에 관한 것이다.
최근, 반도체 장치에 있어서 배선의 미세화가 진행되고, 종횡비가 증대하는 등의 이유에 의해 바탕 기재의 요철이 점점 더 복잡해지고 있다. 따라서, 기재, 예를 들면 반도체 소자 상에 실리콘 산화막의 절연막 등을 형성함에 있어서, 단차 피복이 양호하고, 평탄화가 우수하며, 기재 특성에 악영향을 미치지 않는 형성 방법이 요구되고 있다.
실리콘 산화막의 제조 방법으로는, 종래 모노실란 가스와 산소를 원료 가스로 하여 열화학 증착법에 의해 형성되는 방법 등이 알려져 있지만, 단차 피복이 불량하기 때문에 최근 테트라에톡시실란 등의 유기계 실란 가스와 오존을 원료로 사용하는 방법이 검토되고 있다.
테트라에톡시실란을 원료로 하는 경우, 단차 피복이 양호하고, 평탄화가 우수하기는 하지만, 성막 온도를 통상 400 ℃ 이상으로 할 필요가 있다.
실리콘 산화막은 열화학 기상 퇴적법, 광화학 기상 퇴적법, 플라즈마 화학 기상 퇴적법 등에 의해 제조되고 있다. 그러나, 열화학 기상 퇴적법은 열에 의해 원료를 분해 또는 반응시키기 때문에 고온이 필요하게 되어 막을 퇴적할 수 있는 기재의 종류가 한정되며, 광화학 기상 퇴적법은 저온에서 막을 형성할 수 있기는 하지만, 광을 도입하는 창이 흐려지거나 또는 성막 속도가 작다는 제조 효율상의 문제가 있었다.
실리콘 산화막의 플라즈마 화학 기상 퇴적법에 대해서는, 모노실란과 산소 또는 산화이질소 등의 산화제를 원료 가스로 사용하는 방법이 여러 가지 검토되고 있지만, 어느 방법에 따르든 모노실란은 위험성이 높고 고가이기 때문에 공업적으로 유리하다고 할 수 없으며, 또한 성막 온도가 높아 광범위하게 적용하는 것이 곤란하다.
모노실란 화합물, 디실란 화합물 등의 실란 화합물은 여러 가지 용도로 사용되고 있다. 반도체 분야에 있어서, 실란 화합물은 실리콘 질화물, 실리콘 산화물, 실리콘 옥시질화물 등의 실리콘계 절연막을 CVD법에 의해 제조하기 위한 원료로서 종종 사용되고 있다. 즉, 실란 화합물은 암모니아 등의 질소 함유 반응 가스와의 반응에 의해 실리콘 질화물을 생성하고, 산소 등의 산소 함유 가스와의 반응에 의해 실리콘 산화물을 생성하며, 또한 질소 함유 가스와 산소 함유 가스와의 반응에 의해 실리콘 옥시질화물을 생성할 수 있다.
실리콘 산화물을 CVD법으로 제조하기 위한 표준적인 방법은, 실란 화합물로 서의 SiH4 기체 원료를 O2에 의해 산화시키는 반응이 있는데, 최근 반도체 장치의 최소 설계 치수가 LSI의 고집적화에 따라 실리콘 산화막의 막 두께는 바야흐로 원자층의 수로 계산되는 단계까지 도달하고 있으며, 소자 구조의 미세화에 따라 열부하의 문제를 감소시킬 수 있는 공정의 저온화가 요청되고 있다.
종래의 SiH4 기체 원료를 사용하는 CVD 공정으로는 기판 상의 단차나 요철을 평탄화할 수 없다. 또한, 이 CVD 공정에서는 좁은 전극 사이나 게이트의 트렌치에 공극을 형성하여 현저하게 막 특성을 악화시킨다. 또한, SiH4는 자기 발화성의 매우 위험한 원료이다.
이상과 같은 결점을 극복하기 위해, SiH4 대신 테트라에톡시실란(이하, TEOS라고 함)을 액체 원료로 사용하여 O3에 의해 산화시키는 반응이 활발해져, 실용화되어 왔다.
그러나, TEOS를 사용하여 CVD법으로 성장시킨 막은 치밀성, 균열 내성, 절연성 등의 막 질에 아직 문제가 남아 있어, 이 문제를 경감하도록 성막하기 위해서는 600 내지 700 ℃의 기판 가열을 필요로 한다. 따라서, 알루미늄 배선 상에 TEOS를 사용하여 CVD법으로 SiO2막을 성막하는 경우, 알루미늄 배선을 현저하게 열화시키는 결점이 있었다.
또한, 알콕시실란과 산소를 저압 플라즈마 화학 기상 퇴적법에 의해 반응시켜 실리콘 산화막을 형성하는 방법도 알려져 있으며, 이 경우에는 저온으로 박막을 형성할 수 있고, 내열성이 부족한 유리 기판 상이나 고분자 필름 상에도 박막을 형성하는 것이 가능해져 유리하기는 하지만, 이 방법에서 적합하다고 여겨지고 있는 성막 온도는 낮아도 350 ℃ 내지 400 ℃ 정도이며, 또한 형성된 실리콘 산화막은 평탄성 및 막 질에 있어서 충분히 만족할 만 하다고는 할 수 없었다.
절연막의 막 질이나 매립성을 개선하는 기술로서, 하나 이상의 직쇄상 실록산 결합을 포함하는 유기 알콕시실란과 오존을 반응시키는 CVD법에 의해 산화 실리콘막을 반도체 기판 상에 형성하는 방법이 알려져 있다(예를 들면, 일본 특허 공개 (평)7-142578호 공보)
<발명이 해결하고자 하는 과제>
본 발명자들은 저온에서 충분한 성막 속도를 얻을 수 있고, 막 질이 균일하며, 단차 피복이 양호하고, 평탄성이 우수하며, 비용이 적게 들고, 안전 면에서도 문제가 없는 실리콘 산화막을 제조하는 방법을 제공하는 것을 과제로 하였다.
또한, 본 발명은 저온에서 TEOS보다 막 질이 양호한 SiO2 절연막을 성막하는 반도체 장치의 제조 방법을 제공하는 것이다.
<과제를 해결하기 위한 수단>
본 발명은 원료 가스로서 하기 화학식 1로 표시되는 실란 화합물을 희석 가스와 함께 사용하여, 압력 0.01 mmHg 내지 2 기압에서 열화학 기상 퇴적법 또는 플라즈마 화학 기상 퇴적법에 의해 실리콘 산화막을 형성하는 것을 특징으로 하는 실리콘 산화막의 제조 방법이다.
HnSi2(OR)6-n
(식 중, R은 탄소수 1 내지 6의 알킬기이고, n은 0 내지 5의 정수이다.)
<발명의 효과>
본 발명에 따르면, 실리콘 산화막을 저온에서 큰 성막 속도로 얻을 수 있기 때문에, 저온에서 처리해야 할 기재 재료를 비롯하여 광범위한 기재에 적용할 수 있고, 나아가 얻어진 막은 균일하고, 평탄성이 우수하며, 단차 피복이 양호하다.
또한, 본 발명에 따르면, 반도체 장치에서의 절연막을 낮은 성막 온도에서 얻을 수 있다.
<발명을 실시하기 위한 최선의 형태>
본 발명에 있어서, 원료인 알콕시디실란은 하기 화학식 1로 표시되는 화합물이다.
<화학식 1>
HnSi2(OR)6-n
(식 중, R은 탄소수 1 내지 6의 알킬기이고, n은 0 내지 5의 정수이다.)
구체적으로는, 모노알콕시디실란, 디알콕시디실란, 트리알콕시디실란, 테트라알콕시디실란, 펜타알콕시디실란, 헥사알콕시디실란 등을 들 수 있고, 바람직한 화합물은 상기 화학식에서 n이 2 이하인 화합물이다. 바람직한 디실란 화합물의 예는 테트라알콕시디실란, 헥사알콕시디실란이며, 특히 바람직한 화합물은 헥사알콕시디실란이다. 또한, 알콕시디실란은 탄소수 1 내지 6의 알콕시기를 갖는 것이 바람직하며, 특히 에톡시기가 바람직하다.
알콕시디실란의 구체예로는, 모노메톡시디실란, 모노에톡시디실란, 모노프로폭시디실란, 모노부톡시디실란 등의 모노알콕시디실란, 디메톡시디실란, 디에톡시디실란, 디프로폭시디실란, 디부톡시디실란 등의 디알콕시디실란, 트리메톡시디실란, 트리에톡시디실란, 트리프로폭시디실란, 트리부톡시디실란 등의 트리알콕시디실란, 테트라메톡시디실란, 테트라에톡시디실란, 테트라프로폭시디실란, 테트라부톡시디실란 등의 테트라알콕시디실란, 펜타메톡시디실란, 펜타에톡시디실란, 펜타프로폭시디실란, 펜타부톡시디실란 등의 펜타알콕시디실란, 헥사메톡시디실란, 헥사에톡시디실란, 헥사프로폭시디실란, 헥사부톡시디실란 등의 헥사알콕시디실란을 들 수 있다. 이 중에서도, 테트라에톡시디실란, 헥사에톡시디실란이 보다 바람직하며, 헥사에톡시디실란이 가장 바람직하다.
헥사에톡시디실란을 사용하면, 우수한 전기적 특성을 가진 실리콘 산화막을 얻을 수 있다.
알콕시디실란은 희석 가스로 버블링하여 기화시켜 반응계 내에 공급하거나, 또는 가열에 의해 기화시켜 상기 희석 가스와 함께 공급하는 방법이 일반적이다.
알콕시디실란의 공급에 이용되고, 반응계 내에 존재하게 될 희석 가스로는, 헬륨, 네온, 아르곤, 크립톤, 크세논 등의 희유 가스 또는 질소, 수소 등을 들 수 있으며, 더욱 바람직하게는 희유 가스 또는 질소를 들 수 있다.
희석 가스는 원료 가스를 포함한 반응계 내의 전체 가스량 중 50 vol% 이상이 바람직하고, 80 vol% 내지 99 vol%가 특히 바람직하다. 50 vol% 미만에서는, 플라즈마가 안정하게 활성화되지 않고, 막 질이 불량해질 우려가 있다.
반응계 내의 압력은 0.01 mmHg 내지 2 기압으로 하는 것이 필요하며, 0.01 mmHg 미만에서는 초진공 배기계가 필요하게 되고, 2 기압을 초과하면 안전성 문제나 높은 비용 문제가 발생한다. 바람직한 압력은 0.01 mmHg 내지 800 mmHg, 더욱 바람직한 압력은 0.1 mmHg 내지 800 mmHg이다.
본 발명에 있어서, 반응계 내에 산화제를 존재시킴으로써 보다 저온에서 보다 치밀한 막을 제조할 수 있다. 산화제로서는 공기, 산소, 오존, N2O, NO, NO2, C02, CO 등을 들 수 있다.
산화제는 알콕시디실란 1 몰에 대하여 0.5 몰 내지 50 몰이 바람직하다. 0.5 몰 미만에서는 치밀한 막 질을 얻지 못하게 되는 경우가 있고, 50 몰을 초과하면 기상 반응에 의해 실리콘 산화물의 미립자가 생성되기 쉬워 막 질의 저하가 일어날 뿐만 아니라, 원료로부터 막으로의 효율이 불량해져 경제적이라고 할 수 없다.
산화제는 원료 가스와 함께 계 내에 도입하는 것이 일반적이지만, 별개로 계 내에 도입할 수도 있다.
본 발명에 의해, 그 표면에 실리콘 산화막이 형성되는 기재로는, 예를 들면 반도체 기판 또는 전극 배선 등의 반도체 소자 등을 들 수 있다. 그 재질은 실리 콘, 유리, 알루미늄, 스테인리스 스틸 등은 물론, 본 발명에서는 저온에서 성막하는 것이 가능하기 때문에, 비정질 실리콘 등의 비정질, 폴리에스테르, 폴리이미드, 유리 에폭시 등의 수지도 특히 바람직한 기재 재질이 된다. 기재의 형상은 특별히 한정되지 않는다.
실리콘 산화막의 기재 상으로의 성막 온도는 200 ℃ 내지 500 ℃의 저온에서 충분하다. 200 ℃ 미만에서는 막 질의 저하로 연결될 우려가 있다. 구체적인 성막 온도는 원료 가스에 의해 적절하게 선택될 수 있고, 예를 들면 헥사에톡시디실란을 사용하는 경우에는 200 내지 300 ℃가 더욱 바람직하다.
본 발명에 사용되는 반응 장치는 특별히 한정되지 않으며, 예를 들면 종형, 횡형, 팬 케이크형, 벨트 컨베이어형, 권취 방식 등이 이용된다.
플라즈마 화학 기상 퇴적법을 행하는 경우, 반응기 내에 고전압을 인가하는 전극과 접지하는 전극이 배치되고 압력 0.01 mmHg 내지 2 기압에서 성막할 수 있는 장치라면 특별히 한정되지 않는다. 대기압 근방에서, 기재가 금속 등인 경우, 고전압을 인가함으로써 아크 방전이 발생하여, 알콕시디실란을 플라즈마 여기시켜 실리콘 산화막을 형성하는 것이 다소 곤란해지는 경우가 있는데, 그 경우에도 충분히 적용할 수 있도록 하기 위해 고전압을 인가하는 전극에 유리, 세라믹, 플라스틱 등의 내열성 고체 유전체를 배치한 전극 장치를 이용하는 것이 바람직하다.
발진 주파수는 50 Hz 내지 60 Hz의 저주파로부터 13.56 MHz 및 27 MHz, 2.45 GHz 이상의 고주파까지 적절하게 선택하면 된다.
상기 화학식으로 표시되는 바람직한 실란 화합물로서 헥사에톡시디실란이 있 다.
헥사에톡시디실란은 에톡시기 6개가 2개의 Si에 부착된 구조를 가지며, 상온에서 무색 투명한 액체이다.
CVD에서의 기판의 가열 온도는 통상 300 내지 500 ℃이다.
본 발명은 유리 기판 상에 형성되는 액정용 반도체 장치의 제조에 적용할 수 있으며, 저온에서 양호한 실리콘 산화막을 형성할 수 있다.
본 발명에 의해 형성된 실리콘 산화막은 층간 절연막, 보호막, 마스크 재료, 가스 배리어막 등으로서 유용하다.
도 1은 실시예 7에서 얻어진 막의 적외선 흡수 스펙트럼을 나타낸다.
<실시예 1>
헥사에톡시디실란을 사용하여, 상압 오존 CVD에 의해 SiO2막을 형성하였다. 성막 조건은 헥사에톡시디실란의 유량을 6 sccm, 오존 농도 4.5 %의 O2를 2 slm, 희석 가스로서 N2를 0.5 slm, 기판 온도를 300 ℃로 하였다.
반응 장치 내의 압력을 760 mmHg로 하여 10 분간 반응시켜, 막 두께 0.22 ㎛의 막을 형성하였다.
전계 강도가 7 MVcm-1인 이 때, 누설 전류는 10-8 Aㆍcm-2 이하, 절연 내압은 10 MVcm-1이 되었고, 실리콘 산화막에 있어서 가장 양호한 막 질이 얻어지는 고온 열산화법에 있어서 약 1100 ℃로 성막시켰을 때의 절연 내압인 8 내지 11 MVcm-1과 동등한 막 질이었다.
<비교예 1>
헥사에톡시디실란 대신에 TEOS를 사용하고, 실시예 1과 동일하게 하여 막 두께 0.10 ㎛의 막을 형성하였다.
실시예 1과 동일하게 평가한 결과, 누설 전류는 3×10-6 Aㆍcm-2였다.
<실시예 2>
반응 장치로서 원통형 석영제 반응기(200 mmΦ×100 mmH)를 사용하였다. 반응 장치 내의 서셉터 상에 실리콘 기판(3 인치Φ)을 얹고, 상기 기판을 400 ℃로 가열 유지하였다.
80 ℃로 가열한 헥사에톡시디실란을 유량 100 ㎖/min의 헬륨으로 버블링(헥사에톡시디실란으로서 0.27 ㎖/min 공급에 상당)하고, 헬륨 2000 ㎖/min 및 산소 10 ㎖/min과 함께 상기 장치 내에 도입하였다.
반응 장치 내의 압력을 760 mmHg로 하여 10 분간 반응시켜, 막 두께 0.12 ㎛의 막을 형성하였다.
얻어진 막은 균일하고 평탄성이 우수하며, 적외선 흡수 스펙트럼으로 측정한 결과, 실리콘 산화막이며, 에톡시기, 에틸기 등의 유기 성분이 잔존하지 않는다는 것이 판명되었다.
<비교예 2>
원료 가스를 모노실란으로 하고, 모노실란 10 ㎖/min을 헬륨 2000 ㎖/min 및 산소 100 ㎖/min과 함께 장치 내에 도입한 것 이외에는 실시예 2와 동일하게 행한 결과, 반응 장치 내에서 미립자의 발생이 관찰되었고, 형성된 막에는 부분적이기는 하지만 약간의 조면(rough part) 및 기상면(uneven part)이 있었다.
<실시예 3>
실시예 2에서 사용한 반응 장치를 이용하여, 서셉터 상에 실리콘 기판(3 인치Φ)을 얹고, 400 ℃로 가열 유지하였다.
80 ℃로 가열한 헥사에톡시디실란을 유량 100 ㎖/min의 헬륨으로 버블링(헥사에톡시디실란으로서 0.27 ㎖/min 공급에 상당)한 것 이외에는, 실시예 2와 동일하게 하여 원료 가스, 헬륨 및 산소를 상기 장치 내에 도입하였다.
반응 장치 내의 압력을 10 mmHg로 하여 10 분간 반응시켜, 막 두께 0.06 ㎛의 막을 형성시켰다.
얻어진 막은 균일하고 평탄성이 우수하며, 적외선 흡수 스펙트럼으로 측정한 결과, 실리콘 산화막이며, 에톡시기, 에틸기 등의 유기 성분이 잔존하지 않는다는 것이 판명되었다.
<비교예 3>
원료 가스를 모노실란으로 하고, 모노실란 10 ㎖/min을 헬륨 2000 ㎖/min 및 산소 100 ㎖/min과 함께 장치 내에 도입한 것 이외에는 실시예 3과 동일하게 행한 결과, 반응 장치 내에서 미립자의 발생이 관찰되었고, 막이 형성되지 않았다.
<실시예 4>
반응 장치로서 스테인레스제 평행 평판형 전극을 갖는 원통형 스테인레스제 반응기(200 mmΦ×100 mmH)를 사용하고, 상부 전극(100 mmΦ)과 하부 전극(100 mmΦ)의 전극간 거리는 10 mm로 하였다. 반응 장치 내의 서셉터 상에 실리콘 기판(3 인치Φ)을 얹고, 상기 기판을 250 ℃로 가열 유지하였다.
80 ℃로 가열한 헥사에톡시디실란을 유량 100 ㎖/min의 헬륨으로 버블링(헥사에톡시디실란으로서 0.27 ㎖/min 공급에 상당)하고, 헬륨 2000 ㎖/min 및 산소 10 ㎖/min과 함께 상기 장치 내에 도입하였다.
반응 장치 내의 압력을 0.1 mmHg로 하고, 고주파수 13.56 MHz, 파워 50 W로 글로우 방전을 발생시켜 플라즈마상이 되게 하고, 10 분간 반응시켜 막 두께 0.2 ㎛의 막을 형성하였다.
얻어진 막은 균일하고 평탄성이 우수하며, 적외선 흡수 스펙트럼으로 측정한 결과, 실리콘 산화막이며, 에톡시기, 에틸기 등의 유기 성분이 잔존하지 않는다는 것이 판명되었다.
<실시예 5>
반응 장치로서 알루미늄제 평행 평판형 전극을 갖는 원통형 석영제 반응기(200 mmΦ×100 mmH)를 사용하고, 표면에 석영을 유전체로서 설치한 상부 전극(100 mmΦ)을 고전압 전극으로 하고, 하부 전극(100 mmΦ)을 설치 전극으로 하였다. 전극간 거리는 10 mm로 하였다. 반응 장치 내의 서셉터 상에 실리콘 기판(3 인치Φ)을 얹고, 상기 기판을 250 ℃로 가열 유지하였다.
80 ℃로 가열한 헥사에톡시디실란을 유량 100 ㎖/min의 헬륨으로 버블링(헥사에톡시디실란으로서 0.27 ㎖/min 공급에 상당)하고, 헬륨 2000 ㎖/min 및 산소 100 ㎖/min과 함께 상기 장치 내에 도입하였다.
반응 장치 내의 압력을 800 mmHg로 하고, 고주파수 13.56 MHz, 파워 50 W로 글로우 방전을 발생시켜 플라즈마상이 되게 하고, 1 분간 반응시켜 막 두께 0.02 ㎛의 막을 형성하였다.
얻어진 막은 균일하고 평탄성이 우수하며, 적외선 흡수 스펙트럼으로 측정한 결과, 실리콘 산화막이며, 에톡시기, 에틸기 등의 유기 성분이 잔존하지 않는다는 것이 판명되었다.
<비교예 4>
원료 가스를 모노실란으로 하고, 모노실란 10 ㎖/min을 헬륨 2000 ㎖/min 및 산소 100 ㎖/min과 함께 장치 내에 도입한 것 이외에는 실시예 4와 동일하게 행한 결과, 반응 장치 내에서 미립자의 발생이 관찰되었고, 형성된 막에는 부분적이기는 하지만 약간의 조면 및 기상면이 있었다.
<실시예 6>
실시예 5에서 사용한 반응 장치를 이용하여, 서셉터 상에 폴리에틸렌테레프탈레이트 필름(50 mm2)을 얹고, 70 ℃로 가열 유지하였다.
80 ℃로 가열한 헥사에톡시디실란을 유량 100 ㎖/min의 헬륨으로 버블링(헥사에톡시디실란으로서 0.27 ㎖/min 공급에 상당)한 것 이외에는, 실시예 5와 동일 하게 하여 원료 가스, 헬륨 및 산소를 상기 장치 내에 도입하였다.
반응 장치 내의 압력, 고주파수 및 파워를 실시예 5와 동일하게 하고 1 분간 방전시켜, 막 두께 0.02 ㎛의 막을 형성시켰다.
얻어진 막은 균일하고 평탄성이 우수하며, 적외선 흡수 스펙트럼으로 측정한 결과, 실리콘 산화막이며, 에톡시기, 에틸기 등의 유기 성분이 잔존하지 않는다는 것이 판명되었다.
<비교예 5>
원료 가스를 모노실란으로 하고, 모노실란 10 ㎖/min을 헬륨 2000 ㎖/min 및 산소 100 ㎖/min과 함께 장치 내에 도입한 것 이외에는 실시예 5와 동일하게 행한 결과, 반응 장치 내에서 미립자의 발생이 관찰되었고, 막이 형성되지 않았다.
<실시예 7>
반응 장치로서 원통형 석영제 반응기(30 mmΦ×600 mmH)를 사용하였다. 반응 장치 내의 서셉터 상에 실리콘 기판(10 mm×10 mm)을 얹고, 상기 기판을 400 ℃로 가열 유지하였다.
70 ℃로 가열한 헥사에톡시디실란을 유량 50 ㎖/min의 질소로 버블링하고, 산소 3000 ㎖/min과 함께 상기 장치 내에 도입하였다.
반응 장치 내의 압력을 760 mmHg로 하여 240 분간 반응시켜, 막 두께 0.12 ㎛의 막을 형성하였다.
얻어진 막에 관한 적외선 흡수 스펙트럼을 도 1에 나타내었다.
1100 cm-1 부근에 SiO2에 특유한 Si-O의 흡수가 있고, 실리콘 산화막이 형성되었음을 알았다.
<실시예 8>
반응 장치로서 원통형 석영제 반응기(30 mmΦ×600 mmH)를 사용하였다. 반응 장치 내의 서셉터 상에 실리콘 기판(10 mm×10 mm)을 얹고, 상기 기판을 500 ℃로 가열 유지하였다.
70 ℃로 가열한 헥사에톡시디실란을 유량 50 ㎖/min의 질소로 버블링하고, 산소 3000 ㎖/min과 함께 상기 장치 내에 도입하였다.
반응 장치 내의 압력을 760 mmHg로 하여 240 분간 반응시켜, 막 두께 0.15 ㎛의 막을 형성하였다.
본 발명은, 배선이나 소자가 고온에 노출되면 성능 열화가 발생하는 고집적도의 반도체 장치의 제조에 유효하다.

Claims (8)

  1. 원료 가스로서 하기 화학식 1로 표시되는 실란 화합물을, 희석 가스 및 산화제와 함께 사용하여, 압력 0.01 mmHg 내지 2 기압에서 열화학 기상 퇴적법에 의해 실리콘 산화막을 형성하는 것을 특징으로 하는, 실리콘 산화막의 제조 방법.
    <화학식 1>
    HnSi2(OR)6-n
    (식 중, R은 탄소수 2 내지 6의 알킬기이고, n은 0 내지 5의 정수이다.)
  2. 제1항에 있어서, 열화학 기상 퇴적법 대신에 플라즈마 화학 기상 퇴적법에 의해 실리콘 산화막을 형성하는 것을 특징으로 하는, 실리콘 산화막의 제조 방법.
  3. 제1항 또는 제2항에 있어서, 상기 산화제가 오존인 것을 특징으로 하는, 실리콘 산화막의 제조 방법.
  4. 제1항 또는 제2항에 있어서, 퇴적 온도를 200 내지 500 ℃로 하는 것을 특징으로 하는, 실리콘 산화막의 제조 방법.
  5. 제1항 또는 제2항에 있어서, n이 0인 것을 특징으로 하는, 실리콘 산화막의 제조 방법.
  6. 제1항 또는 제2항에 있어서, 상기 실란 화합물이 헥사에톡시디실란인 것을 특징으로 하는, 실리콘 산화막의 제조 방법.
  7. 제1항 또는 제2항에 있어서, 기재 표면에 상기 실리콘 산화막이 형성되는 것을 특징으로 하는, 실리콘 산화막의 제조 방법.
  8. 제1항 또는 제2항에 기재된 방법에 의해 얻어진 실리콘 산화막으로 구성된 절연막을 퇴적시키는 것을 특징으로 하는, 반도체 장치의 제조 방법.
KR1020067018915A 2004-02-17 2005-02-17 실리콘 산화막의 제조 방법 KR101157683B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2004-00040613 2004-02-17
JP2004040613 2004-02-17
PCT/JP2005/002425 WO2005078784A1 (ja) 2004-02-17 2005-02-17 シリコン酸化膜の製造方法

Publications (2)

Publication Number Publication Date
KR20060129471A KR20060129471A (ko) 2006-12-15
KR101157683B1 true KR101157683B1 (ko) 2012-07-06

Family

ID=34857896

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067018915A KR101157683B1 (ko) 2004-02-17 2005-02-17 실리콘 산화막의 제조 방법

Country Status (5)

Country Link
US (1) US7488693B2 (ko)
EP (1) EP1717848A4 (ko)
JP (1) JP4678304B2 (ko)
KR (1) KR101157683B1 (ko)
WO (1) WO2005078784A1 (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10515822B2 (en) * 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US20190211039A1 (en) * 2017-12-08 2019-07-11 Rohm And Haas Electronic Materials Llc Hydrocarbyloxydisilanes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003342731A (ja) * 2002-05-24 2003-12-03 Dainippon Printing Co Ltd 積層体およびその製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5173452A (en) 1989-02-15 1992-12-22 Dobuzinsky David M Process for the vapor deposition of polysilanes photoresists
CA1334911C (en) * 1989-02-15 1995-03-28 David M. Dobuzinsky Process for the vapor deposition of polysilanes
JPH07142578A (ja) 1993-11-15 1995-06-02 Nec Corp 半導体装置及びその製造方法
JPH1112542A (ja) * 1997-06-24 1999-01-19 Hitachi Chem Co Ltd シリカ系被膜形成用塗布液、シリカ系被膜及びそれを用いた半導体装置
US6558747B2 (en) * 1999-09-29 2003-05-06 Kabushiki Kaisha Toshiba Method of forming insulating film and process for producing semiconductor device
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP2003297832A (ja) * 2002-03-29 2003-10-17 Jsr Corp 銅ダマシン構造の製造方法および銅ダマシン構造
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003342731A (ja) * 2002-05-24 2003-12-03 Dainippon Printing Co Ltd 積層体およびその製造方法

Also Published As

Publication number Publication date
EP1717848A1 (en) 2006-11-02
US7488693B2 (en) 2009-02-10
JPWO2005078784A1 (ja) 2008-01-17
US20070173072A1 (en) 2007-07-26
JP4678304B2 (ja) 2011-04-27
WO2005078784A1 (ja) 2005-08-25
EP1717848A4 (en) 2010-03-24
KR20060129471A (ko) 2006-12-15

Similar Documents

Publication Publication Date Title
KR101157683B1 (ko) 실리콘 산화막의 제조 방법
KR960013151B1 (ko) 산화규소 막을 형성시키기 위한 화학 증착법
US6632478B2 (en) Process for forming a low dielectric constant carbon-containing film
US6383955B1 (en) Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6716770B2 (en) Low dielectric constant material and method of processing by CVD
KR100453612B1 (ko) 유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법
US7094708B2 (en) Method of CVD for forming silicon nitride film on substrate
US6559520B2 (en) Siloxan polymer film on semiconductor substrate
EP1586674A1 (en) Coatings, and methods and devices for the manufacture thereof
US7074489B2 (en) Low dielectric constant material and method of processing by CVD
JP4881153B2 (ja) 水素化シリコンオキシカーバイド膜の生成方法。
JPH06168937A (ja) シリコン酸化膜の製造方法
JP2006040936A (ja) 絶縁膜の成膜方法および絶縁膜成膜装置
CN114424324A (zh) 硅化合物和使用其沉积膜的方法
KR100926722B1 (ko) 반도체 기판상의 실록산 중합체막 및 그 제조방법
US8420170B2 (en) Methods of forming glass on a substrate
US10559459B2 (en) Method for producing silicon nitride film and silicon nitride film
TWI798884B (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜
TWI835339B (zh) 烷氧基矽烷及由其製造的密有機二氧化矽膜
KR102409869B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
JP2007096237A (ja) Si含有膜及びその製造方法等
TW202315878A (zh) 烷氧基矽烷及由其製造的密有機二氧化矽膜
TW202140153A (zh) 用於沉積具有高彈性模數的膜的新穎前驅物
TW202009321A (zh) 矽化合物及使用其沉積膜的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150226

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee