TW202009321A - 矽化合物及使用其沉積膜的方法 - Google Patents

矽化合物及使用其沉積膜的方法 Download PDF

Info

Publication number
TW202009321A
TW202009321A TW108128440A TW108128440A TW202009321A TW 202009321 A TW202009321 A TW 202009321A TW 108128440 A TW108128440 A TW 108128440A TW 108128440 A TW108128440 A TW 108128440A TW 202009321 A TW202009321 A TW 202009321A
Authority
TW
Taiwan
Prior art keywords
oxa
branched
silacyclohexane
trimethyl
group
Prior art date
Application number
TW108128440A
Other languages
English (en)
Other versions
TWI747023B (zh
Inventor
滿超 蕭
雷蒙尼克勞斯 孟提
羅伯特戈登 瑞吉威
威廉羅伯特 恩特利
珍妮佛琳恩安妮 艾克泰爾
新建 雷
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW202009321A publication Critical patent/TW202009321A/zh
Application granted granted Critical
Publication of TWI747023B publication Critical patent/TWI747023B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/1876Preparation; Treatments not provided for in C07F7/20 by reactions involving the formation of Si-C linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/487Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using electron radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供製造一 介電膜的一組成物和化學氣相沉積方法。將包含該組成物的一氣體試劑導入氣體試劑至備有一基材的該反應艙內。該氣體試劑包含一矽前驅物,其包含如本文定義的一根據化學式 I的矽化合物。施加能量至在該反應艙內的該些氣體試劑以便促使該些氣體試劑反應而藉以沉積一薄膜在該基材上。如此沉積的該薄膜不用施加一選擇性的額外固化步驟在該如此沉積的薄膜就適用於其預定的用途。亦揭示製造該組成物的方法。

Description

矽化合物及使用其沉積膜的方法
本申請案主張2018年8月10日提出的美國臨時專利申請案案號62/717,454之優先權,其全文以參考之方式併入本文。
本文描述了使用矽化合物作為一結構形成前驅物的介電膜的形成方法與組合物。更詳細而言,在本文中提到用於形成一低介電係數薄膜 (“低 k”薄膜或具有一介電係數約 3.2或更少的薄膜)的方法和組合物,其中用來沉積該薄膜的該方法是化學氣相沉積 (CVD)法。藉由在本文中提到該方法和組合物所製得的該低介電係數薄膜可以被用作,例如,電子裝置內的絕緣層。
在電子工業中會使用介電材料作為積體電路(IC)及相關電子裝置之電路與構件間的絕緣層。會縮減線條尺寸來增加微電子裝置(例如,電腦晶片)的速度及記憶體儲存容量。當線條尺寸縮減時,對層間介電質(ILD)的絕緣需求變得更加嚴格。間隔縮小會需要較低的介電常數來最小化RC時間常數,其中R係導線的電阻及C係層間絕緣介電質的電容。電容(C)係與間隔呈反比而與層間介電質(ILD)的介電常數(k)呈正比。習知從SiH4 或TEOS(Si(OCH2 CH3 )4 ,正矽酸四乙酯)與O2 製得的二氧化矽(SiO2 ) CVD介電膜具有介電常數k大於4.0。工業上已嘗試數種方法來製造具有較低介電常數之二氧化矽基底的CVD膜,最成功的為以有機基團來摻雜該絕緣氧化矽膜,其提供約2.7至約3.5的介電常數範圍。此有機二氧化矽玻璃典型來自有機矽前驅物諸如甲基矽烷或矽氧烷與氧化劑諸如O2 或N2 O之沉積,其如為一緻密膜(密度~1.5克/立方公分)。該有機二氧化矽玻璃於此將指為OSG。當OSG的碳含量增加時,該膜的機械強度,例如該膜的硬度(H)及彈性模數(EM),將隨該介電常數降低而趨向於快速降低。
工業上已經認知到的挑戰為具有較低介電常數的膜典型具有較低的機械強度,此將導致提在窄溝膜中有更多的缺陷,例如鑲崁有銅導線的介電膜中在較低的機械強度下所觀察到脫層、彎曲及升高的電子遷移。此等缺陷可導致該介電質的不成熟的崩潰或銅導線的空洞,致使該裝置提早失效。在OSG膜中的碳耗乏也可引發下列一或多個問題:該膜的介電常數增加;在溼式清洗步驟期間的膜蝕刻及外貌彎曲;由於疏水性減損,會讓濕氣吸收進膜中;在圖案蝕刻後,於溼式清潔步驟期間,精細外貌的圖案倒塌;及/或當沉積隨後層,諸如但不限於銅擴散阻障物,例如Ta/TaN或先進的Co或MnN障壁層時的整合問題。
這些問題之一或多個的可能解答為使用具有增加的碳含量之多孔OSG膜,但此維持機械強度。令人遺憾的是,增加Si-Me含量典型會導致機械性質降低的關係,因此含有更多Si-Me的膜將會負面衝擊到對整合來說重要的機械強度。
已建議的一種解決方式係使用具有通式 Rx (RO)3-x Si(CH2 )y SiRz (OR)3-z 之以伸乙基或亞甲基橋接的矽氧烷,其中x=0-3,y=1或2,z=0-3。咸信使用橋接物種且藉由以橋接碳鏈置換橋接氧會避免對機械性的負面衝擊,因為其網絡連結度將仍然相同。此源自於咸信以終端甲基來置換橋接氧時將因網絡連結度降低而降低機械強度。以此方式,可以1-2個碳原子來置換氧原子以增加C的原子重量百分比(%)而沒有降低機械強度。但是,這些橋接前驅物通常由於具有二個矽基團而增加分子量而具有非常高的沸點。沸點增加可使得以氣相試劑將該化學前驅物輸送進反應艙中而不在蒸氣輸送線中或製程泵排出時凝結有困難,此進而會負面衝擊該製造製程。
因此,在技藝中,對提供一在沉積後具有增加的碳含量且不會遭遇到上述提及的缺點之膜的介電質前驅物有需求。
於本文中描述出的方法及組合物滿足上述之一或多種需求。於本文中所描述的方法及組合物使用矽化合物,諸如例如,2,2,5,5-四甲基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-乙氧基-1-氧雜-2-矽雜環戊烷作為矽前驅物,其可被用來在沉積後提供一介層介電材料,或可被接續以熱、電漿或UV能量源進行處理以改變膜的性質例如使交聯而提昇機械強度。再者,使用於本文中所描述的矽化合物作為矽前驅物所沉積之膜包含相對較高的碳量。此外,相對於其它先前技藝的矽前驅物諸如本質上具有2個矽基團之橋接前驅物(例如烷氧基矽烷前驅物)而具有較高Mw及較高沸點,於本文中所描述的矽化合物具有較低分子量(Mw),因此使得本文中所描述的矽化合物具有250o C或更低的沸點,較佳的200o C或更低,而更方便例如以高容積製造製程進行加工。
本文描述出一種以單一前驅物為基礎的介電膜,其包含:一由式Siv Ow Cx Hy Fz 表示的材料,其中v+w+x+y+z = 100%,v係10至35原子%,w係10至65原子%,x係5至45原子%,y係10至50原子%及z係0至15原子%,其中該膜具有體積孔隙度5.0至30.0%的孔洞、介電常數2.3至3.2及諸如硬度1.0至7.0 十億帕(GPa)及彈性模數4.0至40.0 GPa的機械性質。在某些具體實例中,該膜包含較高的碳含量(10-40%),其係藉由X射線分光光譜儀(XPS)測量;及當曝露至例如O2 或NH3 電漿時,其具有減低的碳移除深度,其係藉由檢驗由XPS深度曲線決定的碳含量來測量。
在一方面,提供一種製造一 介電膜的化學氣相沉積方法,包括提供一基材至一反應艙內;導入氣體試劑至該反應艙內,其中該些氣體試劑包含一矽前驅物,其包含一具有化學式I結構的矽化合物:
Figure 02_image001
(I) 其中R1 係選自由氫、一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基和一 C3 至C10 雜芳基所組成的群組;以及R2 係一C2 至C4 烷基雙自由基,其與該矽與氧原子形成一帶有選擇性烷基取代基鍵結至環上的四員、五員或六員飽和環,R3 係選自由一直鏈或支鏈的 C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基、一 C3 至C10 雜芳基以及烷氧基OR4 所組成的群組,其中R4 係選自由一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基所組成的群組;至少一氧源,以及施加能量至在該反應艙內的該些氣體試劑以便促使該些氣體試劑反應而沉積一薄膜在該基材上。如此沉積的該薄膜無需額外的處理,例如熱退火、電漿暴露或紫外線固化就能夠被使用。
在另一方面,提供一種用於一介電膜的氣相沉積的組合物,其包含一具有化學式I結構的矽化合物:
Figure 02_image002
(I) 其中R1 係選自由氫、一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基和一 C3 至C10 雜芳基所組成的群組;以及 R2 係一C2 至C4 烷基雙自由基,其與該矽與氧原子形成一帶有選擇性C1 至C6 烷基取代基的四員、五員或六員飽和環, R3 係選自由一直鏈或支鏈的 C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基、一 C3 至C10 雜芳基以及烷氧基 OR4 所組成的群組,其中R4 係選自由一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基和一直鏈或支鏈的C2 至C10 炔基所組成的群組。
根據另一方面,該組合物實質上不含至少一由鹵化物、有機矽烷和水所組成的群組的雜質。
根據本發明的再另一方面,提供一種製作由式I表示的一矽化合物的方法:
Figure 02_image002
(I) 該方法包括: 在一催化劑存在下進行一烷氧基矽烷與一未飽和醇的矽氫加成反應,接著根據反應式(1)或(2)在溶劑或無溶劑下環化而有高於70%的產量:
Figure 02_image003
其中R1 係選自由氫、一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基和一 C3 至C10 雜芳基所組成的群組;R3 係選自由一直鏈或支鏈的 C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基、一 C3 至C10 雜芳基以及烷氧基OR4 所組成的群組,其中R4 係選自由一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基和一直鏈或支鏈的C2 至C10 炔基;以及R5-8 係選自由氫、一直鏈或支鏈的C1 至C10 烷基所組成的群組。
在本文中提到一種製造一 介電膜的化學氣相沉積方法,包括提供一基材至一反應艙內;導入氣體試劑至該反應艙內,其中該些氣體試劑包含一矽前驅物,其包含一具有化學式I結構的矽化合物:
Figure 02_image002
(I) 其中R1 係選自由氫、一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基和一 C3 至C10 雜芳基所組成的群組;以及 R2 係一C2 至C4 烷基雙自由基,其與該矽與氧原子形成一帶有選擇性烷基取代基鍵結至環上的四員、五員或六員飽和環, R3 係選自由一直鏈或支鏈的 C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基、一 C3 至C10 雜芳基,以及烷氧基OR4 所組成的群組,其中OR4 係選自由一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基所組成的群組;至少一氧源,以及施加能量至在該反應艙內的該些氣體試劑以便促使該些氣體試劑反應而沉積一薄膜在該基材上。如此沉積的該薄膜可被使用或可隨後用額外的選自由熱能(退火)、電漿暴露和紫外線固化所組成的群組的能量處理,以藉由增加該薄膜機械強度來改變該些薄膜化學性質並產生一少於3.3的介電係數。
相較於先前的結構形成前驅物例如二乙氧基甲矽烷(DEMS),於本文中所描述的烷氧基矽環狀或醯氧基矽環狀化合物提供獨特的屬性,其使得可在該介電膜中併入更多碳含量且在該介電膜的機械性質上有較少衝擊。例如,DEMS提供一混合的配位基系統,其中在DEMS中含有二個烷氧基、一個矽-甲基(Si-Me)及一個矽-氫化物,其提供一平衡的反應性位置及允許形成更機械耐用的膜同時保留想要的介電常數。使用烷氧基矽環狀或醯氧基矽環狀化合物的優點為不會在該前驅物中提供趨向於降低機械強度的矽-甲基,同時在該矽環狀環中的碳會將碳提供給OSG膜來降低介電常數及灌注疏水性。
該低k介電膜係有機二氧化矽玻璃(「OSG」)膜或材料。有機矽酸鹽係低k材料的候選物。因為該有機矽前驅物的型式在膜結構及組合物上具有強的效應,使用可提供所需要的膜性質之前驅物會有幫助,以便保證加入所需要的量之碳而達到想要的介電常數且不會產生機械不健全的膜。於本文中所描述的方法及組合物提供一產生低k介電膜的手段,其中該介電膜具有想要的電及機械性質平衡和其它有益的膜性質如高碳含量,以提供改良的整合的電漿破壞抵抗性。
在本文所描述的方法及組合物之某些具體實例中,該含矽介電材料層係使用一反應艙,經由化學氣相沉積(CVD)或電漿輔助化學氣相沉積(PECVD)方法沉積在至少一部分的基材上。合適的基材包括但不限於半導體材料,諸如砷化鎵(「GaAs」)、矽及含矽的組合物,諸如結晶矽、多晶矽、非晶矽、磊晶矽、二氧化矽(「SiO2 」)、矽玻璃、氮化矽、熔融的二氧化矽、玻璃、石英、硼矽酸鹽玻璃及其組合。其它合適的材料包括鉻、鉬及在半導體、積體電路、平板顯示器及可撓式顯示器應用中通常使用的其它金屬。該基材可具有額外層,諸如例如,矽、SiO2 、有機矽酸鹽玻璃(OSG)、氟化的矽酸鹽玻璃(FSG)、碳氮化硼、碳化矽、氫化的碳化矽、氮化矽、氫化的氮化矽、碳氮化矽、氫化的碳氮化矽、氮化硼、有機無機複合材料、光阻、有機聚合物、多孔有機及無機材料及複合物;金屬氧化物,諸如氧化鋁及氧化鍺。又進一步層亦可係鍺矽酸鹽、鋁矽酸鹽、銅及鋁;及擴散障壁材料,諸如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。
在某些具體實例中,該含矽介電材料層係藉由將一包括至少一種矽前驅物且不含成孔劑前驅物的氣體試劑引進一反應艙中來沉積在至少一部分的基材上,其中該矽前驅物包含一矽化合物。在另一個具體實例中,該含矽介電材料層係藉由將一氣體試劑引進該反應艙中而沉積在至少一部分的基材上,其中該氣體試劑包括至少一種矽前驅物與一硬化添加劑。
於本文中所描述的方法及組合物使用一具有下列式I之矽化合物:
Figure 02_image004
(I) 其中R1 係選自由氫、一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基和一 C3 至C10 雜芳基所組成的群組;以及R2 係一C2 至C4 烷基雙自由基,其與該矽與氧原子形成一帶有選擇性烷基取代基鍵結至環上的四員、五員或六員飽和環, R3 係選自由一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基、一C3 至C10 雜芳基以及烷氧基 OR4 所組成的群組,其中R4 係選自由一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基所組成的群組。
在上述式中及遍及本說明,用語「烷基」指示為具有1至10個碳原子之線性或分枝的官能基。該範例性線性烷基包括但不限於甲基、乙基、正丙基、丁基、戊基及己基。該範例性分枝烷基包括但不限於異丙基、異丁基、二級丁基、三級丁基、異戊基、三級戊基、異己基及新己基。在某些具體實例中,該烷基可接附有一或多個官能基,諸如但不限於烷氧基,諸如甲氧基、乙氧基、異丙氧基及正丙氧基;二烷基胺基,諸如二甲基胺基或其組合接附至此。在其它具體實例中,該烷基未接附有一或多個官能基。該烷基可係飽和,或任擇地,不飽和。
在上述式I中及遍及本說明,用語「環烷基」指示為具有3至10個碳原子的環狀官能基。該範例性環烷基包括但不限於環丁基、環戊基、環己基及環辛基。
在上述式I中及遍及本說明,用語「雜環狀」指示為C3 至C10 雜環烷基,諸如環氧基。
在上述式I中及遍及本說明,用語「烯基」指示為一具有一或多個碳-碳雙鍵及具有2至10、或2至10、或2至6個碳原子的基團。
在上述式I中及遍及本說明,用語「炔基」指示為一具有一或多個碳-碳三鍵及具有3至10、或2至10、或2至6個碳原子的基團。
在上述式I中及遍及本說明,用語「芳基」指示為一具有5至10個碳原子,或6至10個碳原子的芳香族環狀官能基。該範例性芳基包括但不限於苯基、苄基、氯苄基、甲苯基及鄰-茬基。
在上述式I中及遍及本說明,用語「雜芳基」指示為C3 至C10 雜環芳基、1,2,3-三唑基、吡咯基及呋喃基。
在上述式I中,該取代基R2 係C3 至C10 烷基雙自由基,其與該Si及氧原子形成四員、五員或六員環狀環。如將由熟悉人士了解,R2 係一經取代或未經取代的烴鏈,其與該Si及氧原子連結在一起以形成在式I中的環,其中該環係四員、五員或六員環。在這些具體實例中,該環結構可係飽和環,諸如例如,環烷基環。該範例性飽和環包括但不限於矽環丁烷、矽環戊烷及矽環己烷,較佳為矽環戊烷或經烷基諸如甲基取代的矽環戊烷。
遍及本說明,用語「烷氧基」指為由具有至少一碳原子的醇衍生而來。烷氧基的例子包括但不限於甲氧基、乙氧基、異丙氧基、及正丙氧基。
遍及該說明,用語「氧來源」指為包含氧(O2 )的氣體、氧與氦之混合物、氧與氬之混合物、二氧化碳、一氧化碳及其組合。
遍及本說明,用語「介電膜」指為一包含矽及氧原子且具有Siv Ow Cx Hy Fz 之組合物的膜,其中v+w+x+y+z=100%,v係10至35原子%,w係10至65原子%,x係5至40原子%,y係10至50原子%及z係0至15原子%。
在式I的某些實施態樣中,R1 係選自由氫、甲基和乙基所組成的群組;R3 係選自由甲基、乙基、異丙基、正丙基、甲氧基、乙氧基、異丙氧基、正丙氧基所組成的群組;以及 R2 與該矽與氧原子形成一四員、五員或六員飽和環。在一些實施態樣中,帶有該矽原子的該四員、五員或六員飽和環可在該環結構上具有至少一烷基取代基例如甲基。這些實施態樣的實例如下:
Figure 108128440-A0304-0001
具有式I的該些化合物的合成可以藉由例如在一催化劑存在下一烷氧基矽烷與一未飽和醇的矽氫加成反應,接著在溶劑或無溶劑下環化產生具有一五員或六員飽和環的1-氧雜-2-矽雜環烷類(例如,反應式(1)和(2)具有70% 或高於70%,較佳高於80%的產量。合成路徑的實例係如下所示:
Figure 02_image041
其中 R1 、R3 和R4 係相同於如前述的界定;R5-8 係選自由氫、一直鏈或支鏈的C1 至C10 烷基,較佳氫或甲基。
於本文中所描述的矽化合物及包含其之方法與組合物較佳為實質上無一或多種雜質,諸如但不限於鹵離子及水。如於本文中所使用,用語「實質上無」當其係關於每種雜質時,其意謂著每百萬份有每種雜質100份(ppm)或較少,50 ppm或較少,10 ppm或較少,及5 ppm或較少,1 ppm較少,諸如但不限於氯化物或水。
根據本發明具有式I的該些化合物以及根據本發明包含具有式I的該些矽前驅物化合物的該些組合物較佳是實質上不含鹵化物。當在本文中所用的該詞語“實質上不含” 是有關鹵離子(或鹵化物)例如,舉例而言,氯化物(即含氯物類,例如HCl 或具有至少一Si-Cl 鍵的矽化合物) 和氟化物、溴化物和碘化物時,表示以ICP-MS技術測得低於5 ppm (以重量計),較佳是以ICP-MS技術測得低於3 ppm, 以及更佳是以ICP-MS技術測得低於1 ppm, 以及最佳是以ICP-MS技術測得低於0 ppm。氯化物是已知作為具有式I的該些矽化合物的分解催化劑。在最終產物中顯著的氯化物含量可使該些矽前驅物化合物降解。 該些矽化合物的逐漸降解會直接影響該薄膜沉積製程,使得該半導體製造商難以符合薄膜規格。 再者,具有式I的該些矽化合物的更高的降解速率會使其可使用的期限或穩定性受到負面影響,以致難以保證有1-2年的可使用的期限。所以, 具有式I的該些矽化合物的加速分解出現跟這些易燃的和/或自燃的氣體副產物形成有關的安全性和 性能的顧慮。具有式I的該些矽化合物較佳是實質上不含金屬離子例如Li+ 、Na+ ,、K+ 、Mg2+ 、Ca2+ 、Al3+ 、Fe2+ 、Fe2+ 、Fe3+ 、Ni2+ 、Cr3+ 。當在本文中所用的該詞語“實質上不含” 是有關 Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr時,表示以ICP-MS技術測得低於5 ppm (以重量計) ,較佳是低於3 ppm, 以及更佳是低於1 ppm, 以及最佳是低於0.1 ppm。在一些實施態樣中,具有式I或IA的該些矽化合物不含金屬離子例如Li+ 、Na+ ,、K+ 、Mg2+ 、Ca2+ 、Al3+ 、Fe2+ 、Fe2+ 、Fe3+ 、Ni2+ 、Cr3+ 。當在本文中所用的該詞語“不含”金屬雜質是有關 Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr、從在合成中使用的釕或鉑催化劑而來的貴金屬例如揮發性Ru 或 Pt複合物時,表示以ICP-MS技術或其他測量金屬的分析方法測得低於1 ppm,較佳是低於0.1 ppm (以重量計) 。具有式I的該些矽化合物較佳是亦實質上不含水或有機矽烷雜質例如從合成的起始物質或副產物而來的烷氧基矽烷,當在本文中所用的該詞語“不含” 是有關水時,是低於100 ppm (以重量計),較佳是低於50 ppm, 以及更佳是低於10 ppm; 所有有機矽烷雜質例如甲基三甲氧基矽烷或二甲基二乙氧基矽烷的總和以氣相層析(GC) 分析是低於 1.0 % 重量計,較佳是低於0.5 %重量計以及較佳是低於0.1%重量計。
此處所描述之實質上無鹵化物的組合物可藉由下列達成:(1)減少或消除在化學合成期間的氯化物來源;及/或(2)執行有效的純化方法來從粗產物移除氯化物,如此最後經純化的產物係實質上無氯化物。該氯化物來源可藉由在合成期間使用不包括鹵化物的試劑諸如氯二矽烷、溴二矽烷或碘二矽烷,因此避免製造出包括鹵離子的副產物而減少。此外,前述提及的試劑應該實質上無氯化物雜質,如此所產生的粗產物係實質上無氯化物雜質。以類似方式,該合成應該不使用鹵化物基底的溶劑、觸媒、或包括無法接受的高鹵化物污染物程度之溶劑。該粗產物亦可藉由多種純化方法處理以提供最後產物實質上無鹵化物,諸如氯化物。此等方法在先述技藝中有充分描述,及可包括但不限於諸如蒸餾或吸附的純化方法。通常使用蒸餾,利用沸點差異來分開雜質與想要的產物。亦可使用吸附,利用該等組分之不同的吸附性質實現分離,如此最後產物實質上無鹵化物。可使用諸如例如可商業購得的MgO-Al2 O3 摻合物之吸附劑來移除鹵化物,諸如氯化物。
雖然先前技藝的含矽矽前驅物諸如例如DEMS,一旦在反應艙中提供能量時會聚合而於聚合物骨架中形成具有-O-鏈結(例如,-Si-O-Si-或-Si-O-C-)的結構,咸信具有式I的矽化合物會聚合而形成一在骨架中之至少某些-O-跨橋由-CH2 -亞甲基或-CH2 CH2 -伸乙基跨橋置換的結構。在使用DEMS作為結構形成前驅物所沉積的膜中,其中該碳主要以終端Si-Me基團形式存在,於Si-Me%(直接與C%相關)對機械強度間有一關係,其中以二個終端Si-Me基團來置換橋接Si-O-Si基團會因為網狀結構破裂而降低機械性質。在該矽化合物的情況中,咸信該環狀結構係在膜沉積或固化製程期間斷裂而形成SiCH2 Si或SiCH2 CH2 Si橋接基團。以此方式,可併入呈橋接基團形式的碳,使得從機械強度來看,該網狀結構不會因在膜中的碳含量增加而破裂。不意欲由特別的理論界限,咸信此歸因於對該膜加入碳,此允許該膜對該多孔OSG膜因應諸如該膜的蝕刻、光阻的電漿灰化及銅表面的NH3 電漿處理等製程之碳耗乏更有彈性。在該OSG膜中的碳耗乏可造成該膜之缺陷介電常數增加和在溼式清洗步驟期間有膜蝕刻及外貌彎曲的問題,及/或當沉積銅擴散阻障物時的整合問題。
在本文所包含的方法及組合物之某些具體實例中,該結構形成前驅物進一步包含一硬化添加劑,其將增加機械強度。該硬化添加劑的例子包括四烷氧基矽烷(Si(OR5 ),其中R5 選自線性或分枝的C1 至C10 烷基、線性或分枝的C2 至C10 烯基、線性或分枝的C2 至C10 炔基、C3 至C10 環烷基、C3 至C10 雜環烷基、C5 至C10 芳基及C3 至C10 雜芳基;諸如例如,四乙氧基矽烷(TEOS)或四甲氧基矽烷(TMOS)。在使用硬化添加劑的具體實例中,該結構形成部分之組合物包含約30至約95重量百分比之包含具有式I的矽化合物之結構形成前驅物、約5至約70重量百分比的硬化添加劑;及佔全部前驅物流約40至約90重量百分比的成孔劑前驅物,例如α-萜品烯或環辛烷。
雖然於本文中所使用的說法「氣體試劑」有時描述為試劑,該說法意欲包括以氣體直接輸送至反應器、以蒸發的液體、昇華的固體輸送及/或藉由惰性載體氣體運輸進該反應器中的試劑。
此外,該試劑可與可區別的來源分別地或以混合物被攜帶進該反應器中。該等試劑可藉由任何數目的工具輸送至該反應器系統,較佳為使用安裝有適合的閥及配件之可加壓式不銹鋼容器以允許該液體被輸送至該製程反應器。
除了該結構形成物種(即式I的化合物)外,可在該沉積反應前、期間及/或後將額外的材料引進該反應艙中。此等材料包括例如惰性氣體(例如,He、Ar、N2 、Kr、Xe等等,其可使用作為較低揮發性前驅物的載體氣體及/或其可促進如所沉積的材料固化及提供更穩定的最後膜)及反應性物質,諸如含氧物種,諸如例如O2 、O3 及N2 O、氣體或液體有機物質、NH3 、H2 、CO2 或CO。在一個特別的具體實例中,引進該反應艙中的反應混合物包含選擇性的至少一種選自於由下列所組成之群的氧化劑:O2 、N2 O、NO、NO2 、CO2 、水、H2 O2 、臭氧及其組合。在任擇的具體實例中,該反應混合物不包含氧化劑。
對該氣體試劑施加能量以引發氣體反應及在該基材上形成膜。此能量可由例如電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、遠距電漿、熱絲及熱(即,非細絲)及方法提供。可使用二次rf頻率來源來修改在基材表面處的電漿特徵。較佳的是,該膜係藉由電漿輔助化學氣相沉積(「PECVD」)形成。
每種氣體試劑的流速範圍較佳為每單200毫米晶圓係10至5000 sccm,更佳為30至1000 sccm。對各別的速率進行選擇,以便在該膜中提供想要的矽、碳及氧之量。所需要的實際流速可依晶圓尺寸及艙組態而定,及決不限制為200毫米晶圓或單一晶圓艙。
在某些具體實例中,該膜係以每分鐘約50奈米(nm)之沉積速率進行沉積。
在沉積期間,於反應艙中的壓力範圍係約0.01至約600托耳或約1至15托耳。
該膜的較佳沉積厚度係0.002至10微米,然而如需要,該厚度可進行改變。沉積在未圖形化的表面上之毯覆膜具有優良的均勻性,其穿越該基材的厚度變異在少於2%的1個標準差內,排除合理的邊緣,例如,在均勻性的統計學計算中不包括該基材的5毫米最外邊邊緣。
相對於使用技藝已知之其它結構形成前驅物所沉積的其它多孔低k介電膜,此處所揭示的較佳具體實例提供一種具有低介電常數及經改良的機械性質、熱穩定性及抗化性(對氧、水性氧化環境等等)之薄膜材料。於本文中所描述之包含具有式I的烷基-烷氧基矽環狀化合物之結構形成前驅物提供較高的碳併入該膜(較佳為主要呈有機碳形式,-CHx ,其中x係1至3)的膜中,藉此使用特定的前驅物或網狀物形成化學物質來沉積膜。在某些具體實例中,在該膜中的多數氫係鍵結至碳。
根據於本文中所描述的組合物及方法所沉積之低k介電膜包含:(a)約10至約35原子%,更佳為約20至約30原子%的矽;(b)約10至約65原子%,更佳為約20至約45原子%的氧;(c)約10至約50原子%,更佳為約15至約40原子%的氫;(d)約5至約40原子%,更佳為約10至約45原子%的碳。該膜亦可包括約0.1至約15原子%,更佳為約0.5至約7.0原子%的氟,以改良一或多種材料性質。亦可於此處所揭示之某些膜中存在有較少部分的其它元素。OSG材料係視為低k材料,因為其介電常數係低於傳統在工業中所使用的標準材料,二氧化矽玻璃。
該膜的總孔隙度可依製程條件及想要的最後膜性質而係0至15%。此處所揭示的膜較佳為具有密度少於2.3克/毫升,或任擇地,少於2.0克/毫升,或少於1.8克/毫升。OSG膜的總孔隙度可藉由後沉積處理來影響,包括暴露於熱或UV硬化、電漿源。雖然此處所揭示的較佳具體實例未在沉積時加入成孔劑,但孔隙度可藉由後沉積處理例如UV硬化來誘發。例如UV處理可獲得接近約15至約20%的孔隙度,以介於約5至约10%為較佳。
本發明的膜亦可包括氟,其係呈無機氟(例如,Si-F)形式。當存在時,所包含的氟量範圍較佳為0.5至7原子%。
本發明的膜熱安定且具有好的抗化學性。特別是,在等溫425℃的N2 中退火後之較佳膜具有平均重量損失少於1.0重量%/小時。再者,該等膜較佳為在等溫425℃的空氣中具有平均重量損失少於1.0重量%/小時。
該等膜合適於多種用途。該膜特別合適於沉積在半導體基材上,及特別合適於使用作為例如絕緣層、層間介電層及/或金屬間介電層。該膜可形成一保形塗層。這些膜所具有的機械性質使得其特別合適於使用在Al減去(Al subtractive)技術及Cu鑲嵌或雙金屬鑲嵌技術。
該膜係與化學機械平坦化(CMP)及各向異性蝕刻相容,及能黏附至多種材料,諸如矽、SiO2 、Si3 N4 、OSG、FSG、碳化矽、氫化的碳化矽、氮化矽、氫化的氮化矽、碳氮化矽、氫化的碳氮化矽、氮化硼、抗反射塗層、光阻、有機聚合物、多孔有機及無機材料;金屬,諸如銅及鋁;及擴散障壁層,諸如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN或W(C)N。該膜較佳為能黏附至前述材料之至少一種且足以通過習知的拉扯測試,諸如ASTM D3359-95a膠帶拉扯測試(tape pull test)。若無可識別的膜移除時,該樣品視為已通過該測試。
因此在某些具體實例中,該膜係在積體電路中的絕緣層、層間介電層、金屬間介電層、覆蓋層、化學機械平坦化(CMP)或蝕刻停止層、障壁層或黏附層。
此處所揭示的組合物可以任何能藉由CVD沉積的形式被提供,諸如塗層、多層組合、及不需為平面或薄的其它物體型式、及許多不需使用在積體電路中的物體。較佳的是,該基材係半導體。
除了本發明的OSG產物外,本揭示包括製得該產物之方法、使用該產物及化合物的方法及對製備該產物有用的組合物。例如,在美國專利案號6,583,049中揭示出一種用以在半導體裝置上製造積體電路的方法,其於此以參考方式併入本文。
本發明的組合物可進一步包含例如至少一個安裝有適合的閥及配件之可加壓容器(較佳為不銹鋼),以允許將硬化添加劑及具有式I的矽前驅物例如DESCAP輸送至該製程反應器。該容器的內容物可經預混合。任擇地,可將該硬化添加劑及前驅物分別維持在不同的容器中或在具有分隔工具的單一容器中,用以於儲存期間將該硬化添加劑與前驅物維持成分開。此容器亦可具有一當想要時用以混合該成孔劑及前驅物的工具。
該預備(或原始沉積的)膜可以一固化步驟進一步處理,亦即該膜施用一附加的能量源,其可包含熱退火、化學處理、就地或遠距電漿處理、光固化(例如,UV)及/或微波處理。可使用其它就地或沉積後處理來提高材料性質,如硬度、穩定性(對收縮、對空氣曝露、對蝕刻、對溼式蝕刻等等)、完整性、均勻性及黏附力。因此,如於本文中所使用的用語「後處理」指示為以能量(例如,熱、電漿、光子、電子、微波等等)或化學處理該膜來提高材料性質。
該進行後處理之條件可大大地變化。例如,該後處理可在高壓下或在真空環境中進行。
UV退火係一較佳的硬化方法,及典型的在下列條件下進行的。
該環境可係惰性(例如,氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原(稀或濃氫、烴(飽和、不飽和、線性或分枝、芳香烴)等等)。該壓力較佳為約1托耳至約1000托耳,更佳為大氣壓。但是,真空環境亦可用於熱退火和任何其它後處理方法。該溫度較佳為200-500℃,及溫度跳躍速率係0.1至100℃/分鐘。總UV退火時間較佳為0.01分鐘至12小時。
該OSG膜的化學處理係在下列條件下進行。
使用氟化(HF、SiF4 、NF3 、F2 、COF2 、CO2 F2 等等)、氧化(H2 O2 、O3 等等)、化學乾燥、甲基化或其它化學處理來提高最後材料之性質。在此處理中所使用的化學物質可呈固體、液體、氣體及/或超臨界流體狀態。
在下列條件下進行超臨界流體後處理以選擇性移除該OSG膜之成孔劑。
該流體以是二氧化碳,水,一氧化二氮,乙烯,SF6 和/或其他類型的化學品。可以將其他化學品添加到超臨界流體中以增強該處理程序。化學品可以是惰性的(例如氮氣,CO2 ,稀有氣體(He,Ar,Ne,Kr,Xe)等)、氧化性的(例如氧氣,臭氧,一氧化二氮等),或還原性的(例如稀或濃烴,氫,包含氫的電漿等)。溫度優選為室溫至500℃。化學品還可以包括較大的化學品,例如界面活性劑。總暴露時間優選為0.01分鐘至12小時。
在下列條件下進行電漿處理以選擇性移除該OSG膜之不穩定基團及可能的化學改質。
該環境可係惰性(氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原(例如,稀或濃氫、烴(飽和、不飽和、線性或分枝、芳香烴)等等)。該電漿功率較佳為0-5000瓦。該溫度較佳為從約週圍溫度至約500℃。該壓力較佳為10毫托耳至大氣壓。總固化時間較佳為0.01分鐘至12小時。
UV固化以化學交聯有機矽酸鹽膜典型的在下列條件下進行。
該環境可係惰性(例如,氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原(例如,稀或濃烴、氫等等)。該溫度較佳為從約週圍溫度至約500℃。該功率較佳為0至約5000瓦。該波長較佳為IR、可見光、UV或深UV(波長>200奈米)。總UV固化時間較佳為0.01分鐘至12小時。
微波後處理有機矽酸鹽膜典型的在下列條件下進行。
該環境可係惰性(例如,氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原(例如,稀或濃烴、氫等等)。該溫度較佳為從約週圍溫度至約500℃。該功率及波長變化及可對特定的鍵結進行調整。總固化時間較佳為0.01分鐘至12小時。
電子束後處理有機矽酸鹽膜以改良膜性質典型的在下列條件下進行。
該環境可係真空、惰性(例如,氮、CO2 、惰性氣體(He、Ar、Ne、Kr、Xe)等等)、氧化(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原(例如,稀或濃烴、氫等等)。該溫度較佳為週圍至500℃。該電子密度及能量可變化及可對特定的鍵結進行調整。總固化時間較佳為0.001分鐘至12小時,及可係連續或脈衝。可在下列公告中獲得與電子束的一般用途有關之額外指導,諸如:S. Chattopadhyay等等,Journal of Materials Science,36 (2001) 4323-4330;G. Kloster等等,Proceedings of IITC,6月3-5日,2002,SF,CA;及美國專利案號6,207,555 B1、6,204,201 B1及6,132,814 A1。使用電子束處理可提供透過在基質中的鍵結形成過程來提高膜機械性質。
此處所揭示的方法及組合物將伴隨著參照下列實施例進行更詳細地闡明,但是應要瞭解的是,其不視為本發明的限制。 工作實例 1 合成 2,5,5-三甲基-2-乙氧基-1-氧雜-2-矽雜環戊烷,其中反應式(1)中的R1 = 甲基、R3 =乙氧基、R4 =乙基、R5 = R6 =甲基
在配備一內部的熱電偶和回流冷凝器的一三頸圓底瓶內,將1155.0克(8.6莫耳) 二乙氧基甲基矽烷經由一外加的漏斗逐滴地加在加熱至50°C的741.0克(8.6莫耳) 2-甲基-3-丁烯-2-醇內的1.50毫升Karstedt’s催化劑(二甲苯內含2%鉛)。當該加熱關閉時,有一放熱且該混合物的溫度逐漸增加至85°C。在進行DEMS 的添加時,溫度維持在75-85°C 之間。一旦完成添加,該反應冷卻至室溫並攪拌整夜。藉由在環境壓力的蒸餾和加熱至一蒸氣溫度153°C除去乙醇副產物。在105-108托壓力和 93-94°C下真空蒸餾該產物,得到純度97%的1235克的量 。 產率是 82%。 工作實例 2 合成2,2,5,5-四甲基-1-氧雜-2-矽雜環戊烷,其中反應式(1)中的 R1 = R3 =甲基、R4 =乙基、R5 = R6 =甲基
在配備一內部的熱電偶和回流冷凝器的一三頸圓底瓶內,將2095.0克(20.1莫耳) 二甲基乙氧基矽烷經由一外加的漏斗逐滴地加在加熱至50°C的1731.0克(20.1莫耳) 2-甲基-3-丁烯-2-醇內的2.00毫升Karstedt’s催化劑(二甲苯內含2%鉛)。有一逐漸放熱且該反應溫度達至87°C,在此之後該溫度逐漸降至60°C。進行DEMS 的添加,於是該溫度開始逐漸上升,然後有一急劇的放熱且該反應混合物在95°C下回流。該第二溫度尖峰比該第一溫度尖峰更劇烈的。在完成添加後,該反應冷卻至室溫並攪拌整夜。取一試樣進行氣相層析並顯示產物與二乙氧基二甲基矽烷是3:1的比率,進行在環境壓力的蒸餾以便除去乙醇和殘留的2-甲基-3-丁烯-2-醇起始物質。一旦該蒸氣溫度達至107°C停止除去步驟,在環境壓力下蒸餾該產物,得到純度97%的566克的量。產率是20%。 工作實例 3 以改進的方法合成2,2,5,5-四甲基-1-氧雜-2-矽雜環戊烷,其中反應式(1)中的 R1 = R3 =甲基、R4 =乙基、R5 = R6 =甲基
在配備一內部的熱電偶和回流冷凝器的一三頸圓底瓶內,將1500.0克(14.4莫耳) 二甲基乙氧基矽烷經由一外加的漏斗逐滴地加在加熱至50°C的1239.0克(14.4莫耳) 2-甲基-3-丁烯-2-醇和90克不含黏結劑的4埃(Å)粉末分子篩內的3.00毫升Karstedt’s催化劑(二甲苯內含2%鉛)。該矽烷被相當快速的加入,然而該反應混合物的溫度未曾超過90°C。在完成添加後,該反應冷卻至室溫並攪拌整夜。取一試樣進行氣相層析並顯示產物與二乙氧基二甲基矽烷是98:2的比率,進行在環境壓力的蒸餾以便除去乙醇副產物。在環境壓力和 120-121°C下蒸餾該產物,得到純度97%的1767克的量 。 產率是 85%。在環境壓力下再蒸餾,得到產物的純度>99%。 工作實例 4 合成2,5,5-三甲基-2-異丙基-1-氧雜-2-矽雜環戊烷,其中反應式(1)中的R1 = 甲基、R3 =異丙基、R4 =乙基、R5 = R6 = 甲基
在一單頸圓底瓶內,含有於350毫升的己烷與四氫呋喃(THF)混合物內的24.6克(186.0毫莫耳)異丙基乙氧基甲基矽烷,將16.0克(186.0毫莫耳) 2-甲基-3-丁烯-2-醇加入,之後是0.03毫升Karstedt’s催化劑(二甲苯內含2%鉛)。該反應被攪拌整個一夜。氣相層析質譜儀分析(GC-MS)顯示在 m/z 172取得所欲產物的證據。 工作實例 5 (薄膜實例) 使用介電質2,2,5,5-四甲基-1-氧雜-2-矽雜環戊烷進行電漿化學氣相沉積(PECVD)含矽介電膜
使用一種同時沉積薄膜在兩晶圓的Applied Materials Producer SE系統,透過一電漿增強化學氣相沉積(PECVD)製程,形成用於300毫米晶圓製程的典型的薄膜。因此該前驅物和氣體流動速率要符合同時沉積薄膜在兩晶圓所需的該些流動速率。每一晶圓規定的射頻(RF)功率是確的因為每一個晶圓製程站台有它自己獨立的射頻電源供應器。在不同的製程條件下,從兩種不同的化學前驅物沉積該些薄膜。 該PECVD製程一般涉及以下基本步驟:氣流的初始設定與穩定、沉積該薄膜至該矽晶圓基材上以及在移除基材之前清洗/撤空反應艙。該些試驗係在p-型矽晶圓上進行(電阻率範圍= 8-12 歐姆-釐米(Ohm-cm)。
在一 SCIFilmTek 2000反射儀上測量厚度和折射率。使用汞探針技術在中等電阻率的p-型晶圓上 (範圍= 8-12 歐姆-釐米) 測定介電係數。利用奈米壓痕技術測定機械性質 (彈性模數和硬度, GPa),藉由X 射線光電子能譜學(原子的 %) 測定碳含量,以及藉由紅外光譜術測定在該氧化矽(SiOx )網狀結構內的物類組成。後者包含得自於Si(CH 3 )1 的該矽甲基密度以及該二矽伸甲基橋密度(SiCH 2 Si/SiO x *1E4)。 工作實例 6 (薄膜實例)
使用2,2,5,5-四甲基l-1-氧雜-2-矽雜環戊烷前驅物在以下條件下沉積低介電係數薄膜:整個前驅物流速是2000 毫克/分鐘(mg/min);氧氣流速是15每分鐘標準立方公分 ( sccm) ;沉積溫度維持在3900 C;射頻(RF)功率的變化從 230至500 瓦特(W);壓力維持在7.5托(torr);電極間距維持在380密耳(mils);用來運送前驅物至該製程反應艙的氦載體流是1500 sccm。下表1 顯示由2,2,5,5-四甲基l-1-氧雜-2-矽雜環戊烷前驅物在三種不同射頻功率中所得的該等薄膜性質。該些 沉積薄膜顯示較高的機械強度、較高的介電係數和較高的網狀碳,此較高的網狀碳是從傅里葉轉換紅外光譜(FTIR spectra)中,該整合的Si-CH2 -SI譜帶對該整合的Si-O譜帶的比率取得的在Si-CH2 -Si/SiOx 比率中的增加所顯示。合併較高的網狀碳密度,例如Si-CH2 -Si是所欲的,因為它會減少在隨後的整合步驟例如蝕刻、灰化、研磨平坦化和金屬化時產生的薄膜破壞的深度。 表 1: 由2,2,5,5-四甲基l-1-氧雜-2-矽雜環戊烷前驅物在三種不同射頻功率中所得的該等薄膜性質:
Figure 108128440-A0304-0002
工作實例 7 (薄膜實例) 使用介電質2,5,5-三甲基-2-乙氧基 -1-氧雜-2-矽雜環戊烷進行電漿化學氣相沉積(PECVD)含矽介電膜
使用2,5,5-三甲基-2-乙氧基 -1-氧雜-2-矽雜環戊烷前驅物在以下條件下沉積低介電係數薄膜:整個前驅物流速的變化是從2000至2500毫克/分鐘(mg/min) ;氧氣流速是25-50每分鐘標準立方公分 ( sccm) ;沉積溫度維持在3900 C;射頻(RF)功率的變化從315至515瓦特(W);壓力維持在7.5托(torr);電極間距維持在380密耳(mils); ;用來運送前驅物至該製程反應艙的氦載體流是1500 sccm。下表2顯示由2,5,5-三甲基-2-乙氧基 -1-氧雜-2-矽雜環戊烷前驅物在三種不同製程條件中所得的該等薄膜性質。該些沉積薄膜顯示相對於該2,2,5,5-四甲基l-1-氧雜-2-矽雜環戊烷類似的機械強度和介電係數,但較低的網狀碳,此較低的網狀碳是從傅里葉轉換紅外光譜(FTIR spectra)中,該整合的Si-CH2 -SI譜帶對該整合的Si-O譜帶的比率取得的在Si-CH2 -Si/SiOx 比率中的降低所顯示。以一乙氧基取代一甲基使得可以併入該薄膜的網狀碳的量減少。 表2: 由2,5,5-三甲基-2-乙氧基 -1-氧雜-2-矽雜環戊烷前驅物在三種不同射頻功率中所得的該等薄膜性質:
Figure 108128440-A0304-0003
雖然上述已參照某些特定具體實例及實施例來闡明及說明,然而本發明不意欲受限於所顯示出的細節。而是,可在本案申請專利範圍之均等範圍內及沒有離開本發明之精神在細節上作出多種變化。明確意欲的是,例如,在本文件中所敘述的全部寛廣範圍包括落在其範圍內之較寛範圍內之全部較窄範圍。
Figure 108128440-A0101-11-0002-1

Claims (25)

  1. 一種製造一 介電膜的化學氣相沉積方法,該方法包括: 導入氣體試劑至具有提供一基材在其中的一反應艙內,其中該些氣體試劑包含一矽前驅物,該矽前驅物包含一具有化學式I的矽化合物:
    Figure 03_image042
    (I) 其中R1 係選自由氫、一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基和一C3 至C10 雜芳基所組成的群組;以及R2 係一C2 至C4 烷基雙自由基,其與該矽與氧原子形成一帶有選擇性C1 至C6 烷基取代基的四員、五員或六員飽和環,R3 係選自下列所組成的群組:一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基、一 C3 至C10 雜芳基,以及烷氧基OR4 ,其中R4 係選自由一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基和一直鏈或支鏈的C2 至C10 炔基所組成的群組;以及 施加能量至在該反應艙內的該些氣體試劑以便促使該些氣體試劑反應而沉積一薄膜在該基材上。
  2. 如請求項1的方法,其中該矽前驅物進一步包含一硬化添加物。
  3. 如請求項1的方法,其中該矽化合物包含至少一選自由2,2,5,5-四甲基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-乙氧基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-甲氧基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-異丙氧基-1-氧雜-2-矽雜環戊烷、2,2-二甲基-1-氧雜-2-矽雜環己烷、2,2,6,6-四甲基-1-氧雜-2-矽雜環己烷、2-甲基-2-乙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-乙氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-甲氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-甲氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-正丙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-正丙氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-異丙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-異丙氧基-1-氧雜-2-矽雜環己烷、2,5,5-三甲基-2-異丙基-1-氧雜-2-矽雜環戊烷、2-甲基-2-異丙基-1-氧雜-2-矽雜環戊烷、2-甲基-2-異丙基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-異丙基-1-氧雜-2-矽雜環己烷以及其等組合所組成的群組。
  4. 如請求項2的方法,其中該硬化添加物包含四乙氧基矽烷。
  5. 如請求項2的方法,其中該硬化添加物包含四甲氧基矽烷。
  6. 如請求項1的方法,其係一電漿增強化學氣相沉積方法。
  7. 如請求項1的方法,其中該些氣體試劑進一步包含至少一氧源,其係選自由O2 、N2 O、NO、NO2 、CO2 、CO、水、H2 O2 、臭氧以及其等組合所組成的群組。
  8. 如請求項1的方法,其中該反應艙在該施加步驟中包含至少一選自由He、Ar、N2 、Kr、Xe、NH3 、H2 、CO2 和CO所組成的群組的氣體。
  9. 如請求項1的方法,其進一步包含施加額外的能量至該薄膜的步驟。
  10. 如請求項9的方法,其中該額外的能量係至少一選自由一熱處理、一紫外線(UV) 處理、一電子束處理和一伽瑪輻射處理所組成的群組 。
  11. 如請求項10的方法,其中該紫外線(UV)處理發生在至少一部份的該熱處理期間。
  12. 如請求項1的方法,其中該些氣體試劑進一步包含一成孔劑前驅物,以及 其中施加能量至該些氣體試劑以便沉積一薄膜的該步驟包括共沉積一犧牲的成孔劑在該基材上。
  13. 一種用於製造一介電膜的一沉積製程的組合物,該組合物包含一具有化學式I的矽化合物:
    Figure 03_image042
    (I) 其中R1 係選自由氫、一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基和一C3 至C10 雜芳基所組成的群組;以及R2 係一 C2 至C4 烷基雙自由基,其與該矽與氧原子形成一帶有選擇性C1 至C6 烷基取代基的四員、五員或六員飽和環,R3 係選自下列所組成的群組:一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基、一 C3 至C10 雜芳基,以及烷氧基OR4 ,其中R4 係選自由一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基和一直鏈或支鏈的C2 至C10 炔基所組成的群組。
  14. 如請求項13的組合物,其中該矽化合物係至少一選自由2,2,5,5-四甲基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-乙氧基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-甲氧基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-異丙氧基-1-氧雜-2-矽雜環戊烷、2,2-二甲基-1-氧雜-2-矽雜環己烷、2,2,6,6-四甲基-1-氧雜-2-矽雜環己烷、2-甲基-2-乙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-乙氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-甲氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-甲氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-正丙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-正丙氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-異丙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-異丙氧基-1-氧雜-2-矽雜環己烷、2,5,5-三甲基-2-異丙基-1-氧雜-2-矽雜環戊烷、2-甲基-2-異丙基-1-氧雜-2-矽雜環戊烷、2-甲基-2-異丙基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-異丙基-1-氧雜-2-矽雜環己烷以及其等組合所組成的群組。
  15. 一種製造由化學式I表示的矽化合物的方法,
    Figure 03_image042
    (I) 該方法包括: 在一催化劑存在下進行一烷氧基矽烷與一未飽和醇的矽氫加成反應,接著依反應式(1)或(2)在溶劑或無溶劑下環化而有高於70% 的產量:
    Figure 03_image003
    其中R1 係選自由氫、一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基和一C3 至C10 雜芳基所組成的群組;以及R2 係一C2 至C4 烷基雙自由基,其與該矽與氧原子形成一帶有選擇性C1 至C6 烷基取代基的四員、五員或六員飽和環,R3 係選自下列所組成的群組:一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基、一C3 至C10 雜芳基,以及烷氧基OR4 ,其中R4 係選自由一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基和一直鏈或支鏈的C2 至C10 炔基所組成的群組;以及R5-8 係選自由氫、一直鏈或支鏈的C1 至C10 烷基所組成的群組。
  16. 如請求項15的方法,其中由該化學式I表示的該化合物係選自由2,2,5,5-四甲基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-乙氧基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-甲氧基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-異丙氧基-1-氧雜-2-矽雜環戊烷、2,2-二甲基-1-氧雜-2-矽雜環己烷、2,2,6,6-四甲基-1-氧雜-2-矽雜環己烷、2-甲基-2-乙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-乙氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-甲氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-甲氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-正丙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-正丙氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-異丙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-異丙氧基-1-氧雜-2-矽雜環己烷、2,5,5-三甲基-2-異丙基-1-氧雜-2-矽雜環戊烷、2-甲基-2-異丙基-1-氧雜-2-矽雜環戊烷、2-甲基-2-異丙基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-異丙基-1-氧雜-2-矽雜環己烷以及其等組合所組成的群組。
  17. 一種用於一介電膜的一氣相沉積的組合物,其包含一具有化學式I的矽化合物:
    Figure 03_image042
    (I) 其中R1 係選自由氫、一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基和一C3 至C10 雜芳基所組成的群組;以及R2 係一C2 至C4 烷基雙自由基,其與該矽與氧原子形成一帶有選擇性C1 至C6 烷基取代基的四員、五員或六員飽和環,R3 係選自下列所組成的群組:一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基、一直鏈或支鏈的C2 至C10 炔基、一C3 至C10 環烷基、一C3 至C10 雜環烷基、一C5 至C10 芳基、一C3 至C10 雜芳基,以及烷氧基OR4 ,其中R4 係選自由一直鏈或支鏈的C1 至C10 烷基、一直鏈或支鏈的C2 至C10 烯基和一直鏈或支鏈的C2 至C10 炔基所組成的群組,以及其中該化合物實質上不含至少一由鹵化物、有機矽烷雜質和水所組成的群組的雜質。
  18. 如請求項17的組合物,其中該矽化合物係至少一選自由2,2,5,5-四甲基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-乙氧基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-甲氧基-1-氧雜-2-矽雜環戊烷、2,5,5-三甲基-2-異丙氧基-1-氧雜-2-矽雜環戊烷、2,2-二甲基-1-氧雜-2-矽雜環己烷、2,2,6,6-四甲基-1-氧雜-2-矽雜環己烷、2-甲基-2-乙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-乙氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-甲氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-甲氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-正丙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-正丙氧基-1-氧雜-2-矽雜環己烷、2-甲基-2-異丙氧基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-異丙氧基-1-氧雜-2-矽雜環己烷、2,5,5-三甲基-2-異丙基-1-氧雜-2-矽雜環戊烷、2-甲基-2-異丙基-1-氧雜-2-矽雜環戊烷、2-甲基-2-異丙基-1-氧雜-2-矽雜環己烷、2,6,6-三甲基-2-異丙基-1-氧雜-2-矽雜環己烷以及其等組合所組成的群組。
  19. 如請求項17的組合物,其中該鹵化物包含氯離子。
  20. 如請求項19的組合物,其中該氯離子若存在的存在濃度是或低於50 ppm。
  21. 如請求項19的組合物,其中該氯離子若存在的存在濃度是或低於10 ppm。
  22. 如請求項19的組合物,其中該氯離子若存在的存在濃度是或低於5 ppm。
  23. 如請求項19的組合物,其中該組合物具有0 ppm的氯離子。
  24. 如請求項17的組合物,其中所有該有機矽烷雜質的總和根據氣相層析(GC)分析是或低於1.0重量計。
  25. 如請求項17的組合物,其中所有該有機矽烷雜質的總和根據氣相層析(GC)分析是或低於0.5重量%。
TW108128440A 2018-08-10 2019-08-10 矽化合物及使用其沉積膜的方法 TWI747023B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862717454P 2018-08-10 2018-08-10
US62/717454 2018-08-10
US201916532657A 2019-08-06 2019-08-06
US16/532657 2019-08-06

Publications (2)

Publication Number Publication Date
TW202009321A true TW202009321A (zh) 2020-03-01
TWI747023B TWI747023B (zh) 2021-11-21

Family

ID=70000220

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108128440A TWI747023B (zh) 2018-08-10 2019-08-10 矽化合物及使用其沉積膜的方法

Country Status (3)

Country Link
EP (2) EP3872223A3 (zh)
CN (2) CN110952074B (zh)
TW (1) TWI747023B (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08191104A (ja) 1995-01-11 1996-07-23 Hitachi Ltd 半導体集積回路装置およびその製造方法
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6440876B1 (en) * 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP2011111399A (ja) * 2009-11-25 2011-06-09 Tosoh Corp スピロ型シクロトリシロキサン誘導体、その製造方法、それを用いた製膜法及び膜
US8580699B2 (en) * 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
CN103238206A (zh) * 2010-12-20 2013-08-07 应用材料公司 原位低介电常数加盖以改良整合损坏抗性
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
KR102079501B1 (ko) * 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법

Also Published As

Publication number Publication date
EP4325548A3 (en) 2024-04-10
EP4325548A2 (en) 2024-02-21
CN110952074B (zh) 2023-06-13
EP3872223A3 (en) 2021-11-17
CN116288249A (zh) 2023-06-23
CN110952074A (zh) 2020-04-03
EP3872223A2 (en) 2021-09-01
TWI747023B (zh) 2021-11-21

Similar Documents

Publication Publication Date Title
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
TWI649445B (zh) 矽環化合物及使用其的膜沉積方法
TWI729417B (zh) 矽化合物及使用其沉積膜的方法
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
US20210339280A1 (en) Silacyclic compounds and methods for depositing silicon-containing films using same
TWI747023B (zh) 矽化合物及使用其沉積膜的方法
KR102373339B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
JP6993394B2 (ja) ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法
TWI821645B (zh) 用於沉積具有高彈性模數的膜的新穎前驅物及方法
US11043374B2 (en) Silacycloalkane compounds and methods for depositing silicon containing films using same
US20200048286A1 (en) Silicon compounds and methods for depositing films using same
TW202217051A (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜