KR102373339B1 - 규소 화합물 및 이를 사용하여 막을 증착시키는 방법 - Google Patents

규소 화합물 및 이를 사용하여 막을 증착시키는 방법 Download PDF

Info

Publication number
KR102373339B1
KR102373339B1 KR1020197032662A KR20197032662A KR102373339B1 KR 102373339 B1 KR102373339 B1 KR 102373339B1 KR 1020197032662 A KR1020197032662 A KR 1020197032662A KR 20197032662 A KR20197032662 A KR 20197032662A KR 102373339 B1 KR102373339 B1 KR 102373339B1
Authority
KR
South Korea
Prior art keywords
group
oxa
branched
linear
trimethyl
Prior art date
Application number
KR1020197032662A
Other languages
English (en)
Other versions
KR20210031595A (ko
Inventor
만차오 샤오
레이몬드 니콜라스 브르티스
로버트 고든 릿지웨이
윌리엄 로버트 엔틀리
제니퍼 린 앤 아세틸
씬지안 레이
다니엘 피. 스펜스
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Priority to KR1020227007441A priority Critical patent/KR102409869B1/ko
Publication of KR20210031595A publication Critical patent/KR20210031595A/ko
Application granted granted Critical
Publication of KR102373339B1 publication Critical patent/KR102373339B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/188Preparation; Treatments not provided for in C07F7/20 by reactions involving the formation of Si-O linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/1876Preparation; Treatments not provided for in C07F7/20 by reactions involving the formation of Si-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/1892Preparation; Treatments not provided for in C07F7/20 by reactions not provided for in C07F7/1876 - C07F7/1888
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/487Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using electron radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

유전체 막을 제조하기 위한, 조성물, 및 화학적 증기 증착 방법이 제공된다. 조성물을 포함하는 가스상 시약은 기판이 그 안에 제공되어 있는 반응 챔버 내에 도입된다. 가스상 시약은 본원에서 규정된 바와 같은 화학식 I에 따른 규소 화합물을 포함하는 규소 전구체를 포함한다. 가스상 시약의 반응을 유도하고 이에 의해 기판 상에 막을 증착시키기 위해 반응 챔버에서 가스상 시약에 에너지가 인가된다. 증착된 막은 증착시 막에 적용된 임의적인 추가적인 경화 단계 없이 이의 의도된 용도에 적합하다. 조성물을 제조하는 방법이 또한 개시된다.

Description

규소 화합물 및 이를 사용하여 막을 증착시키는 방법
관련 출원에 대한 상호 참조문헌
본 출원은 2018년 8월 10일에 출원된 미국가특허출원 제62/717,454호, 및 2019년 8월 6일에 출원된 미국특허출원 제16/532,657호의 이익을 주장하며, 본 문헌의 개시내용은 전문이 본원에 참고로 포함된다.
본원에는 구조 형성 전구체(structure forming precursor)(들)로서 규소 화합물을 사용하여 유전체 막의 형성을 위한 조성물 및 방법이 기술된다. 보다 상세하게, 본원에는 낮은 유전 상수 막("저-k" 막 또는 약 3.2 이하의 유전 상수를 갖는 막)을 형성하기 위한 조성물 및 방법으로서, 막을 증착시키기 위해 사용되는 방법은 화학적 증기 증착(CVD) 방법인 조성물 및 방법이 기술되어 있다. 본원에 기술된 조성물 및 방법에 의해 형성된 낮은 유전 상수 막은 예를 들어, 전자 소자에서 절연층으로서 사용될 수 있다.
전자 산업은 집적 회로(IC) 및 관련된 전자 소자의 회로들 및 부품들 사이에 절연층으로서 유전 물질을 사용한다. 라인 치수(line dimension)는 마이크로전자 소자(예를 들어, 컴퓨터 칩)의 속도 및 기억 저장 능력을 증가시키기 위해 감소된다. 라인 치수가 감소함에 따라, 층간 유전체(interlayer dielectric; ILD)에 대한 절연 요건이 훨씬 더 엄격하게 된다. 간격의 축소는 RC 시간 상수를 최소화하기 위해 더 낮은 유전 상수를 필요로 하며, 여기서, R은 전도성 라인의 저항이며, C는 절연 유전체 중간층의 커패시턴스(capacitance)이다. 커패시턴스(C)는 간격과 반비례하고 층간 유전체(ILD)의 유전 상수(k)와 비례한다. 통상적인 실리카(SiO2) CVD 유전체 막은 SiH4 또는 TEOS(Si(OCH2CH3)4, 테트라에틸오르쏘실리케이트)로부터 형성되며, O2는 4.0보다 큰 유전 상수 k를 갖는다. 산업에서 더 낮은 유전 상수를 갖는 실리카-기반 CVD 막을 생산하기 위해 여러 방식들이 시도되고 있으며, 가장 성공적인 것은 약 2.7 내지 약 3.5 범위의 유전 상수를 제공하는 유기 기로 절연 산화규소 막을 도핑하는 것이다. 이러한 오가노실리카 유리는 통상적으로, 오가노규소 전구체(organosilicon), 예를 들어, 메틸실란 또는 실록산, 및 산화제, 예를 들어, O2 또는 N2O로부터 조밀한 막(밀도 약 1.5 g/㎤)으로서 증착된다. 오가노실리카 유리는 본원에서 OSG로서 지칭될 것이다. OSG의 탄소 함량이 증가할 때, 막의 기계적 강도, 예를 들어, 막의 경도(H) 및 탄성률(EM)은 유전 상수가 감소함에 따라 빠르게 감소하는 경향이 있다.
산업에서 인식되고 있는 과제(challenge)는 낮은 유전 상수를 갖는 막이 통상적으로, 낮은 기계적 강도를 가지며, 이는 좁은 피치 막(narrow pitch film)에서의 강화된 결함, 예를 들어, 박리, 버클링(buckling), 증가된 일렉트로마이그레이션(electromigration), 예를 들어, 감소된 기계적 성질을 갖는 유전체 막에 임베딩된 구리로부터 제조된 전도성 라인에 대해 관찰되는 것을 초래한다. 이러한 결함은 유전체의 조기 파괴 또는 전도성 구리 라인의 공극발생(voiding)을 야기시켜, 조기 소자 고장(failure)을 야기시킬 수 있다. OSG 막에서 탄소 고갈은 또한, 하기 문제점들 중 하나 이상을 야기시킬 수 있다: 막의 유전 상수의 증가; 습식 세정 단계 동안 막 에칭 및 피쳐 보잉(feature bowing); 소수성의 상실로 인한 막 내에 수분 흡수, 패턴 에칭 후 습식 세정 단계 동안의 미세 피쳐의 패턴 붕괴 및/또는 후속 층, 예를 들어, 비제한적으로, 구리 확산 배리어, 예를 들어, Ta/TaN 또는 진보된 Co 또는 MnN 배리어 층을 증착시킬 때 통합(integration) 문제.
이러한 문제점들 중 하나 이상에 대한 가능한 해법은 탄소 함량을 증가시키지만 기계적 강도를 유지시키는 다공성 OSG 막을 사용하는 것을 포함한다. 불행하게도, Si-Me 함량의 증가 간의 관계는 통상적으로 기계적 성질의 감소를 초래하며, 이에 따라, 더욱 많은 Si-Me를 갖는 막은 통합을 위해 중요한 기계적 강도에 악영향을 미칠 것이다.
제안된 하나의 해법은 일반 화학식 Rx(RO)3-xSi(CH2)ySiRz(OR)3-z(여기서, x는 0 내지 3이며, y는 1 또는 2이며, z는 0 내지 3임)의 에틸렌 또는 메틸렌 브릿징된 알콕시실란을 사용하는 것이다. 브릿징된 종의 사용은 네트워크 전도도가 동일하제 유지되기 때문에, 브릿징 산소를 브릿징 탄소 사슬로 대체함으로써 기계적 성질에 대한 악영향을 방지할 것으로 사료된다. 이는 브릿징 산소를 말단 메틸 기로 대체하는 것이 네트워크 연결성을 낮춤으로써 기계적 강도를 낮출 것이라는 믿음으로부터 비롯된다. 이러한 방식으로, 기계적 강도를 낮추지 않으면서 원자 중량(%) C를 증가시키기 위해 산소 원자를 1 내지 2개의 탄소 원자로 대체할 수 있다. 그러나, 이러한 브릿징된 전구체는 일반적으로, 2개의 규소 기를 가짐으로 증가된 분자량으로 인해 매우 높은 비등점을 갖는다. 증가된 비등점은 증기 전달 라인 또는 공정 펌프 배기라인에서 응축시키지 않으면서 기상 시약으로서 반응 챔버 내에 화학물질 전구체를 전달하는 것을 어렵게 만듦으로써 제조 공정에 악영향을 미칠 수 있다.
이에 따라, 당해 분야에서 증착시 증가된 탄소 함량을 가지지만 상술된 단점들을 제공하지 않는 막을 제공하는 유전체 전구체가 요구되고 있다.
본원에 기술된 방법 및 조성물은 상기에 기술된 하나 이상의 요구를 충족시킨다. 본원에 기술된 방법 및 조성물은 저-k 층간 유전체를 제공하기 위해 증착될 때 사용될 수 있거나 막 성질을 변경시키기 위해, 예를 들어, 기계적 강도를 향상시키도록 화학적 가교를 제공하기 위해 후속하여 열, 플라즈마, 또는 UV 에너지원으로 처리될 수 있는 규소 전구체로서, 적어도 하나의 규소 화합물(들), 예를 들어, 예컨대, 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄 또는 2,5,5-트리메틸-2-에톡시-1-옥사-2-실라사이클로펜탄을 사용한다. 또한, 규소 전구체(들)로서 본원에 기술된 규소 화합물을 사용하여 증착된 막은 비교적 높은 양의 탄소를 포함한다. 또한, 본원에 기술된 규소 화합물(들)은 2개의 규소 기를 갖는 특성에 의해 더 높은 MW 및 더 높은 비등점을 갖는 브릿징된 전구체(예를 들어, 알콕시실란 전구체)와 같은 종래 기술의 규소 전구체에 비해 더 낮은 분자량(Mw)을 가지며, 이에 의해, 본원에 기술된, 250℃ 이하, 더욱 바람직하게, 200℃ 이하의 비등점을 갖는 규소 전구체를 공정, 예를 들어, 대량 제조 공정에서 더욱 편리하게 제조한다.
본원에는 화학식 SivOwCxHyFz(여기서, v+w+x+y+z = 100%, v는 10 내지 35 원자%이며, w는 10 내지 65 원자%이며, x는 5 내지 45 원자%이며, y는 10 내지 50 원자%이며, z는 0 내지 15 원자%임)로 표현되는 물질을 포함하는 단일 전구체-기반 유전체 막이 기술되며, 여기서, 막은 5.0 내지 30.0%의 체적 공극율, 2.3 내지 3.2의 유전 상수, 및 1.0 내지 7.0 기가파스칼(GPa)의 경도 및 4.0 내지 40.0 GPa의 탄성률과 같은 기계적 성질을 갖는 공극을 갖는다. 특정 구체예에서, 막은 X선 발광분광법(X-ray photospectrometry; XPS)에 의해 측정한 경우 더 높은 탄소 함량(10 내지 40%)을 포함하고, XPS 깊이 프로파일링(XPS depth profiling)에 의해 결정된 탄소 함량을 시험함으로써 측정한 경우에, 예를 들어, O2 또는 NH3 플라즈마에 노출될 때, 탄소 제거의 깊이 감소를 나타낸다.
일 양태에서, 유전체 막을 제조하기 위한 화학적 증기 증착 방법으로서, 반응 챔버 내에 기판을 제공하는 단계; 반응 챔버 내에 가스상 시약 및 적어도 하나의 산소 공급원을 도입하는 단계로서, 가스상 시약은 하기 화학식 I의 구조를 갖는 규소 화합물을 포함하는 규소 전구체를 포함하는 단계; 및 기판 상에 막을 증착시키기 위한 가스상 시약의 반응을 유도하기 위해 반응 챔버에서 가스상 시약에 에너지를 인가하는 단계를 포함하는 방법이 제공된다:
Figure 112019112898441-pct00001
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되며; R2는 고리에 결합된 임의적인 알킬 치환기와 함께 Si 및 산소 원자와 4원, 5원, 또는 6원 포화된 환형 고리를 형성하는 C2 내지 C4 알킬 디-라디칼이며, R3은 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, C3 내지 C10 헤테로-아릴 기, 및 알콕시 OR4로 이루어진 군으로부터 선택되며, 여기서, R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택된다. 증착된 막은 추가적인 처리, 예를 들어, 예컨대, 열적 어닐링, 플라즈마 노출 또는 UV 경화 없이 사용될 수 있다.
다른 양태에서, 하기 화학식 I을 갖는 규소 화합물을 포함하는 유전체 막의 증기 증착을 위한 조성물이 제공된다:
Figure 112019112898441-pct00002
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되며; R2는 임의적 C1 내지 C6 알킬 치환기와 함께 Si 및 산소 원자와 4원, 5원, 또는 6원 포화된 환형 고리를 형성하는 C2 내지 C4 알킬 디-라디칼이며, R3은 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기, 및 알콕시 OR4로 이루어진 군으로부터 선택되며, 여기서, R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택된다.
다른 양태에 따르면, 조성물은 할라이드, 오가노실란 및 물로 이루어진 군으로부터 선택된 적어도 하나의 불순물이 실질적으로 존재하지 않는다.
본 발명의 또 다른 양태에 따르면, 하기 화학식 I로 표현되는 규소 화합물을 제조하는 방법으로서,
하기 반응식 (1) 또는 (2)에 따라 70% 이상의 수율로, 촉매의 존재 하에서 알콕시실란과 불포화 알코올의 하이드로실릴화, 및 이후에, 용매와 함께 또는 용매 없이 환형화를 수행하는 것을 포함하는 방법이 제공된다:
Figure 112019112898441-pct00003
Figure 112019112898441-pct00004
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되며; R3은 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기, 및 알콕시 OR4로 이루어진 군으로부터 선택되며, 여기서, R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 및 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R5 내지 R8은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기로 이루어진 군으로부터 선택된다.
본원에는 유전체 막을 제조하기 위한 화학적 증기 증착 방법으로서, 반응 챔버 내에 기판을 제공하는 단계; 반응 챔버 내에 가스상 시약 및 적어도 하나의 산소 공급원을 도입하는 단계로서, 가스상 시약은 하기 화학식 I의 구조를 갖는 규소 화합물을 포함하는 규소 전구체를 포함하는 단계; 및 기판 상에 막을 증착시키기 위한 가스상 시약의 반응을 유도하기 위해 반응 챔버에서 가스상 시약에 에너지를 인가하는 단계를 포함하는 방법이 기술된다:
Figure 112019112898441-pct00005
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되며; R2는 고리에 결합된 임의적인 알킬 치환기와 함께 Si 및 산소 원자와 4원, 5원, 또는 6원 포화된 환형 고리를 형성하는 C2 내지 C4 알킬 디-라디칼이며, R3은 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기, 및 알콕시 OR4로 이루어진 군으로부터 선택되며, 여기서, R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택된다. 막은 증착된 바와 같이 사용될 수 있거나, 막의 기계적 강도를 증가시키고 3.3 미만의 유전 상수를 산출함으로써 막의 화학적 성질을 개질시키기 위해 열 에너지(어닐), 플라즈마 노출, 및 UV 경화로 이루어진 군으로부터 선택된 추가적인 에너지로 후속하여 처리될 수 있다.
본원에 기술된 규소 화합물은 디에톡시메틸실란(DEMS)과 같은 종래 기술의 구조 형성 전구체와 비교하여 저-k 유전체 막의 기계적 성질에 최소 영향을 미치면서 유전체 막에서 더 많은 탄소 함량을 도입하는 것을 가능하게 만드는 독특한 속성을 제공한다. 예를 들어, DEMS는 2개의 알콕시 기를 포함하는 혼합된 리간드 시스템을 가지며, 하나는 규소-메틸(Si-Me)이며, 하나는 규소-하이브리드이며, 이는 반응성 사이트들의 균형을 제공하고, 요망되는 유전 상수를 유지하면서 더욱 기계적으로 튼튼한 막의 형성을 가능하게 한다. 규소 화합물의 사용은 기계적 강도를 낮추는 경향이 있는 전구체에서 규소-메틸 기가 존재하지 않으면서, 실라시클릭 고리에서 탄소가 유전 상수를 낮추고 소수성을 고취시키기 위해 OSG 막에 탄소를 제공한다는 장점을 제공한다.
저-k 유전체 막은 오가노실리카 유리(organosilica glass; "OSG") 막 또는 물질이다. 오가노실리케이트는 저-k 물질을 위한 후보물질이다. 오가노규소 전구체의 타입이 막 구조 및 조성물에 대한 강력한 효과를 갖기 때문에, 요망되는 유전 상수에 도달하기 위한 요구되는 양의 탄소의 첨가가 기계적으로 부적절한 막을 형성하지 않는다는 것을 보장하기 위해 요망되는 막 성질을 제공하는 전구체를 사용하는 것이 유리하다. 본원에 기술된 방법 및 조성물은 전기적 및 기계적 성질의 요망되는 균형뿐만 아니라 개선된 집적 플라즈마 손상 저항을 제공하기 위한 높은 탄소 함량과 같은 다른 유익한 막 성질을 갖는 저-k 유전체 막을 생성시키기 위한 수단을 제공한다.
본원에 기술된 방법 및 조성물의 특정 구체예에서, 규소-함유 유전 물질 층은 반응 챔버를 이용하여, 화학적 증기 증착(CVD) 또는 플라즈마 강화 화학적 증기 증착(PECVD) 공정, 바람직하게, PECVD 공정을 통해 기판의 적어도 일부분 상에 증착된다. 적합한 기판은 반도체 물질, 예를 들어, 갈륨 아르세나이드("GaAs"), 규소, 및 규소를 함유한 조성물, 예를 들어, 결정질 규소, 폴리규소, 비정질 규소, 에피택셜 규소, 이산화규소("SiO2"), 규소 유리, 질화규소, 용융 실리카, 유리, 석영, 보로실리케이트 유리, 및 이들의 조합을 포함하지만, 이로 제한되지 않는다. 다른 적합한 물질은 크롬, 몰리브덴, 및 반도체, 집적 회로, 평판 디스플레이, 플렉서블 디스플레이 분야에서 일반적으로 사용되는 다른 금속들을 포함한다. 기판은 추가적인 층, 예를 들어, 예컨대, 규소, SiO2, 오가노실리케이트 유리(OSG), 불소화된 실리케이트 유리(FSG), 보론 카본니트라이드, 탄화규소, 수소화된 탄화규소, 질화규소, 수소화된 질화규소, 탄질화규소, 수소화된 탄질화규소, 보로니트라이드, 유기-무기 복합 물질, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 물질 및 복합물, 금속 옥사이드, 예를 들어, 알루미늄 옥사이드, 및 게르마늄 옥사이드를 가질 수 있다. 다른 추가 층은 또한, 게르마노실리케이트, 알루미노실리케이트, 구리 및 알루미늄, 및 확산 배리어 물질, 예를 들어, 비제한적으로, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN일 수 있다.
특정 구체예에서, 규소-함유 유전 물질 층은 포로겐 전구체 없이 규소 화합물을 포함하는 적어도 하나의 규소 전구체를 포함하는 가스상 시약을 반응 챔버 내에 도입함으로써 기판의 적어도 일부분 상에 증착된다. 다른 구체예에서, 규소-함유 유전 물질 층은 경화 첨가제와 함께 규소 화합물을 포함하는 적어도 하나의 규소 전구체를 포함하는 가스상 시약을 반응 챔버 내에 도입함으로써 기판의 적어도 일부분 상에 증착된다.
본원에 기술된 방법 및 조성물은 하기 화학식 I을 갖는 규소 화합물을 포함한다:
Figure 112019112898441-pct00006
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되며; R2는 고리에 결합된 임의적인 알킬 치환기와 함께 Si 및 산소 원자와 4원, 5원, 또는 6원 포화된 환형 고리를 형성하는 C2 내지 C4 알킬 디-라디칼이며, R3은 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기, 및 알콕시 OR4로 이루어진 군으로부터 선택되며, 여기서, R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택된다.
상기 화학식에서 및 설명 전반에 걸쳐, 용어 "알킬"은 1 내지 10개의 탄소 원자를 갖는 선형 또는 분지형 작용기를 나타낸다. 예시적인 선형 알킬 기는 메틸, 에틸, n-프로필, 부틸, 펜틸, 및 헥실 기를 포함하지만, 이로 제한되지 않는다. 예시적인 분지형 알킬 기는 이소-프로필, 이소-부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 이소-헥실, 및 네오-헥실을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 알킬 기는 여기에 부착된 하나 이상의 작용기, 예를 들어, 비제한적으로, 여기에 부착된, 알콕시 기, 예를 들어, 메톡시, 에톡시, 이소-프로폭시, 및 n-프로폭시, 디알킬아미노 기, 예를 들어, 디메틸아미노 또는 이들의 조합을 가질 수 있다. 다른 구체예에서, 알킬 기는 여기에 부착된 하나 이상의 작용기를 가지지 않는다. 알킬 기는 포화되거나, 대안적으로 불포화될 수 있다.
상기 화학식 I에서 그리고 설명 전반에 걸쳐, 용어 "환형 알킬"은 3 내지 10개의 탄소 원자를 갖는 환형 작용기를 나타낸다. 예시적인 환형 알킬 기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하지만, 이로 제한되지 않는다.
상기 화학식 I에서 그리고 설명 전반에 걸쳐, 용어 "헤테로-환형"은 에폭시 기와 같은 C3 내지 C10 헤테로-환형 알킬 기를 나타낸다.
상기 화학식 I에서 그리고 설명 전반에 걸쳐, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 가지고 2 내지 10개 또는 2 내지 10개 또는 2 내지 6개의 탄소 원자를 갖는 기를 나타낸다.
상기 화학식 I에서 그리고 설명 전반에 걸쳐, 용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 가지고 3 내지 10개 또는 2 내지 10개 또는 2 내지 6개의 탄소 원자를 갖는 기를 나타낸다.
상기 화학식 I에서 그리고 설명 전반에 걸쳐, 용어 "아릴"은 5 내지 10개의 탄소 원자, 또는 6 내지 10개의 탄소 원자를 갖는 방향족 환형 작용기를 나타낸다. 예시적인 아릴 기는 페닐, 벤질, 클로로벤질, 톨릴, 및 o-자일릴을 포함하지만, 이로 제한되지 않는다.
상기 화학식 I에서 그리고 설명 전반에 걸쳐, 용어 "헤테로-아릴"은 C3 내지 C10 헤테로-환형 아릴 기 1,2,3-트리아졸릴, 피롤릴, 및 푸라닐을 나타낸다.
상기 화학식 I에서, 치환기 R2는 Si 및 산소 원자와 4원, 5원, 또는 6원 환형 고리를 형성하는 C3 내지 C10 알킬 디-라디칼이다. 당업자가 이해하는 바와 같이, R2는 화학식 I에서의 고리(여기서, 고리는 4원, 5원, 또는 6원 고리임)를 함께 형성하기 위해 Si 및 산소 원자와 연결하는 치환되거나 비치환된 탄화수소 사슬이다. 이러한 구체예에서, 고리 구조는 포화된 고리, 예를 들어, 예컨대, 환형 알킬 고리일 수 있다. 예시적인 포화된 고리는 실라사이클로부탄, 실라사이클로펜탄, 및 실라사이클로헥산, 바람직하게, 실라사이클로펜탄 또는 알킬, 예를 들어, 메틸 치환된 실라사이클로펜탄을 포함하지만, 이로 제한되지 않는다.
설명 전반에 걸쳐, 용어 "알콕시"는 적어도 하나의 탄소 원자를 갖는 알코올로부터 유도된 기를 지칭한다. 예시적인 알콕시 기는 메톡시, 에톡시, 이소-프로폭시, 노말-프로폭시를 포함하지만, 이로 제한되지 않는다.
설명 전반에 걸쳐, 용어 "산소 공급원"은 산소(O2), 산소와 헬륨의 혼합물, 산소와 아르곤의 혼합물, 이산화탄소, 일산화탄소 및 이들의 조합을 포함하는 가스를 지칭한다.
설명 전반에 걸쳐, 용어 "유전체 막"은 SivOwCxHyFz(여기서, v+w+x+y+z = 100%, v는 10 내지 35 원자%이며, w는 10 내지 65 원자%이며, x는 5 내지 40 원자%이며, y는 10 내지 50 원자%이며, z는 0 내지 15 원자%임)의 조성을 갖는 규소 및 산소 원자를 포함하는 막을 지칭한다.
화학식 I의 특정 구체예에서, R1은 수소, 메틸, 및 에틸로 이루어진 군으로부터 선택되며; R3은 메틸, 에틸, 이소프로필, n-프로필, 메톡시, 에톡시, 이소-프로폭시, 및 n-프로폭시로 이루어진 군으로부터 선택되며; R2는 Si 및 산소 원자와 4원, 5원, 또는 6원 포화된 환형 고리를 형성한다. 일부 구체예에서, Si 원자를 갖는 4원, 5원, 또는 6원 포화된 환형 고리는 고리 구조 상에 메틸 기와 같은 적어도 하나의 알킬 치환기를 가질 수 있다. 이러한 구체예의 예는 하기와 같다:
Figure 112019112898441-pct00007
Figure 112019112898441-pct00008
Figure 112019112898441-pct00009
화학식 I을 갖는 규소 화합물은 예를 들어, 촉매의 존재 하에서 알콕시실란과 불포화 알코올의 하이드로실릴화, 이후에, 용매와 함께 또는 용매 없이 5원, 또는 6원 포화된 환형 고리를 갖는 1-옥사-2-실라사이클로알칸을 생산하기 위한 환형화에 의해 합성될 수 있다(예를 들어, 70% 이상, 바람직하게, 80% 이상의 수율을 갖는 반응식 (1) 및 (2)). 합성 경로의 예는 하기에 나타낸다:
Figure 112019112898441-pct00010
상기 식에서, R1, R3, 및 R4는 상술된 바와 동일하며; R5 내지 R8은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 바람직하게, 수소 또는 메틸로 이루어진 군으로부터 선택된다.
본원에 기술된 규소 화합물 및 이를 포함하는 방법 및 조성물은 바람직하게, 비제한적으로, 할라이드 이온 및 물과 같은 하나 이상의 불순물이 실질적으로 존재하지 않는다. 각 불순물과 관련하여 본원에서 사용되는 용어 "실질적으로 존재하지 않는"은 100 백만분율(ppm) 이하, 50 ppm 이하, 10 ppm 이하, 5 ppm 이하, 및 1 ppm 이하의 각 불순물, 예를 들어, 비제한적으로, 클로라이드 또는 물을 의미한다.
본 발명에 따른 화학식 I을 갖는 규소 화합물 및 본 발명에 따른 화학식 I을 갖는 규소 전구체 화합물을 포함하는 조성물에는 바람직하게, 할라이드가 실질적으로 존재하지 않는다. 할라이드 이온(또는 할라이드), 예를 들어, 예컨대, 클로라이드(즉, 클로라이드-함유 종, 예를 들어, HCl 또는 적어도 하나의 Si-Cl 결합을 갖는 규소 화합물) 및 플루오라이드, 브로마이드, 및 요오다이드와 관련하여 본원에서 사용되는 용어 "실질적으로 존재하지 않는"은 ICP-MS에 의해 측정한 경우 5 ppm(중량 기준) 미만, 바람직하게, ICP-MS에 의해 측정한 경우 3 ppm 미만, 및 더욱 바람직하게, ICP-MS에 의해 측정한 경우 1 ppm 미만, 및 가장 바람직하게, ICP-MS에 의해 측정한 경우 0 ppm을 의미한다. 클로라이드는 화학식 I을 갖는 규소 화합물을 위한 분해 촉매로서 작용하는 것으로 알려져 있다. 최종 생성물에서 상당한 수준의 클로라이드는 규소 전구체 화합물을 분해시킬 수 있다. 규소 화합물의 점진적인 분해는 막 증착 공정에 직접적으로 영향을 미쳐서 반도체 제조업체가 막 사양을 충족시키기 어렵게 만들 수 있다. 또한, 저장-수명 또는 안정성은 화학식 I을 갖는 규소 화합물의 더 높은 분해 속도에 의해 악영향을 미쳐서, 1 내지 2년의 저장 수명을 보장하기 어렵게 만든다. 이에 따라, 화학식 I을 갖는 규소 화합물의 가속화된 분해는 이러한 가연성 및/또는 발화성 가스상 부산물의 형성과 관련한 안전성 및 성능 문제를 나타낸다. 화학식 I을 갖는 규소 화합물에는 바람직하게, 금속 이온, 예를 들어, Li+, Na+, K+, Mg2+, Ca2+, Al3+, Fe2+, Fe2+, Fe3+, Ni2+, Cr3+가 실질적으로 존재하지 않는다. Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr과 관련하여 본원에서 사용되는 용어 "실질적으로 존재하지 않는"은 ICP-MS에 의해 측정한 경우 5 ppm(중량 기준) 미만, 바람직하게, 3 ppm 미만, 및 더욱 바람직하게, 1 ppm 미만, 및 가장 바람직하게, 0.1 ppm 미만을 의미한다. 일부 구체예에서, 화학식 I 또는 IA를 갖는 규소 화합물에는 금속 이온, 예를 들어, Li+, Na+, K+, Mg2+, Ca2+, Al3+, Fe2+, Fe2+, Fe3+, Ni2+, Cr3+가 존재하지 않는다. 합성에서 사용되는 Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr, 희금속, 예를 들어, 루테늄 또는 백금 촉매로부터의 휘발성 Ru 또는 Pt 착물과 관련하여 본원에서 사용되는 용어 금속 불순물이 "존재하지 않는"은 ICP-MS 또는 금속을 측정하기 위한 다른 분석 방법에 의해 측정한 경우에 1 ppm, 바람직하게, 0.1 ppm(중량 기준) 미만을 의미한다. 화학식 I을 갖는 규소 화합물에는 바람직하게, 또한, 물 또는 오가노실란 불순물, 예를 들어, 출발 물질로부터의 알콕시실란 또는 합성으로부터의 부산물이 실질적으로 존재하지 않으며, 물과 관련하여 본원에서 사용되는 용어 "실질적으로 존재하지 않는"은 100 ppm(중량 기준) 미만, 바람직하게, 50 ppm 미만, 및 더욱 바람직하게, 10 ppm 미만을 의미하며; 가스 크로마토그래피(GC)에 의해 분석된 모든 오가노실란 불순물, 예를 들어, 메틸트리에톡시실란 또는 디메틸디에톡시실란의 합은 1.0 중량%, 미만, 바람직하게, 0.5 중량% 미만, 및 바람직하게, 0.1 중량% 미만이다.
할라이드가 실질적으로 존재하지 않는 본 발명에 따른 조성물은 (1) 화학적 합성 동안 클로라이드 공급원을 감소시키거나 제거함으로써, 및/또는 (2) 정제된 최종 생성물에 클로라이드가 실질적으로 존재하지 않도록 미정제 생성물로부터 클로라이드를 제거하기 위해 효과적인 정제 공정을 구현함으로써 달성될 수 있다. 클로라이드 공급원은 클로로실란, 브로모실란, 또는 요오도실란과 같은 할라이드를 함유하지 않는 시약을 사용함으로써 합성 동안 감소되어, 할라이드 이온을 함유한 부산물의 생산을 방지할 수 있다. 또한, 생성된 미정제 생성물에 클로라이드 불순물이 실질적으로 존재하지 않도록, 상술된 시약에는 클로라이드 불순물이 실질적으로 존재하지 않아야 한다. 유사한 방식에서, 합성은 할라이드 기반 용매, 촉매, 또는 허용되지 않게 높은 수준의 할라이드 오염을 함유하는 용매를 사용하지 않아야 한다. 미정제 생성물은 또한, 클로라이드와 같은 할라이드가 실질적으로 존재하지 않는 최종 생성물을 제공하기 위해 다양한 정제 방법에 의해 처리될 수 있다. 이러한 방법은 종래 기술에서 잘 기술되어 있고, 정제 공정, 예를 들어, 증류, 또는 흡착을 포함할 수 있지만, 이로 제한되지 않는다. 증류는 통상적으로, 비등점의 차이를 이용함으로써 요망되는 생성물로부터 불순물을 분리하기 위해 사용된다. 흡착은 또한, 최종 생성물에 할라이드가 실질적으로 존재하지 않도록 분리를 수행하기 위해 성분들의 차등 흡착 성질을 이용하기 위해 사용될 수 있다. 흡착제, 예를 들어, 예컨대, 상업적으로 입수 가능한 MgO-Al2O3 블렌드는 할라이드, 예를 들어, 클로라이드를 제거하기 위해 사용될 수 있다.
종래 기술의 규소-함유 규소 전구체, 예를 들어, 예컨대, DEMS가 폴리머 골격에서 -O- 연결(예를 들어, -Si-O-Si- 또는 -Si-O-C-)을 갖는 구조를 형성하기 위해 반응 챔버에 에너지 공급 직후에 중합되는 반면, 화학식 I을 갖는 규소 화합물이, 골격에서 일부 -O- 브릿지가 -CH2- 메틸렌 또는 -CH2CH2- 에틸렌 브릿지(들)로 대체된 구조를 형성하기 위해 중합되는 것으로 사료된다. 탄소가 주로 말단 Si-Me 기의 형태로 존재하는 구조 형성 전구체로서 DEMS를 사용하여 증착된 막에서, 네트워크 구조가 파괴되기 때문에 브릿징 Si-O-Si 기의 2개의 말단 Si-Me 기로의 대체가 기계적 성질을 감소시키는 경우에 %Si-Me(직접적으로 %C와 관련이 있음) 대 기계적 강도 사이에 관계가 있다. 규소 화합물의 경우에, SiCH2Si 또는 SiCH2CH2Si 브릿징 기를 형성하기 위해 막 증착 또는 경화 공정(증착시 막에 함유된 포로겐 전구체 중 적어도 일부분 또는 실질적으로 모두를 제거하기 위함) 동안에 환형 구조가 파괴되는 것으로 사료된다. 이러한 방식에서, 기계적 강도 관점으로부터, 네트워크 구조가 막에서 탄소 함량을 증가시킴으로써 파괴되지 않도록, 브릿징 기 형태로 탄소를 도입할 수 있다. 특정 이론에 의해 한정하고자 하는 것은 아니지만, 이러한 속성은 막에 탄소를 첨가하여, 막의 에칭, 포토레지스트의 플라즈마 애싱(plasma ashing), 및 구리 표면의 NH3 플라즈마 처리와 같은 공정으로부터 다공상 OSG 막의 탄소 고갈에 대해 막을 더욱 탄력적이게 할 수 있게 하는 것으로 사료된다. OSG 막에서 탄소 고갈은 막의 결함이 있는 유전 상수의 증가뿐만 아니라 습식 세척 단계 동안 막 에칭 및 피쳐 보잉을 갖는 문제점, 및/또는 구리 확산 배리어를 증착할 때 통합 문제를 야기시킬 수 있다.
본원에 포함되는 방법 및 조성물의 특정 구체예에서, 구조 형성 전구체는 기계적 강도를 증가시키는 경화 첨가제를 추가로 포함한다. 경화 첨가제의 예는 테트라알콕시실란(Si(OR9)(상기 식에서, R9는 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택됨), 예를 들어, 예컨대, 테트라에톡시실란(TEOS) 또는 테트라메톡시실란(TMOS)을 포함한다. 경화 첨가제가 사용되는 구체예에서, 구조 형성 부분의 조성물은 약 30 내지 약 95 중량%의 화학식 I을 갖는 알키-알콕시실라시클릭 화합물(들)을 포함하는 구조 형성 전구체; 약 5 내지 약 70 중량%의 경화 첨가제; 및 약 40 내지 약 95 중량%의 알파 테르피넨 또는 사이클로옥탄과 같은 포로겐 전구체의 전체 전구체 흐름을 포함한다.
어구 "가스상 시약"이 본원에서 때때로 시약을 설명하기 위해 사용되지만, 상기 어구는 반응기에 가스로서 직접 전달되고/거나, 증기화된 액체, 승화된 고체로서 전달되고/거나, 불활성 캐리어 가스(inert carrier gas)에 의해 반응기 내로 운반되는 시약을 포함하도록 의도된다.
또한, 시약은 별개의 공급원과 별도로 또는 혼합물로서 반응기로 운반될 수 있다. 시약은 임의의 수의 수단에 의해, 바람직하게 액체를 공정 반응기로 전달할 수 있게 하는 적절한 밸브 및 피팅이 장착된 가압 가능한 스테인레스 스틸 용기를 사용하여 반응기 시스템으로 전달될 수 있다.
구조 형성 종(즉, 화학식(I)의 화합물)에 추가하여, 증착 반응 전, 동안 및/또는 후에 추가 물질이 반응 챔버에 도입될 수 있다. 이러한 물질은, 예를 들어, 불활성 가스(예를 들어, He, Ar, N2, Kr, Xe 등을 포함하며, 이는 덜 휘발성인 전구체의 캐리어 가스로서 사용될 수 있고/거나 증착된 그대로의 물질의 경화를 촉진하고 보다 안정한 최종 막을 제공할 있음) 및 반응성 물질, 예컨대 산소-함유 종, 예를 들어, 예컨대, O2, O3 및 N2O, 가스상 또는 액체 유기 물질, NH3, H2, CO2 또는 CO를 포함한다. 일 특정 구체예에서, 반응 챔버에 도입된 반응 혼합물은 O2, N2O, NO, NO2, CO2, 물, H2O2, 오존 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나의 산화제를 포함한다. 대안적인 구체예에서, 반응 혼합물은 산화제를 포함하지 않는다.
에너지가 가스상 시약에 인가되어 가스가 반응하여 기판에 막을 형성하도록 한다. 이러한 에너지는 예를 들어 플라즈마, 펄스 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, 원격 플라즈마, 고온 필라멘트 및 열(즉, 비 필라멘트) 및 방법에 의해 제공될 수 있다. 2차 rf 주파수원은 기판 표면에서의 플라즈마 특징을 개질시키는데 사용될 수 있다. 바람직하게, 막은 플라즈마 강화 화학적 증기 증착("PECVD")에 의해 형성된다.
각각의 가스상 시약에 대한 유량은 바람직하게 단일 200 mm 웨이퍼 당 10 내지 5000 sccm, 더욱 바람직하게 30 내지 1000 sccm의 범위이다. 개별 유량은 막에 요망하는 양의 규소, 탄소 및 산소를 제공하도록 선택된다. 필요한 실제 유량은 웨이퍼 크기 및 챔버 구성에 의존할 수 있으며, 200 mm 웨이퍼 또는 단일 웨이퍼 챔버로 제한되지 않는다.
일부 구체예에서, 막은 분당 약 50 나노미터(nm)의 증착 속도로 증착된다.
증착 동안 반응 챔버내 압력은 약 0.01 내지 약 600 torr 또는 약 1 내지 15 torr 범위이다.
막은 바람직하게 0.002 내지 10 마이크론의 두께로 증착되지만, 두께는 필요에 따라 달라질 수 있다. 패턴화되지 않은 표면에 증착된 블랭킷 필름은 우수한 균일성을 가지며, 적정한 에지 배제를 갖는 기판에 대한 1 표준 편차에 비해 2% 미만의 두께 변동을 가지며, 이때 예를 들어 기판의 최외곽 에지 5 mm는 균일성의 통계 계산에 포함되지 않는다.
본 발명의 바람직한 구체예는 당업계에 공지된 다른 구조 형성 전구체를 사용하여 증착된 다른 다공성의 저 k 유전체 막에 비해 낮은 유전율 및 개선된 기계적 성질, 열 안정성 및 내화학성(산소, 수성 산화 환경 등에 대한)을 갖는 박막 물질을 제공한다. 화학식(I)을 갖는 알킬-알콕시실라사이클릭 화합물(들)을 포함하는 본원에 기술된 구조 형성 전구체는 막(바람직하게 주로 유기 탄소의 형태, -CHx, 여기서 x는 1 내지 3 임)으로 탄소의 보다 높은 도입을 제공함으로써, 특정 전구체 또는 네트워크 형성 화학 물질이 사용되어 막을 증착한다. 특정 구체예에서, 막 내 수소의 대부분이 탄소에 결합된다.
본원에 기술된 조성물 및 방법에 따라 증착된 저-k 유전체 막은 (a) 약 10 내지 약 35 원자%, 더욱 바람직하게, 약 20 내지 약 30 원자%의 규소; (b) 약 10 내지 약 65 원자%, 더욱 바람직하게, 약 20 내지 약 45 원자%의 산소; (c) 약 10 내지 약 50 원자%, 더욱 바람직하게, 약 15 내지 약 40 원자%의 수소; (d) 약 5 내지 약 40 원자%, 더욱 바람직하게, 약 10 내지 약 45 원자%의 탄소를 포함한다. 또한, 막은 하나 이상의 물질 성질을 향상시키기 위해 약 0.1 내지 약 15 원자%, 더욱 바람직하게, 약 0.5 내지 약 7.0 원자%의 불소를 함유한다. 또한, 보다 적은 부분의 다른 원소가 본 발명의 특정 막에 존재할 수 있다. 유전 상수가 업계에서 전통적으로 사용되는 표준 물질인 실리카 유리보다 낮기 때문에 OSG 물질은 저-k 물질인 것으로 간주된다.
막의 총 공극율은 공정 조건 및 요망하는 최종 막 성질에 따라 0 내지 15% 이상일 수 있다. 본 발명의 막은 바람직하게 2.3 g/ml 미만, 또는 대안적으로 2.0 g/ml 미만 또는 1.8 g/ml 미만의 밀도를 갖는다. OSG 막의 총 공극율은 열 또는 UV 경화 플라즈마원(plasma source)에 대한 노출을 포함하는 증착 후 처리에 의해 영향을 받을 수 있다. 본 발명의 바람직한 구체예는 막 증착 동안 포로겐의 첨가를 포함하지 않지만, UV 경화와 같은 증착 후 처리에 의해 공극율이 유도될 수 있다. 예를 들어, UV 처리는 공극율이 약 15 내지 약 20%, 바람직하게 약 5 내지 약 10%에 이르게 할 수 있다.
본 발명의 막은 또한 무기 불소 형태(예를 들어, Si-F)의 형태로 불소를 함유할 수 있다. 존재하는 경우, 불소는 바람직하게 약 0.5 내지 약 7 원자% 범위의 양으로 함유된다.
본 발명의 막은 우수한 내화학성을 가지면서 열적으로 안정하다. 특히, 어닐링 후 바람직한 막은 N2 하에서 425℃에서 1.0 중량%/시간 미만의 평균 중량 손실을 갖는다. 또한, 막은 바람직하게 공기 하에 425℃ 등온에서 1.0 중량%/시간 미만의 평균 중량 손실을 갖는다.
막은 다양한 용도에 적합하다. 막은 반도체 기판 상에 증착하기에 특히 적합하고, 예를 들어 절연층, 층간 절연층 및/또는 금속간 절연층으로서 사용하기에 특히 적합하다. 막은 컨포멀 코팅(conformal coating)을 형성할 수 있다. 이들 막에 의해 나타나는 기계적 성질은 Al 감산 기술(Al subtractive technology) 및 Cu 다마신(Cu damascene) 또는 이중 다마신 기술(dual damascene technology)에 사용하기에 특히 적합하다.
막은 화학적 기계적 평탄화(chemical mechanical planarization(CMP)) 및 이방성 에칭에 상용성이며, 다양한 물질, 예컨대 규소, SiO2, Si3N4, OSG, FSG, 규소, 수소화 탄화규소, 질화규소, 수소화 질화규소, 탄화규소, 규소 탄화질화물, 수소화규소 탄화질화물, 보로니트라이드, 반사 방지 코팅, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예컨대 구리 및 알루미늄, 확산 방지층, 예컨대 비제한적으로 TiN, Ti(C)N TaN, Ta, W, WN 또는 W(C)N에 접착할 수 있다. 막은 바람직하게 ASTM D3359-95a 테이프 당김 테스트(pull test)와 같은 종래의 당김 테스트를 통과하기에 충분히 상술된 물질 중 적어도 하나에 접착할 수 있다. 막의 식별가능한 제거가 없을 경우, 샘플이 테스트를 통과한 것으로 간주된다.
따라서, 특정 구체예에서, 막은 집적 회로에서 절연층, 층간 유전체층, 금속 간 유전체층, 캡핑층, 화학적 기계적 평탄화(CMP) 또는 에칭 정지층, 배리어층 또는 접착층이다.
본원에 기술된 막은 균일하게 증착된 유전체 막이지만, 완전 통합 구조에 사용되는 막은 실제로는 예를 들어 포로겐이 거의 또는 전혀 함유되지 않은 하단 또는 상단에 얇은 층을 갖는 여러 개의 샌드위치 층으로 구성될 수 있거나, 층이 포로겐 전구체 유량비가 보다 낮은 조건 하에서 증착될 수 있거나, 대안적으로 예를 들어, 층이 모든 포로겐 전구체가 UV 처리에 의해 제거될 수 있는 것은 아니도록 보다 높은 플라즈마 전력으로 증착될 수 있다. 이들 샌드위치 층은 예를 들어 접착성, 에칭 선택성 또는 일렉트로마이그레이션(electromigration) 성능과 같은 이차 통합 특성을 향상시키기 위해 사용될 수 있다.
본 발명이 막을 제공하는데 특히 적합하고 본 발명의 생성물이 본원에서 막으로서 주로 설명되지만, 본 발명은 이에 제한되지 않는다. 본 발명의 생성물은 CVD에 의해 증착될 수 있는 임의의 형태, 예컨대 코팅, 다중층 어셈블리, 및 반드시 평면형이거나 얇은 것이 아닌 다른 유형의 대상물, 및 집적 회로에 반드시 사용되는 것은 아닌 다수의 대상물에 제공될 수 있다. 바람직하게, 기판은 반도체이다.
본 발명의 OSG 생성물 이외에, 본 개시내용은 생성물을 제조하는 공정, 생성물을 사용하는 방법 및 생성물을 제조하는데 유용한 화합물 및 조성물을 포함한다. 예를 들어, 반도체 소자 상에 집적 회로를 제조하는 공정은 미국 특허 제6,583,049호에 개시되어 있으며, 이는 본원에 참조로 포함된다.
본 발명의 조성물은 예를 들어 적절한 밸브 및 피팅이 장착된 적어도 하나의 가압 가능한 용기(바람직하게 스테인레스 스틸의)를 추가로 포함하여 경화 첨가제 및 DESCAP와 같은 화학식(I)을 갖는 규소 전구체를 공정 반응기에 전달할 수 있다. 용기(들)의 내용물은 사전 혼합될 수 있다. 대안적으로, 예를 들어 경화 첨가제 및 전구체는 저장 동안 경화 첨가제 및 전구체를 개별적으로 유지하기 위한 분리 수단을 갖는 단일 용기에서 또는 별도의 용기에서 유지될 수 있다. 이러한 용기는 또한 요망되는 경우 포로겐 및 전구체를 혼합하기 위한 수단을 가질 수 있다.
예비(또는 증착된 그대로) 막은 경화 단계, 즉, 열적 어닐링, 화학적 처리, 인-시튜(in-situ) 또는 원격 플라즈마 처리, 광경화(예를 들어, UV) 및/마이크로파 처리를 포함할 수 있는 막에 추가적인 에너지원을 적용하는 것에 의해 추가로 처리될 수 있다. 경도, 안정성(수축, 공기 노출, 에칭, 습식 에칭 등에 대한), 무결성, 균일성 및 접착성과 같은 물질 성질을 향상시키기 위해 다른 인-시튜 또는 증착 후 처리가 사용될 수 있다. 따라서, 본원에 사용되는 용어 "후처리"는 포로겐을 제거하고, 임의로 물질 성질을 향상시키기 위해 에너지(예를 들어, 열, 플라즈마, 광자, 전자, 마이크로파 등) 또는 화학 물질로 막을 처리하는 것을 의미한다.
후처리가 수행되는 조건은 크게 달라질 수 있다. 예를 들어, 후처리는 고압 하에서 또는 진공 환경 하에서 수행될 수 있다.
UV 어닐링이 경화의 바람직한 방법이며, 전형적으로 하기 조건 하에서 수행된다.
환경은 불활성(예를 들어, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 공기, 희석 산소 환경, 부화 산소 환경, 오존, 아산화질소 등) 또는 환원성(희석 또는 농축 수소, 탄화수소(포화, 불포화, 선형 또는 분지형의 방향족), 등)일 수 있다. 압력은 바람직하게, 약 1 Torr 내지 약 1000 Torr, 더욱 바람직하게, 대기압이다. 그러나, 진공 환경이 또한 임의의 다른 후처리 수단 뿐만 아니라 열적 어닐링에 대해 가능하다. 온도는 바람직하게, 200 내지 500℃이고, 온도 상승 속도는 0.1 내지 100℃/분이다. 총 UV 어닐링 시간은 바람직하게, 0.01분 내지 12시간이다.
OSG 막의 화학적 처리는 하기 조건 하에서 수행된다.
불화(HF, SIF4, NF3, F2, COF2, CO2F2 등), 산화(H2O2, O3 등), 화학적 건조, 메틸화 또는 최종 물질의 성질을 향상시키는 다른 화학적 처리의 사용. 이러한 처리에 사용되는 화학 물질은 고체, 액체, 가스상 및/또는 초임계 유체 상태일 수 있다.
오가노실리케이트 막으로부터 포로겐을 선택적으로 제거하기 위한 초임계 유체 후처리는 하기 조건에서 수행된다.
유체는 이산화탄소, 물, 아산화질소, 에틸렌, SF6, 및/또는 다른 유형의 화학 물질일 수 있다. 다른 화학 물질은 공정을 향상시키기 위해 초임계 유체에 첨가될 수 있다. 화학 물질은 불활성(예를 들어, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 오존, 아산화질소 등) 또는 환원성(예를 들어, 희석 또는 농축 탄화수소, 수소를 포함하는 플라즈마 등)일 수 있다. 온도는 바람직하게, 주변 온도 내지 500℃이다. 또한, 화학물질은 계면활성제와 같은 보다 큰 화학 종을 포함할 수 있다. 총 노출 시간은 바람직하게, 0.01 분 내지 12시간이다.
불안정한 기의 선택적 제거 및 OSG 막의 가능한 화학적 개질을 위한 플라즈마 처리는 하기 조건에서 수행된다.
환경은 불활성(예를 들어, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 공기, 희석 산소 환경, 부화 산소 환경, 오존, 아산화질소 등) 또는 환원성(예를 들어, 희석 또는 농축 수소, 탄화수소(포화, 불포화, 선형 또는 분지형의 방향족), 등)일 수 있다. 플라즈마 전력은 바람직하게, 0 내지 5000 W이다. 온도는 바람직하게, 대략 주변 온도 내지 약 500℃이다. 압력은 바람직하게, 10 mtorr 내지 대기압이다. 총 경화 시간은 바람직하게, 0.01분 내지 12시간이다.
오가노실리케이트 막을 화학 가교시키기 위한 UV 경화는 전형적으로 하기 조건 하에서 수행된다.
환경은 불활성(예를 들어, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 공기, 희석 산소 환경, 부화 산소 환경, 오존, 아산화질소 등) 또는 환원성(예를 들어, 희석 또는 농축 탄화수소, 수소 등)일 수 있다. 온도는 바람직하게, 대략 주변 온도 내지 약 500℃이다. 전력은 바람직하게 0 내지 약 5000 W이다. 파장은 바람직하게 IR, 가시, UV 또는 원 UV(파장 < 200 nm)이다. 총 UV 경화 시간은 바람직하게, 0.01분 내지 12시간이다.
오가노실리케이트 막의 마이크로파 후처리는 전형적으로 하기 조건 하에서 수행된다.
환경은 불활성(예를 들어, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 공기, 희석 산소 환경, 부화 산소 환경, 오존, 아산화질소 등) 또는 환원성(예를 들어, 희석 또는 농축 탄화수소, 수소 등)일 수 있다. 온도는 바람직하게, 대략 주변 온도 내지 약 500℃이다. 전력 및 파장은 달라지며, 특정 결합에 대해 조정가능하다. 총 경화 시간은 바람직하게, 0.01분 내지 12시간이다.
오가노실리케이트 막으로부터 포로겐 또는 특정 화학 종을 선택적으로 제거하고/거나 막 성질을 개선시키기 위한 전자빔 후처리는 전형적으로 하기 조건 하에서 수행된다.
환경은 진공, 불활성(예를 들어, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 공기, 희석 산소 환경, 부화 산소 환경, 오존, 아산화질소 등) 또는 환원성(예를 들어, 희석 또는 농축 탄화수소, 수소 등)일 수 있다. 온도는 바람직하게, 주변 온도 내지 500℃이다. 전자 밀도 및 에너지는 달라지며, 특정 결합에 대해 조정가능하다. 총 경화 시간은 바람직하게, 0.001분 내지 12시간이고, 연속적이거나 펄스될 수 있다. 전자빔의 일반적인 사용에 관한 추가의 지침은 문헌(S. Chattopadhyay et al., Journal of Materials Science, 36 (2001) 4323-4330; G. Kloster et al., Proceedings of IITC, June 3-5, 2002, SF, CA; 및 미국특허 제6,207,555 B1호, 제6,204,201 B1호 및 제6,132,814 A1호)과 같은 간행물에서 입수 가능하다. 전자빔 처리의 사용은 매트릭스에서의 결합 형성 공정을 통해 포로겐 제거 및 막의 기계적 성질의 향상을 제공할 수 있다.
본 발명은 하기 실시예를 참조하여 보다 상세하게 설명될 것이지만, 본 발명은 이로 제한되지 않는 것으로 이해되어야 한다.
실시예 1
식(1)에서 R1 = Me, R3 = OEt, R4 = Et, R5 = R6 = Me인, 2,5,5-트리메틸-2-에톡시-1-옥사-2-실라사이클로펜탄의 합성
내부 열전쌍 및 환류 응축기가 구비된 3구 둥근 바닥 플라스크에서 50℃로 가열된 741.0 g(8.6 mol) 2-메틸-3-부텐-2-올 중의 1.50 mL의 Karstedt 촉매(자일렌 중의 2% Pt)에 1155.0 g(8.6 mol) 디에톡시메틸실란을 부가 깔때기를 통해 적가하였다. 발열이 있었고 혼합물의 온도가 85℃로 점차 상승하였고, 이때 가열은 중단되었다. DEMS의 첨가가 수행되는 동안 온도는 75 내지 85℃로 유지되었다. 첨가가 완료되면, 반응물을 실온으로 다시 냉각시키고 밤새 교반되도록 두었다. 주변 온도에서 증류하고 153℃의 증기 온도까지 가열하여 에탄올 부산물을 제거하였다. 생성물을 93 내지 94℃에서 105 내지 108 Torr 압력 하에 97% 순도로 1235 g의 양으로 진공 증류시켰다. 수율은 82%였다.
실시예 2
식(1)에서 R1 = R3 = Me, R4 = Et, R5 = R6 = Me인, 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄의 합성
내부 열전쌍 및 환류 응축기가 구비된 3구 둥근 바닥 플라스크에서 50℃로 가열된 1731.0 g(20.1 mol) 2-메틸-3-부텐-2-올 중의 2.00mL의 Karstedt 촉매(자일렌 중의 2% Pt)에 2095.0 g(20.1 mol) 디메틸에톡시실란을 부가 깔때기를 통해 적가하였다. 점차 발열이 있었고 반응물의 온도가 87℃에 이르렀고, 이후, 온도가 점차 60℃로 감소하였다. DMES의 첨가를 증가시키자 온도가 점차 상승하기 시작하였고, 이후 급격한 발열이 있었고, 반응 혼합물을 95℃에서 환류시켰다. 두 번째 온도 스파이크는 첫 번째보다 더욱 강력하였다. 첨가가 완료된 후, 반응물을 실온으로 냉각시키고 밤새 교반하였다. 샘플을 GC 수행하였고 3:1의 생성물 대 디에톡시디메틸실란 비를 나타냈다. 에탄올 및 잔류 2-메틸-3-부텐-2-올 출발 물질을 제거하기 위해 주위 압력에서 증류를 수행하였다. 증기 온도가 107℃에 도달하면 제거를 중단하였다. 97% 순도로 주위 압력 하에 566g의 양으로 생성물을 증류시켰다. 수율은 20%였다.
실시예 3
식(1)에서 R1 = Me, R3 = 이소-프로필, R4 = Et, R5 = R6 = Me인, 2,5,5-트리메틸-2-이소프로필-1-옥사-2-실라사이클로펜탄의 합성
헥산과 THF의 350 mL 혼합물 중에 24.6 g(186.0 mmol) 이소프로필에톡시메틸실란을 함유하는 일목 둥근 바닥 플라스크에 16.0 g(186.0 mmol)의 2-메틸-3-부텐-2-올을 첨가하고, 이어서 0.03 mL의 Karstedt 촉매(자일렌 중의 2% Pt)를 첨가하였다. 반응물을 밤새 교반하였다. GC-MS는 m/z 172에서 요망하는 생성물의 증거를 나타냈다.
실시예 4 (막 실시예)
유전체 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄을 사용하는 규소-함유 유전체 막의 PECVD
두 개의 웨이퍼 상에 막을 동시에 증착시키는 Applied Materials Producer SE 시스템을 사용하는 플라즈마 강화 CVD(PECVD) 공정을 통해 300 mm 웨이퍼 가공을 위한 예시적인 막을 형성하였다. 따라서, 전구체 및 가스 유량은 두 개의 웨이퍼 상에 동시에 막을 증착시키는데 요구되는 유량에 상응하였다. 각 웨이퍼 가공 스테이션에는 자체 독립적인 RF 전원 공급 장치를 가지므로 웨이퍼 당 명시된 RF 전력은 정확하다. 상이한 공정 조건 하에서 2개의 상이한 화학 전구체로부터 막이 증착되었다. PECVD 공정은 일반적으로 하기 기본 단계를 포함하였다: 가스 유량의 초기 설정 및 안정화, 규소 웨이퍼 기판 상으로의 막 증착, 및 기판 제거 전 챔버의 퍼지/배기. 실험을 p형 Si 웨이퍼(비저항(resistivity) 범위 = 8 내지 12 Ohm-cm)에서 수행하였다.
두께 및 굴절률은 SCI FilmTek 2000 반사계로 측정하였다. 중간-비저항 p형 웨이퍼(8 내지 12 ohm-cm 범위)에서 Hg 프로브 기술을 사용하여 유전 상수를 측정하였다. 나노인덴테이션(nanoindentation) 기술을 사용하여 기계적 성질(탄성률 및 경도, GPa)을 측정하고, X-선 광전자 분광법(원자 %)에 의해 탄소 함량을 측정하고, SiOx 네트워크 내 종의 조성을 적외선 분광법으로 측정하였다. 후자는 Si(CH3)1에 기인한 규소 메틸 밀도 및 디실릴메틸렌 브릿지 밀도(SiCH 2Si/SiO x *1E4)를 포함하였다.
실시예 5 (막 실시예)
하기 조건 하에 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄 전구체를 사용하여 저 유전 상수 막을 증착시켰다: 전체 전구체 유량은 2000 mg/분이고; 산소 유량은 15 sccm이고; 증착 온도는 390℃에서 유지되었고; RF 전력은 230 내지 500 W로 다양하고; 압력은 7.5 torr로 유지되었고; 전극 간격은 380 mil로 유지되었고, 전구체를 공정 챔버로 전달하는데 사용된 He 캐리어 유량은 1500 sccm이었다. 하기 표 1은 3개의 상이한 RF 전력에서 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄 전구체로부터 얻은 막 성질을 나타낸다. 증착된 막은 FTIR 스펙트럼에서 통합 Si-CH2-Si 밴드 대 통합 Si-O 밴드의 비로부터 얻어진 Si-CH2-Si/SiOx 비의 증가에 의해 나타난 바와 같이, 보다 높은 기계적 강도, 보다 높은 유전 상수 및 보다 높은 네트워크 탄소를 나타냈다. 에칭, 애싱, 평탄화 및 금속화와 같은 후속 통합 단계 동안 발생하는 막 손상 깊이를 감소시키기 때문에 Si-CH2-Si와 같은 보다 높은 네트워크 탄소 밀도를 포함시키는 것이 바람직하다.
표 1: 3개의 RF 전력에서 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄 전구체로부터 얻어진 막 성질:
Figure 112019112898441-pct00011
실시예 6 (막 실시예)
2,5,5-트리메틸-2-에톡시-1-옥사-2-실라사이클로펜탄을 사용하는 규소-함유 유전체 막의 PECVD
하기 조건 하에 2,5,5-트리메틸-2-에톡시-1-옥사-2-실라사이클로펜탄 전구체를 사용하여 저 유전 상수 막을 증착시켰다: 전체 전구체 유량은 2000 내지 2500 mg/분이고; 산소 유량은 25 내지 50 sccm이고; 증착 온도는 390℃에서 유지되었고; RF 전력은 315 내지 515 W로 다양하고; 압력은 7.5 torr로 유지되었고; 전극 간격은 380 mil로 유지되었고, 전구체를 공정 챔버로 전달하는데 사용된 He 캐리어 유량은 1500 sccm이었다. 하기 표 2은 3개의 상이한 RF 전력에서 2,5,5-트리메틸-2-에톡시-1-옥사-2-실라사이클로펜탄 전구체로부터 얻은 막 성질을 나타낸다. 증착된 막은 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄에 비해 유사한 기계적 강도 및 유전 상수를 나타내었지만, FTIR 스펙트럼에서 통합 Si-CH2-Si 밴드 대 통합 Si-O 밴드의 비로부터 얻어진, Si-CH2-Si/SiOx 비의 감소로 나타난 바와 같이, 보다 낮은 네트워크 탄소를 나타냈다. 메틸 기의 에톡 기로의 치환이 막에 포함될 수 있는 네트워크 탄소의 양을 감소시켰다.
표 2: 3개의 RF 전력에서 2,5,5-트리메틸-2-에톡시-1-옥사-2-실라사이클로펜탄 전구체로부터 얻어진 막 성질:
Figure 112019112898441-pct00012
어떠한 특정 구체예 및 실시예를 참조하여 상기에서 예시되고 기술되었지만, 본 발명은 그럼에도 불구하고 제시된 세부 사항들로 제한되지 않는 것으로 의도된다. 오히려, 청구 범위의 범위 및 청구 범위의 등가물의 범위 내에서, 그리고 본 발명의 사상을 벗어나지 않고 상세하게 다양한 변형이 이루어질 수 있다. 예를 들어, 본 문서에서 광범위하게 인용된 모든 범위는 보다 넓은 범위 내에 속하는 모든 보다 좁은 범위를 그들의 범위 내에 포함시키는 것이 명시적으로 의도된다.

Claims (25)

  1. 유전체 막(dielectric film)을 제조하기 위한 화학적 증기 증착 방법으로서,
    가스상 시약을 안에 기판이 제공된 반응 챔버 내에 도입하는 단계로서, 상기 가스상 시약은 하기 화학식 I을 갖는 규소 화합물을 포함하는 규소 전구체를 포함하는 단계; 및
    상기 기판 상에 막을 증착시키기 위한 상기 가스상 시약의 반응을 유도하기 위해 상기 반응 챔버에서 상기 가스상 시약에 에너지를 인가하는 단계를 포함하는 방법:
    Figure 112021102349837-pct00013

    상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되며; R2는 C1 내지 C6 알킬 치환기를 갖는, Si 및 산소 원자와 4원, 5원, 또는 6원 포화된 환형 고리를 형성하는 C2 내지 C4 알킬 디-라디칼이며, R3은 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기, 및 알콕시 OR4로 이루어진 군으로부터 선택되며, 여기서, R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택된다.
  2. 제1항에 있어서, 규소 전구체가 경화 첨가제를 추가로 포함하는 방법.
  3. 제1항에 있어서, 규소 화합물이 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-에톡시-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-메톡시-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-이소-프로폭시-1-옥사-2-실라사이클로펜탄, 2,2,6,6-테트라메틸-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-에톡시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-메톡시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-n-프로폭시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-이소-프로폭시-1-옥사-2-실라사이클로헥산, 2,5,5-트리메틸-2-이소-프로필-1-옥사-2-실라사이클로펜탄, 2,6,6-트리메틸-2-이소-프로필-1-옥사-2-실라사이클로헥산, 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 방법.
  4. 제2항에 있어서, 경화 첨가제가 테트라에톡시실란을 포함하는 방법.
  5. 제2항에 있어서, 경화 첨가제가 테트라메톡시실란을 포함하는 방법.
  6. 제1항에 있어서, 플라즈마 강화 화학적 증기 증착 방법인 방법.
  7. 제1항에 있어서, 가스상 시약이 O2, N2O, NO, NO2, CO2, CO, 물, H2O2, 오존, 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나의 산소 공급원을 추가로 포함하는 방법.
  8. 제1항에 있어서, 인가하는 단계에서 반응 챔버가 He, Ar, N2, Kr, Xe, NH3, H2, CO2, 및 CO로 이루어진 군으로부터 선택된 적어도 하나의 가스를 포함하는 방법.
  9. 제1항에 있어서, 막에 추가적인 에너지를 인가하는 단계를 추가로 포함하는 방법.
  10. 제9항에 있어서, 추가적인 에너지가 열 처리, 자외선(UV) 처리, 전자빔 처리, 및 감마 방사선 처리로 이루어진 군으로부터 선택된 적어도 하나인 방법.
  11. 제10항에 있어서, UV 처리가 열 처리의 적어도 일부분 동안 일어나는 방법.
  12. 제1항에 있어서, 가스상 시약이 포로겐 전구체를 추가로 포함하며;
    막을 증착시키기 위해 상기 가스상 시약에 에너지를 인가하는 단계가 기판 상에 희생 포로겐을 동시-증착시키는 것을 포함하는 방법.
  13. 유전체 막을 제조하기 위한 증착 공정에서 사용하기 위한 조성물로서, 상기 조성물은 하기 화학식 I을 갖는 규소 화합물을 포함하는 조성물:
    Figure 112021102349837-pct00014

    상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되며; R2는 C1 내지 C6 알킬 치환기를 갖는, Si 및 산소 원자와 4원, 5원, 또는 6원 포화된 환형 고리를 형성하는 C2 내지 C4 알킬 디-라디칼이며, R3은 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기, 및 알콕시 OR4로 이루어진 군으로부터 선택되며, 여기서, R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택된다.
  14. 제13항에 있어서, 규소 화합물이 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-에톡시-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-메톡시-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-이소-프로폭시-1-옥사-2-실라사이클로펜탄, 2,2,6,6-테트라메틸-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-에톡시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-메톡시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-n-프로폭시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-이소-프로폭시-1-옥사-2-실라사이클로헥산, 2,5,5-트리메틸-2-이소-프로필-1-옥사-2-실라사이클로펜탄, 2,6,6-트리메틸-2-이소-프로필-1-옥사-2-실라사이클로헥산, 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나인 조성물.
  15. 하기 화학식 I로 표현되는 규소 화합물을 제조하는 방법으로서,
    하기 반응식 (1) 또는 (2)에 따라 70% 이상의 수율로, 촉매의 존재 하에서 알콕시실란과 불포화 알코올의 하이드로실릴화, 이후, 용매와 함께 또는 용매 없이 환형화를 수행하는 단계를 포함하는 방법:
    Figure 112021102349837-pct00015

    Figure 112021102349837-pct00016

    상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되며; R3은 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기, 및 알콕시 OR4로 이루어진 군으로부터 선택되며, 여기서, R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R5 내지 R8은 독립적으로, 수소, 선형 또는 분지형 C1 내지 C10 알킬 기로 이루어진 군으로부터 선택되며 R5 내지 R8 중 하나 이상은 선형 또는 분지형 C1 내지 C10 알킬 기이다.
  16. 제15항에 있어서, 화학식 I로 표현되는 화합물이 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-에톡시-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-메톡시-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-이소-프로폭시-1-옥사-2-실라사이클로펜탄, 2,2,6,6-테트라메틸-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-에톡시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-메톡시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-n-프로폭시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-이소-프로폭시-1-옥사-2-실라사이클로헥산, 2,5,5-트리메틸-2-이소-프로필-1-옥사-2-실라사이클로펜탄, 2,6,6-트리메틸-2-이소-프로필-1-옥사-2-실라사이클로헥산, 및 이들의 조합으로 이루어진 군으로부터 선택된 방법.
  17. 하기 화학식 I을 갖는 규소 화합물을 포함하는 유전체 막의 증기 증착을 위한 조성물로서, 상기 화합물은 100 ppm 이하의 양의 할라이드, 1.0 중량% 이하의 양의 오가노실란 불순물, 및 100 ppm 이하의 양의 물로 이루어진 군으로부터 선택된 적어도 하나의 불순물을 포함하는 조성물:
    Figure 112021102349837-pct00017

    상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되며; R2는 C1 내지 C6 알킬 치환기를 갖는, Si 및 산소 원자와 4원, 5원, 또는 6원 포화된 환형 고리를 형성하는 C2 내지 C4 알킬 디-라디칼이며, R3은 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 환형 알킬 기, C3 내지 C10 헤테로-환형 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기, 및 알콕시 OR4로 이루어진 군으로부터 선택되며, 여기서, R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택된다.
  18. 제17항에 있어서, 규소 화합물이 2,2,5,5-테트라메틸-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-에톡시-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-메톡시-1-옥사-2-실라사이클로펜탄, 2,5,5-트리메틸-2-이소-프로폭시-1-옥사-2-실라사이클로펜탄, 2,2,6,6-테트라메틸-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-에톡시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-메톡시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-n-프로폭시-1-옥사-2-실라사이클로헥산, 2,6,6-트리메틸-2-이소-프로폭시-1-옥사-2-실라사이클로헥산, 2,5,5-트리메틸-2-이소-프로필-1-옥사-2-실라사이클로펜탄, 2,6,6-트리메틸-2-이소-프로필-1-옥사-2-실라사이클로헥산, 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나인 조성물.
  19. 제17항에 있어서, 할라이드가 클로라이드 이온을 포함하는 조성물.
  20. 제19항에 있어서, 클로라이드 이온이, 존재하는 경우에, 50 ppm 이하의 농도로 존재하는 조성물.
  21. 제19항에 있어서, 클로라이드 이온이, 존재하는 경우에, 10 ppm 이하의 농도로 존재하는 조성물.
  22. 제19항에 있어서, 클로라이드 이온이, 존재하는 경우에, 5 ppm 이하의 농도로 존재하는 조성물.
  23. 제19항에 있어서, 조성물이 0 ppm의 클로라이드 이온을 갖는 조성물.
  24. 제17항에 있어서, GC를 기초로 한 모든 오가노실란 불순물의 합이 1.0 중량% 이하인 조성물.
  25. 제17항에 있어서, GC를 기초로 한 모든 오가노실란 불순물의 합이 0.5 중량% 이하인 조성물.
KR1020197032662A 2018-08-10 2019-08-09 규소 화합물 및 이를 사용하여 막을 증착시키는 방법 KR102373339B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227007441A KR102409869B1 (ko) 2018-08-10 2019-08-09 규소 화합물 및 이를 사용하여 막을 증착시키는 방법

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862717454P 2018-08-10 2018-08-10
US62/717,454 2018-08-10
US201916532657A 2019-08-06 2019-08-06
US16/532,657 2019-08-06
USPCT/US2019/045877 2019-08-09

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227007441A Division KR102409869B1 (ko) 2018-08-10 2019-08-09 규소 화합물 및 이를 사용하여 막을 증착시키는 방법

Publications (2)

Publication Number Publication Date
KR20210031595A KR20210031595A (ko) 2021-03-22
KR102373339B1 true KR102373339B1 (ko) 2022-03-10

Family

ID=75222976

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197032662A KR102373339B1 (ko) 2018-08-10 2019-08-09 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
KR1020227007441A KR102409869B1 (ko) 2018-08-10 2019-08-09 규소 화합물 및 이를 사용하여 막을 증착시키는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227007441A KR102409869B1 (ko) 2018-08-10 2019-08-09 규소 화합물 및 이를 사용하여 막을 증착시키는 방법

Country Status (2)

Country Link
US (1) US20230123377A1 (ko)
KR (2) KR102373339B1 (ko)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020072220A1 (en) * 2000-10-10 2002-06-13 Wang Qing Min Low-k dielectric CVD precursors and uses thereof
JP2016005001A (ja) * 2014-06-16 2016-01-12 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated アルキルアルコキシシラ環状化合物及びそれを用いた膜の堆積方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1845100A4 (en) * 2005-01-31 2010-06-02 Tosoh Corp CYCLIC SILOXANE COMPOUND, FILMOGENIC MATERIAL CONTAINING SILICON AND USE THEREOF

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020072220A1 (en) * 2000-10-10 2002-06-13 Wang Qing Min Low-k dielectric CVD precursors and uses thereof
JP2016005001A (ja) * 2014-06-16 2016-01-12 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated アルキルアルコキシシラ環状化合物及びそれを用いた膜の堆積方法

Also Published As

Publication number Publication date
KR20210031595A (ko) 2021-03-22
KR20220035506A (ko) 2022-03-22
KR102409869B1 (ko) 2022-06-16
US20230123377A1 (en) 2023-04-20

Similar Documents

Publication Publication Date Title
KR102183028B1 (ko) 알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법
US11158498B2 (en) Silicon compounds and methods for depositing films using same
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
US20210339280A1 (en) Silacyclic compounds and methods for depositing silicon-containing films using same
US20220293417A1 (en) Silicon compounds and methods for depositing films using same
KR102373339B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
CN110952074B (zh) 硅化合物和使用硅化合物沉积膜的方法
JP6993394B2 (ja) ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法
US11043374B2 (en) Silacycloalkane compounds and methods for depositing silicon containing films using same
US20200048286A1 (en) Silicon compounds and methods for depositing films using same
TW202217051A (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant