KR102183028B1 - 알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법 - Google Patents

알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법 Download PDF

Info

Publication number
KR102183028B1
KR102183028B1 KR1020170153865A KR20170153865A KR102183028B1 KR 102183028 B1 KR102183028 B1 KR 102183028B1 KR 1020170153865 A KR1020170153865 A KR 1020170153865A KR 20170153865 A KR20170153865 A KR 20170153865A KR 102183028 B1 KR102183028 B1 KR 102183028B1
Authority
KR
South Korea
Prior art keywords
film
composition
porogen
methyl
present
Prior art date
Application number
KR1020170153865A
Other languages
English (en)
Other versions
KR20170130328A (ko
Inventor
니콜라스 브르티스 레이몬드
고든 리지웨이 로버트
지안헹 리
로버트 엔틀레이 윌리엄
린 안네 아츠틸 제니퍼
신지안 레이
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20170130328A publication Critical patent/KR20170130328A/ko
Application granted granted Critical
Publication of KR102183028B1 publication Critical patent/KR102183028B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition

Abstract

화학 기상 증착을 통한 다공성의 낮은 k 유전 필름을 생산하기 위한 방법 및 조성물이 제공된다. 한 가지 양태로, 그러한 방법은 기판을 반응 챔버 내에 제공하는 단계; 알킬-알콕시실라사이클릭 화합물을 포함한 하나 이상의 구조-형성 전구체 및 포로겐을 포함하는 가스성 시약들을 반응 챔버 내로 도입하는 단계; 반응 챔버내의 가스성 시약들에 에너지를 인가해서 가스성 시약들의 반응을 유도하여 포로겐을 함유하며 증착되는 예비 필름을 기판 상에 증착시키는 단계; 및 예비 필름에 함유된 포로겐의 적어도 일부를 예비 필름으로부터 제거하고 기공 및 2.7 미만의 유전상수를 지니는 필름을 제공하는 단계를 포함한다. 특정의 구체예로, 구조-형성 전구체는 추가로 경화 첨가제를 포함한다.

Description

알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법{ALKYL-ALKOXYSILACYCLIC COMPOUNDS AND METHODS FOR DEPOSITING FILMS USING SAME}
본 발명은 본원에서 그 전체 내용이 참조로 포함되는 2014년 6월 16일자 출원된 미국 가특허출원 일련번호 제62/012,724호의 우선권 및 그 이익을 주장한다.
본원에서는 구조 형성 전구체(들)로서 알킬-알콕시실라사이클릭 화합물을 사용한 유전 필름의 형성을 위한 조성물 및 방법이 기재된다. 더욱 특히, 본원에서는 다공성의 저유전 상수("낮은 k" 필름 또는 약 2.7 또는 그 미만의 유전 상수를 지니는 필름) 필름의 형성을 위한 조성물 및 방법을 기재하고 있으며, 여기서, 필름을 증착시키기 위해서 이용되는 방법은 화학 기상 증착(CVD) 방법이다. 본원에 기재된 조성물 및 방법에 의해서 생산된 유전 필름은, 예를 들어, 전자 장치 내의 절연 층으로서 사용될 수 있다.
전자 산업은 회로와 집적 회로(IC) 및 관련 전자 장치의 부품 사이에 절연 층으로서 유전 물질을 이용한다. 선 치수는 마이크로전자 장치(예, 컴퓨터 칩)의 속도 및 메모리 저장 용량을 증가시키기 위해서 감소되고 있다. 선 치수가 감소됨에 따라서, 층간 유전(interlayer dielectric: ILD)을 위한 절연 요건이 훨씬 더 엄격해지고 있다. 공간을 축소시키는 것은 RC 시간 상수를 최소화시키기 위해서 더 낮은 유전 상수를 필요로 하며, 여기서, R은 전도성 라인의 저항이고, C는 절연 유전 중간층의 커패시턴스(capacitance)이다. 커패시턴스(C)는 공간에 반비례하고 중간 유전체(interlayer dielectric: ILD)의 유전 상수(k)에 비례한다. SiH4 또는 TEOS(Si(OCH2CH3)4, 테트라에틸오르토실리케이트) 및 O2로부터 생산된 통상의 실리카((SiO2) CVD 유전 필름은 4.0 초과의 유전 상수 k를 지닌다. 더 낮은 유전 상수를 지니는 실리카-기반 CVD 필름을 생산하기 위해서 산업에서 시도하고 있는 여러 방법이 있는데, 가장 성공적인 방법은 약 2.7 내지 약 3.5 범위의 유전 상수를 제공하는 유기 기에 의한 절연 실리콘 옥사이드 필름의 도핑이다. 이러한 유기실리카 유리는 전형적으로는 유기실리콘 전구체, 예컨대, 메틸실란 또는 실록산 및 산화제, 예컨대, O2 또는 N2O로부터 조밀한 필름(밀도 약 1.5 g/cm3)로서 증착된다. 유기실리카 유리는 본원에서 OSG로서 일컬어질 것이다. 유전 상수 또는 "k" 값이 더 높은 장치 밀도 및 더 작은 치수와 함께 2.7 미만으로 떨어짐에 따라서, 산업에서는 조밀한 필름에 적합한 낮은 k 조성물의 대부분을 다 써 버렸으며 개선된 절연 성질을 위한 다양한 다공성 물질로 방향을 전환하고 있다.
CVD 방법 분야에 의한 다공성 ILD의 분야에서의 특허, 공개된 출원 및 공보는 산화제, 예컨대, N2O 및 임의로 퍼옥사이드의 존재하에 불안정한 기를 지니는 유기실리콘 전구체로부터 OSG 필름을 증착시키고, 열적 어닐링에 의해서 불안정한 기를 후속 제거하여 다공성 OSG를 생성시키는 공정을 기재하고 있는 EP 1 119 035 A2 및 미국 특허 제6,171,945호; 산화 어닐링에 의해서 증착된 OSG로부터 기본적으로는 모든 유기 기를 제거하여 다공성 무기 SiO2를 생성킴을 교시하고 있는 미국 특허 제6,054,206호 및 제6,238,751호; 산화 플라즈마에 의한 후속 처리에 의해서 다공성 무기 SiO2로 전환되는 수소화된 실리콘 카바이드 필름의 증착을 기재하고 있는 EP 1 037 275호; 및 유기실리콘 전구체와 유기 화합물로부터 필름을 동시-증착시키고 후속적으로 열적 어닐링을 수행하여 중합된 유기 성분의 일부가 유지되는 다중상 OSG/유기 필름을 생성시킴을 교시하고 있는 미국특허 제6,312,793 B1, WO 00/24050호, 및 문헌논문[Grill, A. Patel, V. Appl . Phys. Lett. (2001), 79(6), pp. 803-805]를 포함한다. 후자 참조에서 필름의 궁극적인 최종 조성물은 잔류 포로겐 및 대략 80 내지 90 원자%의 높은 탄화수소 필름 함량을 나타낸다. 추가로, 최종 필름은 유기 기에 대한 산소 원자의 일부의 치환에 의해서 SiO2-유사 네트워크를 유지한다.
산업에서 인지되고 있는 과제는 더 낮은 유전 상수를 지니는 필름이 전형적으로는 더 높은 다공도를 지니며, 이러한 다공도는 필름내로의 종들의 향상된 확산, 특히 기체 상 확산을 유도한다는 것이다. 이러한 증가된 확산은 필름의 에칭, 예컨대, 포토레지스트의 플라즈마 애싱(ashing) 및 구리 표면의 NH3 플라즈마 처리와 같은 공정으로부터의 다공성 OSG 필름으로부터의 증가된 탄소의 제거를 생성시킬 수 있다. OSG 필름에서의 탄소 고갈은 하기 문제 중 하나 이상을 초래할 수 있다: 필름의 유전 상수의 증가; 습식 세정 단계 동안의 필름 에칭 및 피처 보잉(feature bowing); 소수성 상실로 인한 필름 내로의 수분 흡수, 패턴 에칭 후의 습식 세정 단계 동안의 미세 피처의 패턴 붕괴 및/또는 후속 층, 예컨대, 이로 제한되는 것은 아니지만, 구리 확산 배리어, 예를 들어, Ta/TaN 또는 진보된 Co 또는 MnN 배리어 층을 층착시키는 때는 통합 문제.
이들 문제 중 하나 이상에 대한 가능한 해법은 증가된 탄소 함량을 지니는 다공성 OSG 필름을 사용하는 것이다. 첫 번째 방법은 다공성 OSG 층내의 Si-메틸(Me) 기의 더 높은 보유를 유도하는 포로겐을 사용하는 것이다. 불행하게도, 도 1에 도시된 바와 같이, Si-Me 함량을 증가시키는 것은 전형적으로는 기계적 성질을 감소시키는 것을 유도하는 상호관계가 있어서, 더 많은 Si-Me를 지니는 필름은 통합에 중요한 기계적 강도에 부정적으로 영향을 줄 것이다. 두 번째 방법은 손상 내성 포로겐(damage resistant porogen: DRP), 예컨대, UV 경화 후에 필름 내에 추가의 비정질 탄소를 뒤에 남기는 미국 특허 제8,753,985호에 개시된 포로겐을 사용하는 것이다. 특정의 경우에, 이러한 잔류 탄소는 유전상수에 부정적인 영향을 주지 않을 뿐만 아니라 기계적인 강도에도 부정적인 영향을 주지 않는다. 그러나, DRP를 사용하여 이들 필름 내에 현저하게 더 높은 탄소 함량을 얻는 것은 어렵다.
제안되고 있는 또 다른 해법은 일반식 Rx(RO)3 - xSi(CH2)ySiRz(OR)3 -z의 에틸렌 또는 메틸렌 브릿지된 디실록산을 사용하는 것이며, 여기서, x = 0-3, y = 1 또는 2, z = 0-3이다. 브릿지된 종의 사용은 브릿징 산소를 브릿징 탄소 사슬로 대체함으로써 기계적인 강도에 대한 부정적인 영향을 피하는 것으로 여겨지는데, 그 이유는 네트워크 연결성이 동일하게 유지될 것이기 때문이다. 이는 브릿징 산소를 말단 메틸 기로 대체하는 것이 네트워크 연결성을 저하시킴으로써 기계적인 강도를 저하시킬 것이라는 믿음으로부터 도출된다. 이러한 방식으로, 산소 원자를 1-2개의 탄소 원자로 대체하여 기계적인 강도를 저하시키지 않으면서 원자 중량 백분율(%) C를 증가시킬 수 있다. 그러나, 이들 브릿지된 전구체는, 일반적으로는, 두 개의 규소기를 지님으로부터 증가된 분자량으로 인해서 매우 높은 비점을 지닌다. 증가된 비점은 화학적 전구체를 증기 전달 라인 또는 공정 펌프 배기 라인에서의 응축 없이 가스 상 시약으로서 이를 반응 챔버 내로 전달하는 것을 어렵게 함으로써 제조 공정에 부정적으로 영향을 줄 수 있다.
따라서, 본 분야에서는 증착시에 탄소 함량이 증가된 필름을 제공하지만 상기 언급된 단점을 나타내지 않는 유전 전구체에 대한 요구가 있다.
발명의 간단한 요약
본원에서 기재된 방법 및 조성물은 상기 기재된 하나 이상의 요구를 충족시킨다. 본원에서 기재된 방법 및 조성물은, 포로겐 전구체와의 동시-증착 후에 및 포로겐을 제거하고 증착된 필름을 경화시키기 위한 UV 경화 후에, 동일한 유전 상수에서 종래 기술 구조 형성물질, 예컨대, 디에톡시메틸실란(DEMS)를 사용한 필름과 유사한 기계적 성질을 지니는 다공성의 낮은 k 유전 필름을 제공하는 구조 형성물질로서 알킬-알콕시실라사이클릭 화합물(들), 예컨대, 1-메틸-1-에톡시실라사이클로펜탄(MESCAP)을 사용한다. 추가로, 구조 형성 전구체(들)로서 본원에 기재된 알킬-알콕시실라사이클릭 화합물을 사용하여 증착된 필름은 상대적으로 더 높은 양의 탄소를 포함한다. 또한, 본원에 기재된 알킬-알콕시실라사이클릭 화합물(들)은, 2 개의 규소 기를 지님으로 인해서 더 높은 Mw 및 더 높은 비점을 지니는 다른 종래 기술 구조-형성 전구체, 예컨대, 브릿지된 전구체(에, 디실란 또는 디실록산 전구체)에 비해서 더 낮은 분자량(Mw)를 지녀서, 본원에서 기재된 알킬-알콕시실라사이클릭 전구체를, 공정, 예를 들어, 높은 용적 제조 공정에 대해서 더욱 편리하게 한다.
본원에서는 화학식 SivOwCxHyFz(여기서, v+w+x+y+z=100%이고, v는 10 내지 35 원자%이고, w는 10 내지 65 원자%이고, x는 5 내지 45 원자%이고, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%이다)로 표현되는 물질을 포함하는 다공성 유전 필름으로서, 기공과 2.7 미만의 유전 상수를 지니는 다공성 유전 필름이 기재된다. 특정의 구체예에서, 필름은, X-레이 발광분광기(X-ray photospectrometry: XPS)에 의해서 측정되는 바와 같이, 더 높은 탄소 함량(10-40%)을 포함하고, XPS 깊이 프로파일링(XPS depth profiling)에 의해서 측정된 탄소 함량을 시험함으로써 측정되는 바와 같이, 예를 들어, O2 또는 NH3 플라즈마에 대한 노출시에 감소된 탄소 제거 깊이를 나타낸다.
한 가지 양태로, 하기 화학식(I)을 지니는 알킬-알콕시실라사이클릭 화합물을 포함하는 유전 필름의 기상 증착을 위한 조성물로서, 화합물이 할라이드 및 물로 이루어진 군으로부터 선택된 하나 이상의 불순물을 실질적으로 함유하지 않는 조성물이 제공된다:
Figure 112017114511049-pat00001
(I)
상기 식에서,
R1은 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C2 내지 C10 알케닐기, 선형 또는 분지형 C2 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 헤테로-사이클릭 알킬기, C5 내지 C10 아릴기, 및 C3 내지 C10 헤테로-아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C2 내지 C10 알케닐기, 선형 또는 분지형 C2 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 헤테로-사이클릭 알킬기, C5 내지 C10 아릴기, 및 C3 내지 C10 헤테로-아릴기로부터 선택되고;
R3은 Si 원자와 함께 4-원, 5-원, 또는 6-원 사이클릭 고리를 형성하는 C3 내지 C10 알킬 디-라디칼로부터 선택된다.
추가의 양태로, 다공성 유전 필름을 생산하는 화학 기상 증착 방법으로서,
기판을 반응 챔버에 제공하는 단계;
하기 화학식(I)을 지니는 알킬-알콕시실라사이클릭 화합물을 포함하는 구조-형성 전구체 및 포로겐를 포함하는 가스성 시약을 반응 챔버에 도입하는 단계;
기판상에 포로겐을 함유하는 예비 필름을 증착시키기 위해서 에너지를 반응 챔버 내의 가스성 시약에 적용하여 가스성 시약의 반응을 유도하는 단계; 및
기공 및 2.7 미만의 유전 상수를 지니는 다공성 필름을 제공하기 위해서 실질적으로 모든 포로겐을 예비 필름으로부터 제거하는 단계를 포함하는 화학 기상 증착 방법이 제공된다:
Figure 112017114511049-pat00002
(I)
상기 식에서,
R1은 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C2 내지 C10 알케닐기, 선형 또는 분지형 C2 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 헤테로-사이클릭 알킬기, C5 내지 C10 아릴기, 및 C3 내지 C10 헤테로-아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C2 내지 C10 알케닐기, 선형 또는 분지형 C2 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 헤테로-사이클릭 알킬기, C5 내지 C10 아릴기, 및 C3 내지 C10 헤테로-아릴기로부터 선택되고;
R3은 Si 원자와 함께 4-원, 5-원, 또는 6-원 사이클릭 고리를 형성하는 C3 내지 C10 알킬 디-라디칼로부터 선택된다.
특정의 구체예에서, 구조-형성 전구체는 경화 첨가제를 추가로 포함한다.
도 1은 컴퓨터 모델링으로부터 얻은 다공성의 낮은 k 유전 필름에서의 기계적 강도(체적 탄성률 GPa)와 메틸(Me)/Si 비율 사이의 관계를 나타내는 그래프이다.
도 2는 구조 형성 MESCAP와 포로겐 사이클로옥탄을 포함하는 본원에 기재된 방법 및 조성물을 사용한 예시적인 다공성의 낮은 k 유전 필름과 구조 형성 DEMS 및 포로겐 사이클로옥탄을 포함하는 종래 기술의 예시적인 필름에 대한 탄소 함량 대 유전 상수를 비교하는 그래프이다.
도 3은 필름이 NH3 플라즈마를 사용하여 손상되는 때의 탄소 제거에 대한 증가된 내성을 입증하고 있다.
본원에서는 다공성 k 유전 필름을 생산하는 화학 기상 증착(CVD) 방법으로서, 기판을 반응 챔버에 제공하는 단계; 알킬-알콕시실라사이클릭 화합물, 예컨대, 1-메틸-1-에톡시-1-실라사이클로펜탄을 포함하는 하나 이상의 구조-형성 전구체 및 포로겐을 포함하는 가스성 시약을 반응 챔버에 도입하는 단계; 기판상에 포로겐 및 유기실리케이트 유리를 함유하는 예비 필름을 증착시키기 위해서 에너지를 반응 챔버 내의 가스성 시약에 적용하여 가스성 시약의 반응을 유도하는 단계; 및
기공 및 2.7 미만의 유전 상수를 지니는 다공성 필름을 제공하기 위해서 실질적으로 모든 포로겐을 예비 필름으로부터 제거하는 단계를 포함하는 화학 기상 증착 방법이 기재된다.
본원에서 기재된 알킬-알콕시실라사이클릭 화합물은, 종래 기술의 구조 형성 전구체, 예컨대, 디에톡시메틸실란(DEMS)에 비해서, 유전 필름의 기계적 성질에 작은 영향을 주면서 유전 필름 중에 더 많은 탄소 함량을 가능하게 하는 독특한 속성을 제공한다. 예를 들어, DEMS는 반응성 부위의 균형을 제공하며 요망되는 유전 상수를 보유하면서 기계적으로 더욱 강한 필름의 형성을 가능하게 하는 두 개의 알콕시기, 하나의 메틸 및 하나의 하이드라이드를 지닌 DEMS 내의 혼합된 리간드 시스템을 제공한다. 이론으로 한정하고자 하는 것은 아니지만, 본원에서 기재된 알킬-알콕시실라사이클릭 전구체, 예컨대, 1-메틸-1-에톡시-1-실라사이클로펜탄은 본래 비대칭이며, 더욱 대칭인 전구체, 예컨대, 제안되었던 1,1-디메틸-1-실라사이클로펜탄 또는 1,1-디에톡시-1-실라사이클로펜-3-엔에 비해서 이점을 제공할 수 있다. 본원에서 기재된 구조-형성 전구체(들)의 하나의 알킬 및 하나의 알콕시 기의 통합은 2.7 또는 그 미만의 유전 상수에서의 기계적인 강도와 탄소 통합의 균형을 가능하게 한다.
낮은 k 유전 필름은 유기실리카 유리("OSG") 필름 또는 물질이다. 유기실리케이트는 이들 물질에 다공성을 부여하기 위한 포로겐의 부가 없이 낮은 k 물질을 위한 후보 물질이며, 이들의 고유한 유전 상수는 2.7만큼 낮게 제한된다. 다공성의 부가는 일반적으로 기계적인 성질의 희생과 함께 필름의 전체 유전상수를 감소시킨다(진공은 1.0의 고유 유전 상수를 지닌다). 물질 성질은 필름의 화학적 조성 및 구조에 좌우된다. 유기실리콘 전구체의 유형은 필름 구조 및 조성에 강한 영향을 주기 때문에, 요망되는 유전 상수에 도달시키기에 필요한 양의 다공성의 부가가 기계적으로 부적절한 필름을 생성시키지 않는 것을 확실히 하기 위해서 요망되는 필름 성질을 제공하는 전구체를 사용하는 것이 유리하다. 본원에서 기재된 방법 및 조성물은 개선된 통합 플라즈마 내성을 제공하기 위한 바람직한 전기적 성질과 기계적 성질의 균형뿐만 아니라 높은 탄소 함량과 같은 다른 유익한 필름 성질을 지니는 다공성의 낮은 k 유전 필름을 생성시키는 수단을 제공한다.
본원에 기재된 방법 및 조성물의 특정의 구체예에서, 실리콘-함유 유전 물질의 층이 반응 챔버를 사용하는 화학 기상 증착(CVD) 공정을 통해서 기판의 적어도 일부 상에 증착된다. 적합한 기판은, 이로 한정되는 것은 아니지만, 반도체 재료, 예컨대, 갈륨 아르제나이드("GaAs"), 실리콘 및 결정상 실리콘, 폴리실리콘, 비정질 실리콘, 에피택셜 실리콘, 이산화규소("SiO2"), 실리콘 유리, 실리콘 니트라이드, 용융 실리카, 유리, 석영, 보로실리케이트 유리, 및 이들의 조합물을 함유하는 조성물을 포함한다. 다른 적합한 물질은 크롬, 몰리브덴, 및 반도체, 집적회로, 평판 디스플레이, 및 가요성 디스플레이 적용에 통상적으로 사용되는 그 밖의 금속을 포함한다. 기판은 추가의 층, 예컨대, 실리콘, SiO2, 유기실리케이트 유리(OSG), 플루오르화된 실리케이트 유리(FSG), 보론 카르보니트레이트, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카르보니트라이드, 수소화된 실리콘 카르보니트라이드, 보로니트라이드, 유기-무기 복합 물질, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 물질 및 복합체, 금속 옥사이드, 예컨대, 알루미늄 옥사이드 및 게르마늄 옥사이드를 지닐 수 있다. 또 다른 추가의 층은 또한 게르마노실리케이트, 알루미노실리케이트, 구리 및 알루미늄, 및 확산 배리어 물질, 예컨대, 이로 한정되는 것은 아니지만, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN일 수 있다.
본원에 기재된 방법 및 조성물의 특정의 구체예에서, 실리콘-함유 유전 물질의 층은 알킬-알콕시실라사이클릭 화합물을 포함하는 하나 이상의 구조-형성 전구체 및 포로겐 전구체를 포함하는 가스성 시약을 반응 챔버에 도입함으로써 기판의 적어도 일부 상에 증착된다.
본원에서 기재된 방법 및 조성물은 하기 화학식(I)의 구조를 지니는 구조-형성 전구체(들)로서 알킬-알콕시실라사이클릭 화합물을 사용한다:
Figure 112017114511049-pat00003
(I)
상기 식에서,
R1은 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C2 내지 C10 알케닐기, 선형 또는 분지형 C2 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 헤테로-사이클릭 알킬기, C5 내지 C10 아릴기, 및 C3 내지 C10 헤테로-아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C2 내지 C10 알케닐기, 선형 또는 분지형 C2 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 헤테로-사이클릭 알킬기, C5 내지 C10 아릴기, 및 C3 내지 C10 헤테로-아릴기로부터 선택되고;
R3은 Si 원자와 함께 4-원, 5-원, 또는 6-원 사이클릭 고리를 형성하는 C3 내지 C10 알킬 디-라디칼로부터 선택된다.
상기 화학식에서 및 상세한 설명 전체에 걸쳐서, 용어 "알킬"은 1 내지 10개의 탄소 원자를 지니는 선형 또는 분지형의 작용기를 나타낸다. 예시적인 알킬기는, 이로 한정되는 것은 아니지만, 메틸, 에틸, n-프로필, 부틸, 펜틸, 및 헥실 기를 포함한다. 예시적인 분지형 알킬기는, 이로 한정되는 것은 아니지만, 이소-프로필, 이소-부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 이소-헥실, 및 neo-헥실을 포함한다. 특정의 구체예에서, 알킬기는 이에 결합된 하나 이상의 작용기, 예컨대, 이로 한정되는 것은 아니지만, 이에 결합된 알콕시기, 예컨대, 메톡시, 에톡시, 이소-프로폭시, 및 n-프로폭시, 디알킬아미노기, 예컨대, 디메틸아미노 또는 이들의 조합물을 지닐 수 있다. 다른 구체예에서, 알킬기는 이에 결합된 하나 이상의 작용기를 지니지 않을 수 있다. 알킬기는 포화되거나, 대안적으로는 불포화될 수 있다.
상기 및 명세서 전체에 걸친 화학식(I)에서, 용어 "사이클릭 알킬"은 3 내지 10 개의 탄소 원자를 지니는 사이클릭 작용기를 나타낸다. 예시적인 사이클릭 알킬기는, 이로 한정되는 것은 아니지만, 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸기를 포함한다.
상기 및 명세서 전체에 걸친 화학식(I)에서, 용어 "헤테로-사이클릭"은 C3 내지 C10 헤테로-사이클릭 알킬기, 예컨대, 에폭시기를 나타낸다.
상기 및 명세서 전체에 걸친 화학식(I)에서, 용어 "알케닐기"는 하나 이상의 탄소-탄소 이중 결합을 지니며 2 내지 10개, 또는 2 내지 10 개 도는 2 내지 6개의 탄소원자를 지니는 기를 나타낸다.
상기 및 명세서 전체에 걸친 화학식(I)에서, 용어 "알키닐기"는 하나 이상의 탄소-탄소 삼중 결합을 지니며, 3 내지 10개, 또는 2 내지 10개 도는 2 내지 6개의 탄소원자를 지니는 기를 나타낸다.
상기 및 명세서 전체에 걸친 화학식(I)에서, 용어 "아릴"은 5 내지 10개의 탄소원자 또는 6 내지 10개의 탄소원자를 지니는 방향족 사이클릭 작용기를 나타낸다. 예시적인 아릴기는, 이로 한정되는 것은 아니지만, 페닐, 벤질, 클로로벤질, 톨릴, 및 o-자일릴을 포함한다.
상기 및 명세서 전체에 걸친 화학식(I)에서, 용어 "헤테로-아릴"은 C3 내지 C10 헤테로-사이클릭 아릴기 1,2,3-트리아졸릴, 피롤릴, 및 푸라닐을 나타낸다.
상기 화학식(I)에서, 치환체 R3은 Si 원자와 함께 4-원, 5-원, 또는 6-원 사이클릭 고리를 형성하는 C3 내지 C10 알킬 디-라디칼이다. 당업자라면 이해할 수 있는 바와 같이, R3은 Si 원자와 함께 연결되어 화학식(I)에서 4-원, 5-원, 또는 6-원 고리인 고리를 형성하는 치환되거나 비치환된 탄화수소 사슬이다. 일부 구체예에서, 고리 구조는 불포화된 고리, 예를 들어, 사이클릭 알킬 고리, 또는 포화된 고리, 예를 들어, 아릴 고리일 수 있다.
화학식(I)의 특정의 구체예에서, R1은 수소, 메틸, 및 에틸로 이루어진 군으로부터 선택되고, R2는 메틸, 에틸, 및 이소프로필로 이루어진 군으로부터 선택되고, R3은 Si 원자와 함께 4-원, 5-원, or 6-원 사이클릭 고리를 형성한다. 이들 구체예의 예는 다음과 같다:
Figure 112017114511049-pat00004
Figure 112017114511049-pat00005
한 가지 특정의 구체예에서, 본원에 기재된 조성물 및 방법은 하기 구조식을 지니는 구조-형성 전구체의 알킬-알콕시실라사이클릭 화합물, 즉, 1-메틸-1-에톡시실라사이클로펜탄(MESCAP)을 사용한다:
Figure 112017114511049-pat00006
상기 기재된 알킬-알콕시실라사이클릭 화합물 및 이를 포함하는 방법 및 조성물은 바람직하게는 하나 이상의 불순물, 예컨대, 이로 한정되는 것은 아니지만, 할라이드 이온 및 물을 실질적으로 함유하지 않는다. 본원에서 사용되는 용어 "실질적으로 함유하지 않는"은 그 자체로 100 만부당 100부(ppm), 또는 그 미만, 50ppm 또는 그 미만, 10ppm 또는 그 미만, 및 5ppm 또는 그 미만의 불순물을 의미하는 불순물과 관련된다.
한 가지 특정의 구체예에서, 알킬-알콕시실라사이클릭 화합물은, 100만부당 100부(ppm) 또는 그 미만, 50ppm 또는 그 미만, 10ppm 또는 그 미만, 및 5ppm 또는 그 미만의 불순물 또는 0ppm을 의미하는, 할라이드 이온(또는 할라이드), 예컨대, 클로라이드 및 플루오라이드, 브로마이드 및 요오다이드를 함유한다. 클로라이드는 알킬-알콕시실라사이클릭 화합물 뿐만 아니라 전자 장치의 성능에 유해한 잠재적 오염물에 대한 분해 촉매로서 작용하는 것으로 공지되어 있다. 알킬-알콕시실라사이클릭 화합물의 점진적인 분해는 필름 증착 공정에 직접적으로 영향을 주어 반도체 제작자가 필름 사양을 맞추는 것을 어렵게 할 수 있다. 또한, 저장 수명 또는 안정성이 알킬-알콕시실라사이클릭 화합물의 더 높은 분해 속도에 의해서 부정적으로 영향을 받아서, 1 내지 2년의 저장 수명을 보장하는 것을 어렵게 한다. 따라서, 알킬-알콕시실라사이클릭 화합물의 가속된 분해는 이들 가연성 및/또는 자연발화성의 가스성 부산물의 형성과 관련된 안정성 및 성능 우려를 나타낸다.
할라이드를 실질적으로 함유하지 않는 본 발명에 따른 조성물은 (1) 화학적 합성 동안 클로라이드 공급원을 감소시키거나 제거함으로써 및/또는 (2) 효과적인 정제 과정을 수행하여 미정제 생성물로부터 클로라이드를 제거해서 최종 정제된 생성물이 클로라이드를 실질적으로 함유하지 않게 함으로써 달성될 수 있다. 클로라이드 공급원은 할라이드, 예컨대, 클로로디실란, 브로모디실란, 또는 아이오도디실란을 함유하지 않는 시약을 사용하여 할라이드 이온을 함유하는 부산물의 생성을 피함으로써 합성 동안에 감소될 수 있다. 또한, 상기 언급된 시약은 클로라이드 불순물을 실질적으로 함유하지 않아서 생성되는 미정제 생성물이 클로라이드 불순물을 실질적으로 함유하지 않게 할 수 있다. 유사한 방식으로, 합성법이 할라이드 기반 용매, 촉매 또는 허용되지 않게 높은 할라이드 오염 수준을 함유하는 용매를 사용하지 않아야 한다. 미정제 생성물은 또한 최종 생성물이 할라이드, 예컨대, 클로라이드를 실질적으로 함유하지 않게 하기 위해서 다양한 정제 방법에 의해서 처리될 수 있다. 그러한 방법은 종래 기술에 잘 기재되어 있고, 이로 한정되는 것은 아니지만, 정제 공정, 예컨대, 증류 또는 흡착을 포함할 수 있다. 증류는 비점의 차이를 이용함으로써 요망되는 생성물로부터 불순물을 분리하기 위해서 일반적으로 이용된다. 흡착은 또한 분리를 수행하기 위한 성분들의 차별적 흡착 성질의 이점을 취하여 최종 생성물이 할라이드를 실질적으로 함유하지 않게 하기 위해서 이용될 수 있다. 흡착제, 예컨대, 상업적으로 이용 가능한 MgO-Al2O3 배합물이 할라이드, 예컨대, 클로라이드를 제거하기 위해서 사용될 수 있다.
종래 기술 실리콘-함유 구조-형성 전구체, 예를 들어, DEMS는, 반응 챔버에 에너지를 가하면, 중합되어 폴리머 골격에 -O- 연결(예, -Si-O-Si- 또는 -Si-O-C-)를 지니는 구조를 형성하는 반면에, 알킬-알콕시실라사이클릭 화합물, 예컨대, MESCAP 분자는 중합되어 골격 내의 -O- 연결의 일부가 -CH2- 메틸렌 또는 -CH2CH2- 에틸렌 브릿지(들)로 대체되는 구조를 형성하는 것으로 여겨진다. 구조 형성 전구체로서 탄소가 주로 말단 Si-Me 기의 형태에 존재하는 DEMS를 사용하여 증착된 필름에서는, %Si-Me(%C와 직접적으로 관련됨) 대 기계적인 강도 사이의 상호관계가 존재한다(참조예, 두 개의 말단 Si-Me 기에 의한 브릿징 Si-O-Si의 대체가 기계적인 성질을 저하시키는데, 그 이유는 네트워크 구조가 파괴되기 때문인 도 1에 도시된 모델링 작업). 알킬-알콕시실라사이클릭 화합물의 경우에는, 사이클릭 구조가 필름 증착 또는 경화 공정(증착된 대로의 필름에 함유된 포로겐의 적어도 일부 또는 실질적으로 전부를 제거하기 위함) 동안에 파괴되어 SiCH2Si 또는 SiCH2CH2Si 브릿징 기를 형성시키는 것으로 사료된다. 이러한 방식으로, 실무자는 탄소를 브릿징 기의 형태로 통합시켜서, 기계적인 강도 면에서, 네트워크 구조가 탄소 함량을 증가시킴으로써 중단되지 않게 할 수 있다. 이론으로 한정하고자 하는 것은 아니지만, 이러한 속성은 탄소를 필름에 부가하고, 이는 필름이 필름의 에칭, 포토레지스트의 플라즈마 에칭, 및 구리 표면의 NH3 플라즈마 처리와 같은 공정으로부터 다공성 OSG 필름의 탄소 고갈에 대해서 더욱 회복되게 한다. OSG 필름에서의 탄소 고갈은 필름의 결함 있는 유전 상수의 증가, 필름 에칭에 의한 문제 및 습식 세정 단계 동안의 피처 보잉, 및/또는 구리 확산 배리어를 증착시키는 때의 통합 문제를 야기시킬 수 있다.
본원에서 기재되는 유전 필름을 증착시키기 위한 조성물은 약 5 내지 약 60 중량%의 화학식(I)을 지니는 알킬-알콕시실라사이클릭 화합물(들)을 포함한 구조 형성 전구체; 및 포로겐 전구체의 성질에 따라서 약 40 내지 약 95 중량%의 포로겐 전구체를 포함한다.
본원에서 포함되는 방법 및 조성물의 특정의 구체예에서, 구조 형성 전구체는 경화 첨가제를 추가로 포함하고, 그러한 경화 첨가제는 기계적인 강도를 증가시킬 것이며, 그러한 경화 첨가제의 예는 테트라알콕시실란, 예컨대, 테트라에톡시실란(TEOS) 또는 테트라메톡시실란(TMOS)을 포함한다. 경화 첨가제가 사용되는 구체예에서, 구조 형성 부분의 조성은 약 30 내지 약 95 중량%의 화학식(I)을 지닌 알킬-알콕시실라사이클릭 화합물을 포함한 구조 형성 전구체; 약 5 내지 약 70 중량%의 경화 첨가제; 및 전체 전구체 양의 약 40 내지 약 95 중량%의 포로겐 전구체를 포함한다.
앞서 언급된 바와 같이, 가스성 시약은 하나 이상의 포로겐 전구체를 추가로 포함하며, 그러한 포로겐 전구체는 알킬-알콕시실라사이클릭 화합물, 예컨대, 1-메틸-1-에톡시실라사이클로펜탄을 포함하는 하나 이상의 구조-형성 전구체와 함께 반응 챔버 내로 도입된다. 이하 물질은 본 발명에 따른 사용을 위한 포로겐으로서의 사용에 적합한 물질의 비-제한 예이다:
1) 일반식 CnH2n의 사이클릭 탄화수소로서, n = 4 - 14이고, 사이클릭 구조내의 탄소의 수가 4 내지 10이고, 사이클릭 구조 상에 치환된 복수의 간단한 또는 분지된 탄화수소가 존재할 수 있는 사이클릭 탄화수소.
그러한 예는 사이클로헥산, 1,2,4-트리메틸사이클로헥산, 1-메틸-4-(1-메틸에틸)사이클로헥산, 사이클로옥탄, 메틸사이클로옥탄, 메틸사이클로헥산 등을 포함한다.
2) 일반식 CnH(2n+2)-2y의 선형 또는 분지형의 포화된, 단일 또는 복수 불포화된 탄화수소로서, n = 2 - 20이고, y = 0 - n인 선형 또는 분지형의 포화된, 단일 또는 복수 불포화된 탄화수소.
그러한 예는 에틸렌, 프로필렌, 아세틸렌, 네오헥산, 1,3-부타디엔, 2-메틸-1,3-부타디엔, 2,3-디메틸-2,3-부타디엔, 치환된 디엔 등을 포함한다.
3) 일반식 CnH2n -2x의 단일 또는 복수 불포화된 사이클릭 탄화수소로서, x가 분자내의 불포화 자리의 수이고, n = 4 - 14이고, 사이클릭 구조내의 탄소의 수가 4 내지 10이고, 사이클릭 구조 상에 치환된 복수의 간단한 또는 분지된 탄화수소가 존재할 수 있는 단일 또는 복수 불포화된 사이클릭 탄화수소. 불포화는 엔도사이클릭의 내부에 자리하거나 사이클릭 구조에 대한 탄화수소 치환체 중 하나 상에 자리할 수 있다.
그러한 예는 파라-시멘(para-cymene), 사이클로옥탄, 1,5-사이클로옥타디엔, 디메틸-사이클로옥타디엔, 사이클로헥센, 비닐-사이클로헥산, 디메틸사이클로헥센, 알파-테르피넨, 피넨, 리모넨, 비닐-사이클로헥센 등을 포함한다.
4) 일반식 CnH2n -2의 바이사이클릭 탄화수소로서, n = 4 - 14이고, 바이사이클릭 구조 내의 탄소의 수가 4 내지 12이고, 사이클릭 구조 상에 치환된 복수의 간단한 또는 분지된 탄화수소가 존재할 수 있는 바이사이클릭 탄화수소.
그러한 예는 노르보르난, 스피로-노난, 데카하이드로나프탈렌 등을 포함한다.
5) 일반식 CnH2n -(2+2x)의 복수 불포화된 바이사이클릭 탄화수소로서, x가 분자 내의 불포화된 자리의 수이고, n = 4 - 14이고, 바이사이클릭 구조 내의 탄소의 수가 4 내지 12이고, 사이클릭 구조 상에 치환된 복수의 간단한 또는 분지된 탄화수소가 존재할 수 있는 복수 불포화된 바이사이클릭 탄화수소. 불포화는 엔도사이클릭의 내부에 자리하거나 사이클릭 구조에 대한 탄화수소 치환체 중 하나 상에 자리할 수 있다.
그러한 예는 캄펜(camphene), 노르보르넨, 노르보르나디엔, 5-에틸리덴-2-노르보르넨 등을 포함한다.
6) 일반식 CnH2n -4의 트리사이클릭 탄화수소로서, n = 4 - 14이고, 트리사이클릭 구조 내의 탄소의 수가 4 내지 12이고, 사이클릭 구조 상에 치환된 복수의 간단한 또는 분지된 탄화수소가 존재할 수 있는 트리사이클릭 탄화수소.
그러한 예는 아다만탄을 포함한다.
비록, 문구 "가스성 시약"이 시약을 설명하기 위해서 본원에서 종종 사용되지만, 그러한 문구는 반응기에 가스로서 직접 전달되는 시약, 기화된 액체로서 전달되는 시약, 승화된 고형물로서 전달되는 시약, 및/또는 반응기 내로 불활성 캐리어에 의해서 수송되는 시약을 포함하는 것으로 의도된다.
또한, 시약은 별개의 공급원으로부터 별도로 또는 혼합물로서 반응기 내로 운반될 수 있다. 시약은, 바람직하게는 적절한 밸브 및 피팅(fitting)을 갖춘 가압 가능한 스테인리스 스틸 용기를 사용하여 공정 반응기에의 액체의 전달을 가능하게 하는, 어떠한 수의 수단에 의해서 반응기 시스템에 전달될 수 있다.
구조 형성 종 및 기공-형성 종에 추가로, 추가 물질이 증착 반응 전에, 그 동안에 및/또는 그 후에 반응 챔버 내로 도입될 수 있다. 그러한 물질은, 예를 들어, 불활성 가스(예, 덜 휘발성인 전구체를 위한 캐리어 가스로서 사용될 수 있고, 증착된 물질의 경화를 촉진할 수 있으며 더욱 안정한 최종 필름을 제공할 수 있는 He, Ar, N2, Kr, Xe, 등) 및 반응성 물질, 예컨대, 산소-함유 종, 예컨대, O2, O3, 및 N2O, 가스성 또는 액체 유기 물질, NH3, H2, CO2, 또는 CO를 포함한다. 한 가지 특정의 구체예에서, 반응 챔버 내로 도입된 반응 혼합물은 O2, N2O, NO, NO2, CO2, 물, H2O2, 오존, 및 이들의 조합물로 이루어진 군으로부터 선택된 하나 이상의 산화제를 포함한다. 대안적인 구체예에서, 반응 혼합물은 산화제를 포함하지 않는다.
에너지가 가스성 시약에 적용되어 가스가 반응되고 기판 상에 필름이 형성되게 한다. 그러한 에너지는, 예를 들어, 플라즈마, 펄스식 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, 원격 플라즈마, 고온 필라멘트, 및 열적(즉, 비-필라멘트) 방법에 의해서 제공될 수 있다. 이차 rf 주파수 공급원이 사용되어 기판 표면에서의 플라즈마 특성을 변화시킬 수 있다. 바랍직하게는, 필름은 플라즈마 강화된 화학 기상 증착("PECVD")에 의해서 형성된다.
가스성 시약의 각각에 대한 유량은 단일의 200 mm 웨이퍼 당 10 내지 5000 sccm, 더욱 바람직하게는 30 내지 1000 sccm 범위이다. 개별적인 유량은 필름 내의 구조-형성 물질 및 포로겐의 요망된 양을 제공하도록 선택된다. 실제 필요한 유량은 웨이퍼 크기 및 챔버 형태에 좌우될 수 있으며, 200 mm 웨이퍼 또는 단일 웨이퍼 챔버로 결코 제한되지 않는다.
특정의 구체예에서, 필름은 분당 약 50 나노미터(nm)의 증착 속도로 증착된다.
증착 동안 반응 챔버에서의 압력은 약 0.01 내지 약 600 torr 또는 약 1 내지 15 torr의 범위이다.
비록, 두께가 요구되는 대로 다양할 수 있지만, 그러한 필름은 바람직하게는 0.002 내지 10 마이크론의 두께로 증착된다. 비-패턴 형성된 표면 상에 증착된 블랭킷 필름(blanket film)은, 기판의 5mm의 최외각 에지가 균일성의 통계적 계산에서 포함되지 않는 합리적인 에지 배제 상태에서 기판을 가로 지른 1 표준 편차에 대해 2% 미만의 두께 변화가 있는, 우수한 균일성을 지닌다.
필름의 다공성은 증가될 수 있으며, 부피 밀도가 그에 따라서 감소되어 물질의 유전 상수를 추가로 감소시키고 이러한 물질의 적용성을 미래 세대로 확장시킨다(예, k<2.0).
앞서 언급된 바와 같이, 증착된 필름 내에 함유된 포로겐 전구체의 적어도 일부 내지 포로겐 전구체의 실질적인 전부가 후속 제거 단계에서 제거된다. 포로겐 전구체의 제거는 하기 처리 중 하나 이상의 처리에 의해서 수행된다: 열적 처리, 자외선 처리, 전자 빔 처리, 감마선 처리, 및 이들의 조합. 한 가지 특정의 구체예에서, 포로겐 제거 단계는 UV 처리 단계, 열적 처리 단계, 또는 이들의 조합에 의해서 수행된다. 후자의 구체예에서, UV 처리 단계는 열적 처리의 적어도 일부 동안에 발생한다.
첨가된 포로겐 없는 유사 OSG와 어닐링된 다공성 OSG 사이의 원자 조성에서 통계학적 유의 수준의 측정된 차이가 없다면, 증착된 필름 내에 함유된 포로겐의 적어도 일부 내지 실질적인 전부의 제거가 추정된다. 본원에서 사용된 용어 "실질적으로 함유하지 않는"은 그 자체로 XPS 또는 다른 수단에 의해서 측정된 약 2% 또는 그 미만, 또는 약 1% 또는 그 미만, 또는 약 50ppm 또는 그 미만, 10ppm 또는 그 미만, 및 5ppm 또는 그 미만의 포로겐을 의미하는 증착된 필름 내의 포로겐 전구체의 제거를 나타낸다. 조성물에 대한 분석 방법(예, X-레이 광전자 분광법(XPS), Rutherford Backscattering/Hydrogen Forward Scattering(RBS/HFS))의 고유한 측정 오차 및 공정 변수 둘 모두가 데이터의 범위에 원인이 된다. XPS의 경우에, 고유한 측정 오자는 대략 +/-2 원자%인 반면, RBS/HFS의 경우에, 오차는 종에 따라서 +/-2 내지 5 원자% 범위로 더 큰 것으로 예상된다. 공정 변수가 추가의 +/-2 원자%를 최종 데이터 범위에 부가할 것이다.
본 발명의 바람직한 구체예는 본 기술 분야에서 공지된 다른 구조 형성 전구체를 사용하여 증착된 다른 다공성의 낮은 k 유전 필름에 비해서 낮은 유전상수 및 개선된 기계적인 특성, 열적 안정성, 및 화학적 내성(산소, 수성 산화 환경 등에 대한)을 지니는 박막 물질을 젠공한다. 화학식(I)을 지니는 알킬--알콕시실라사이클릭 화합물(들)을 포함하는 본원에 기재된 구조 형성 전구체는, 필름을 증착시키기 위한 특정의 전구체 또는 네트워크-형성 화학물질이 사용됨에 의해서, 필름 내로의 탄소의 더 높은 통합(바람직하고 우세하게는 유기 탄소, 즉, x가 1 내지 3인 -CHx의 형태로)을 제공한다. 특정의 구체예에서, 필름 내의 수소의 대부분은 탄소에 결합되어 있다.
본원에 기재된 조성물 및 방법을 이용하여 증착된 낮은 k 유전 필름은 (a) 약 10 내지 약 35 원자%, 더욱 바람직하게는, 약 20 내지 약 30 원자%의 실리콘; (b) 약 10 내지 약 65 원자%, 더욱 바람직하게는 약 20 내지 약 45 원자%의 산소; (c) 약 10 내지 약 50 원자%, 더욱 바람직하게는 약 15 내지 약 40 원자%의 수소; (d) 약 5 내지 약 40 원자%, 더욱 바람직하게는 약 10 내지 약 45 원자%의 탄소를 포함한다. 필름은 또한 약 0.1 내지 약 15 원자%, 더욱 바람직하게는 약 0.5 내지 약 7.0 원자%의 불소를 함유하여 물질 성질 중 하나 이상을 개선시킬 수 있다. 더 적은 양의 다른 원소가 또한 본 발명의 특정의 필름에 존재할 수 있다. OSG 물질은 낮은 k 물질인 것으로 사료되는데, 그 이유는 이들의 유전 상수가 산업에서 통상적으로 사용되는 표준 물질-실리카 유리의 유전 상수보다 작기 때문이다. 본 발명의 물질은 기공-형성 종 또는 포로겐을 증착 과정에 부가하고, 포로겐을 증착된(즉, 예비) OSG 필름 내로 통합시키고, 예비 필름의 말단 Si-CH3 기 또는 브릿징 -(CH2)x-를 실질적으로 유지시키면서 예비 필름으로부터 포로겐의 실질적인 전부를 제거하여 생성물 필름을 제공함으로써 제공될 수 있다. 생성물 필름은 다공성 OSG이며, 예비 필름뿐만 아니라 포로겐 없이 증착된 유사한 필름의 유전 상수보다 감소된 유전 상수를 지닌다. OSG 내에 유기 기에 의해서 제공된 소수성이 결여되어 있는, 다공성 무기 SiO2와는 대조적으로, 다공성 OSG로서의 본 발명의 필름을 구별하는 것이 중요하다.
CVD TEOS에 의해서 생산된 실리카는, 예를 들어, 구형 상당 직경(equivalent spherical diameter)으로 약 0.6 nm인 양전자 소멸시간 분광법( positron annihilation lifetime spectroscopy: PALS) 분석에 의해서 측정되는 고유한 자유 체적 기공 크기를 지니고 있다. 중성자 소각 산란(small angle neutron scattering: SANS) 또는 PALS에 의해서 측정되는 본 발명의 필름의 기공 크기는 바람직하게는 구형 상당 직경으로 5 nm 미만, 더욱 바람직하게는 구형 상당 직경으로 2.5 nm 미만이다.
필름의 전체 다공성은 공정 조건 및 요망되는 최종 필름 성질에 따라서 5 내지 75%일 수 있다. 본 발명의 필름은 바람직하게는 2.0 g/ml 미만, 또는 대안적으로는 1.5 g/ml 미만 또는 1.25 g/ml 미만의 밀도를 지닌다. 바람직하게는 본 발명의 필름은 포로겐 없이 생산된 유사한 OSG 필름의 밀도에 비해서 적어도 10% 낮은 밀도, 더욱 바람직하게는 적어도 20% 낮은 밀도를 지닌다.
필름의 다공성은 필름 전체에 걸쳐서 균일할 필요는 없다. 특정의 구체예에서, 다공성 구배 및 변화하는 다공성의 층이 존재한다. 그러한 필름은, 예를 들어, 증착 동안에 포로겐 대 전구체의 비를 조절함으로써 제공될 수 있다.
본 발명의 필름은 통상의 OSG 물질에 비해서 더 낮은 유전 상수를 지닌다. 바람직하게는, 본 발명의 필름은 포로겐 없이 생산된 유사한 OSG 필름의 유전 상수보다 적어도 0.3 더 적은 유전 상수, 더욱 바람직하게는 적어도 0.5 더 적은 유전 상수를 지닌다. 바람직하게는, 본 발명의 다공성 필름의 푸리에 변화 적외선(Fourier transform infrared: FTIR) 스펙트럼은 어떠한 포로겐이 없음을 제외하고는 상기 방법과 실질적으로 동일한 공정에 의해서 제조되는 참조 필름의 참조 FTIR과 실질적으로 동일하다.
본 발명의 필름은 또한 불소를 무기 불소(예, Si-F)의 형태로 함유할 수 있다. 존재하는 때의 불소는 바람직하게는 0.5 내지 7 원자% 범위의 양으로 함유된다.
본 발명의 필름은 우수한 화학적 내성과 함께 열적으로 안정하다. 특히, 어닐링 후의 바람직한 필름은 N2 하에 425℃ 등온에서의 1.0 wt%/hr 미만의 평균 중량 손실을 지닌다. 게다가, 그러한 필름은 바람직하게는 공기 중의 425℃ 등온에서의 1.0 wt%/hr 미만의 평균 중량 손실을 지닌다.
그러한 필름은 다양한 사용에 적합하다. 그러한 필름은 반도체 기판 상의 증착에 특히 적합하고, 예를 들어, 절연층, 층간 유전층 및/또는 금속간 유전층으로서의 사용에 특히 적합하다. 필름은 컨포멀 코팅(conformal coating)을 형성할 수 있다. 이들 필름에 의해서 나타나는 기계적인 성질은 이들을 Al 섭트렉티브 기술(Al subtractive technology) 및 Cu 다마신 또는 듀얼 다마신 기술(Cu damascene or dual damascene technology)에 사용하기에 특히 적합하게 한다.
그러한 필름은 화학적 기계적 평탄화(chemical mechanical planarization: CMP) 및 비등방성 에칭과 상용 가능하며, 다양한 물질, 예컨대, 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카르보니트라이드, 수소화된 실리콘 카르보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예컨대, 구리 및 알루미늄, 및 확산 배리어 층, 예컨대, 이로 한정되는 것은 아니지만, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, WN 또는 W(C)N에 유착할 수 있다. 그러한 필름은 바람직하게는 통상의 인발 시험(pull test), 예컨대, ASTM D3359-95a 테이프 인발 시험을 통과하기에 충분하게 선행 물질 중 하나 이상에 대해서 유착할 수 있다. 인식할 수 있는 필름의 제거가 없다면, 샘플은 시험을 통과한 것으로 여겨진다.
따라서, 특정의 구체예에서, 그러한 필름은 집적회로 내의 절연층, 층간 유전층, 금속간 유전층, 캡핑층(capping layer), 화학적-기계적 평탄화(CMP) 또는 에칭 스톱층, 배리어 층 또는 유착층이다.
비록, 본원에 기재된 필름은 균일하게 증착된 유전 필름이고, 완전한 통합 구조로 사용되는 필름은 실제로, 예를 들어, 증착되는 포로겐을 함유하지 않거나 거의 함유하지 않는 하부 또는 상부에의 얇은 층과 함께 여러 개의 샌드위치된 층들로 이루어질 수 있거나, 층이 낮은 포로겐 전구체 유동 비가 존재하는 조건하에 증착될 수 있으며, 대안적으로는, 예를 들어, 층은 더 높은 플라즈마 파워에서 증착되어서 모든 포로겐 전구체가 UV 처리에 의해서 제거될 수는 없게 할 수 있다. 이들 샌드위치 층들은 이차 통합 성질, 예컨대, 유착, 에칭 선택성 또는 전자 이동 성능을 향상시키기 위해서 사용될 수 있다.
비록, 본 발명이 필름을 제공하기에 특히 적합하고, 본 발명의 생성물은 본원에서 대체로 필름으로서 기재되고 있지만, 본 발명은 이로 제한되지 않는다. 본 발명의 생성물은 CVD에 의해서 증착될 수 있는 어떠한 형태, 예컨대, 코팅, 다층 조립체, 및 반드시 평탄하거나 얇지는 않은 다른 유형의 물건, 및 반드시 집적 회로에 사용되지는 않는 다수의 물건들로 제공될 수 있다. 바람직하게는, 기판은 반도체이다.
본 발명의 OSG 생성물에 추가로, 본 발명은 그러한 생성물을 제조하는 방법, 그러한 생성물을 사용하는 방법, 및 그러한 생성물을 제조하기에 유용한 화합물 및 조성물을 포함한다. 예를 들어, 반도체 장치 상에 집적회로를 제조하는 방법은 본원에서 참조로 포함되는 미국 특허 제6,583,049호에 개시되어 있다.
증착된 필름 내의 포로겐은 반응 챔버에 도입된 포로겐과 동일한 형태이거나 그렇지 않을 수 있다. 또한, 포로겐 제거 공정이 필름으로부터 포로겐 또는 이의 단편을 유리시킬 수 있다. 본질적으로는, 비록, 포로겐 시약(또는 전구체에 결합된 포로겐 치환체), 예비 필름 내의 포로겐 및 제거되는 포로겐은 모두 포로겐 시약(또는 포로겐 치환체)으로부터 기원하는 것이 바람직하지만, 이들은 동일한 종이거나 그렇지 않을 수 있다. 본 발명의 공정 전체에 걸쳐서 포로겐이 변화되거나 변화되지 않음에 상관없이, 본원에서 사용된 용어 "포로겐"은 본 발명의 전체 공정에 걸쳐서 발견되는 어떠한 형태의 기공-형성 시약(또는 기공-형성 치환체) 및 이들의 유도체를 포함하는 것으로 의도된다.
본 발명의 조성물은, 예를 들어, 공정 반응기로의 포로겐 및 MESCAP 전구체의 전달을 가능하게 하는 적절한 밸브 및 피팅을 구비한 하나 이상의 가압 가능한 용기(바람직하게는 스테인리스 스틸의 용기)를 추가로 포함할 수 있다. 그러한 용기(들)의 내용물은 사전 혼합될 수 있다. 대안적으로, 포로겐 및 전구체는 별도의 용기에 유지되거나, 저장 동안 포로겐과 전구체를 분리 유지시키는 분리 수단을 지닌 단일의 용기에 유지될 수 있다. 그러한 용기는 또한 요구되는 때에 포로겐과 전구체를 혼합하기 위한 수단을 지닐 수 있다.
포로겐은 열적 어닐링, 화학적 처리, 동일반응게내(in-situ) 또는 원격 플라즈마 처리, 광경화(예, UV) 및/또는 마이크로파 처리를 포함할 수 있는 경화 단계에 의해서 예비(또는 증착된 대로) 필름으로부터 제거된다. 다른 동일반응계내 또는 증착 후 처리가 이용되어 경도, 안정성(수축, 공기 노출, 에칭, 습식 에칭 등에 대한), 일체성, 균일성 및 유착성과 같은 물질 성질을 향상시킬 수 있다. 그러한 처리는 포로겐 제거에 사용되는 동일하거나 상이한 수단을 사용한 포로겐 제거 전에, 그 동안에 및/또는 그 후에 필름에 적용될 수 있다. 따라서, 본원에서 사용된 용어 "후처리"는 필름을 에너지(예, 열, 플라즈마 광자, 전자, 마이크로파, 등) 또는 화학물질로 처리하여 포로겐을 제거하고, 임의로 물질 성질을 향상시킴을 의미한다.
후처리가 수행되는 조건은 크게 다양할 수 있다. 예를 들어, 후처리는 고압 하에 또는 진공 환경 하에 수행될 수 있다.
UV 어닐링이 하기 조건 하에 수행되는 바람직한 방법이다.
환경은 불활성(예, 질소, CO2, 불활성 가스(He, Ar, Ne, Kr, Xe) 등), 산화성(예, 산소, 공기, 묽은 산소 환경, 강화된 산소 환경, 오존, 아산화질소 등) 또는 환원성(묽은 또는 농축된 수소, 탄화수소(포화, 불포화, 선형 또는 분지형, 방향족) 등일 수 있다. 압력은 바람직하게는 약 1 Torr 내지 약 1000 Torr, 더욱 바람직하게는 대기압이다. 그러나, 진공 환경은 또한 열적 어닐링뿐만 아니라 어떠한 다른 후처리 수단에 가능하다. 온도는 바람직하게는 200-500℃이고, 온도 상승 속도는 0.1 내지 100℃/분이다. 전체 UV 어닐링 시간은 바람직하게는 0.01 분 내지 12 시간이다.
OSG 필름의 화학적 처리는 하기 조건하에 수행된다.
플루오르화(HF, SIF4, NF3, F2, COF2, CO2F2 등), 산화((H2O2, O3 등), 화학적 건조, 메틸화, 또는 최종 물질의 성질을 향상시키는 다른 화학적 처리의 사용. 그러한 처리에서 사용되는 화학물질은 고체, 액체, 가스성 및/또는 초임계 유체 상태일 수 있다.
유기실리케이트 필름으로부터 포로겐의 선택적 제거를 위한 초임계 유체 후처리는 하기 조건하에 수행된다.
유체는 이산화탄소, 물, 아산화질소, 에틸렌, SF6, 및/또는 다른 유형의 화학물질일 수 있다. 그 밖의 화학물질이 초임계 유체에 첨가되어 공정을 향상시킬 수 있다. 그러한 화학물질은 불활성(예, 질소, CO2, 불활성 가스(He, Ar, Ne, Kr, Xe) 등), 산화성(예, 산소, 오존, 아산화질소 등) 또는 환원성(예, 묽은 또는 농축된 탄화수소, 수소 등)일 수 있다. 온도는 바람직하게는 주위 온도 내지 500℃이다. 화학물질은 또한 더 큰 화학 종, 예컨대, 계면활성제를 포함할 수 있다. 전체 노출 시간은 바람직하게는 0.01 분 내지 12 시간이다.
불안정한 기의 선택적 제거 및 OSG 필름의 가능한 화학적 변화를 위한 플라즈마 처리는 하기 조건하에 수행된다.
환경은 불활성(질소, CO2, 불활성 가스(He, Ar, Ne, Kr, Xe) 등), 산화성(예, 산소, 공기, 묽은 산소 환경, 강화된 산소 환경, 오존, 아산화질소 등) 또는 환원성(묽은 또는 농축된 수소, 탄화수소(포화, 불포화, 선형 또는 분지형, 방향족) 등일 수 있다. 플라즈마 파워는 바람직하게는 0-5000 W이다. 온도는 바람직하게는 주위 온도 내지 500℃이다. 압력은 바람직하게는 10 Torr 내지 대기압이다. 전체 경화 시간은 바람직하게는 0.01 분 내지 12 시간이다.
유기실리케이트 필름으로부터 포로겐의 선택적 제거를 위한 UV 경화는 하기 조건하에 수행된다.
환경은 불활성(예, 질소, CO2, 불활성 가스(He, Ar, Ne, Kr, Xe) 등), 산화성(예, 산소, 공기, 묽은 산소 환경, 강화된 산소 환경, 오존, 아산화질소 등) 또는 환원성(묽은 또는 농축된 탄화수소, 수소 등)일 수 있다. 온도는 바람직하게는 주위 온도 내지 500℃이다. 파워는 바람직하게는 0-5000 W이다. 파장은 바람직하게는 IR, 가시광선, UV 또는 원자외선(deep UV)(파장<200nm)이다. 전체 UV 경화 시간은 바람직하게는 0.01 분 내지 12 시간이다.
유기실리케이트 필름으로부터 포로겐의 선택적 제거를 위한 마이크로파 후처리는 하기 조건하에 수행된다.
환경은 불활성(예, 질소, CO2, 불활성 가스(He, Ar, Ne, Kr, Xe) 등), 산화성(예, 산소, 공기, 묽은 산소 환경, 강화된 산소 환경, 오존, 아산화질소 등) 또는 환원성(묽은 또는 농축된 탄화수소, 수소 등)일 수 있다. 온도는 바람직하게는 주위 온도 내지 500℃이다. 파워 및 파장은 다양하고 특정의 결합에 대해서 조정 가능하다. 전체 경화 시간은 바람직하게는 0.01 분 내지 12 시간이다.
유기실리케이트 필름으로부터 포로겐 또는 특정의 화학 종의 선택적 제거 및/또는 필름 성질의 개선을 위한 전자 빔 후처리는 하기 조건하에 수행된다.
환경은 진공, 불활성(예, 질소, CO2, 불활성 가스(He, Ar, Ne, Kr, Xe) 등), 산화성(예, 산소, 공기, 묽은 산소 환경, 강화된 산소 환경, 오존, 아산화질소 등) 또는 환원성(묽은 또는 농축된 탄화수소, 수소 등)일 수 있다. 온도는 바람직하게는 주위 온도 내지 500℃이다. 전자 밀도 및 에너지는 다양하고 특정의 결합에 대해서 조정 가능할 수 있다. 전체 경화 시간은 바람직하게는 0.001 분 내지 12 시간이고, 연속적이거나 펄스식일 수 있다. 전자 빔의 일반적인 사용에 관한 추가의 안내는 공보, 예컨대, 문헌[S. Chattopadhyay et al., Journal of Materials Science, 36 (2001) 4323-4330; G. Kloster et al., Proceedings of IITC, June 3-5, 2002, SF, CA]; 및 미국 특허 제6,207,555 B1, 제6,204,201 B1 및 제6,132,814 A1에서 얻을 수 있다. 전자 빔 처리의 이용은 매트릭스 내의 결합-형성 과정을 통한 필름의 기계적 성질의 향상 및 포로겐 제거를 위해서 제공될 수 있다.
본 발명은 하기 실시예를 참조로 하여 더욱 상세히 예시될 것이지만, 그러한 실시예로 본 발명이 한정되는 것은 아님이 이해될 것이다.
실시예
예시적인 필름 또는 200 mm 웨이퍼 가공은 Advance Energy 200 RF 발생장치를 구비한 200 mm DxZ 반응 챔버 또는 진공 챔버 내에서 Applied Materials Precision-5000 시스템을 사용하여 다양한 상이한 화학 전구체 및 공정 조건으로부터의 플라즈마 강화 CVD(PECVD) 공정을 통해서 형성되었다. PECVD 공정은 일반적으로 하기 기본적인 단계를 포함한다: 가스 흐름의 초기 설정 및 안정화, 실리콘 웨이퍼 기판 상에의 필름의 증착, 기판 제거 전의 챔버의 퍼징/배기. 증착 후에, 필름은 UV 어닐링에 주어졌다. UV 어닐링은 광대역 UV 전구를 구비한 Fusion UV 시스템을 사용하여 수행되었으며, 웨이퍼는 <10 torr의 하나 이상의 압력 및 <400℃의 하나 이상의 온도에서 헬륨 가스 흐름 하에 고정되었다. 실험은 p-형 Si 웨이퍼(저항 범위= 8-12 Ohm-cm) 상에서 수행되었다.
두께 및 굴절율을 SCI FilmTek 2000 반사계 상에서 측정하였다. 유전상수는 중간-저항 p-형 웨이퍼(범위 8-12 ohm-cm) 상의 Hg 프로브 기술을 이용하여 측정되었다. FTIR 스펙트럼을 Nicholet Nexxus 470 분광계를 사용하여 측정하였다. 비교예 1 및 실시예 1에서, 기계적인 성질은 MTS Nano Indenter를 사용하여 측정되었다. 조성 데이터를 Physical Electronics 5000LS 상의 x-레이 광전자 분광법(XPS)에 의해서 얻었고 원자 중량 백분율로 제공한다. 표에 보고된 원자 중량%는 수소를 포함하지 않는다.
비교예 1: 디에톡시메틸실란(DEMS) 및 사이클로옥탄으로부터의 다공성 OSG 필름의 증착
구조 형성 DEMS 및 포로겐 전구체 사이클로옥탄의 복합 층을 200mm 가공을 위한 하기 공정 조건을 이용하여 증착시켰다. 전구체는 200 표준 입방 센티미터(sccm) CO2 캐리어 가스 흐름, 10 sccm O2, 350 밀리-인치 샤워헤드/웨이퍼 거리, 275℃ 웨이퍼 척 온도(wafer chuck temperature), 600 W 플라즈마가 인가되는 8 Torr 챔버 압력을 이용한 960 밀리그램/분(mg/min)의 사이클로옥탄 및 240 mg/min의 DEMS의 유량으로 직접적인 액체 주입을 통해서 반응 챔버에 전달되었다. 이어서, 생성되는 필름을 UV 어닐링하여 사이클로옥탄 포로겐을 제거하고 필름을 기계적으로 향상시켰다. 필름을 다양한 속성(예, 유전 상수(k), 탄성률(GPa) 및 원자 중량 백분율 탄소(%C))을 상기 기재된 바와 같이 얻었고 표 1에 나타낸다.
비교예 2: 1,1-디에톡시-1-실라사이클로부탄(DESCB) 및 사이클로옥탄으로부터의 다공성 OSG 필름의 증착
구조 형성 DESCB 및 포로겐 전구체 사이클로옥탄의 복합 층을 200mm 가공을 위한 하기 공정 조건을 이용하여 증착시켰다. 전구체는 200 표준 입방 센티미터(sccm) CO2 캐리어 가스 흐름, 20 sccm O2, 350 밀리-인치 샤워헤드/웨이퍼 거리, 250℃ 웨이퍼 척 온도, 700 W 플라즈마가 인가되는 8 Torr 챔버 압력을 이용한 1120 밀리그램/분(mg/min)의 사이클로옥탄 및 280 mg/min의 DESCB의 유량으로 직접적인 액체 주입을 통해서 반응 챔버에 전달되었다. 이어서, 생성되는 필름을 UV 어닐링하여 사이클로옥탄 포로겐을 제거하고 필름을 기계적으로 향상시켰다. 필름을 다양한 속성(예, 유전 상수(k), 탄성률(GPa) 및 원자 중량 백분율 탄소(%C))을 상기 기재된 바와 같이 얻었고 표 1에 나타낸다.
실시예 1: 1-메틸-1-에톡시-1-실라사이클로펜탄(MESCAP) 및 사이클로옥탄으로부터의 다공성 OSG 필름의 증착
구조 형성 MESCAP 및 포로겐 전구체 사이클로옥탄의 복합 층을 200mm 가공을 위한 하기 공정 조건을 이용하여 증착시켰다. 전구체는 960 mg/min 사이클로옥탄의 유량으로 직접적인 액체 주입(DLI)을 통해서 반응 챔버에 전달되며, 240 mgm의 MESCAP가 200 sccm CO2 캐리어 가스 흐름, 20 sccm O2, 350 밀리-인치 샤워헤드/웨이퍼 거리, 250℃ 웨이퍼 척 온도, 600 W 플라즈마가 인가되는 8 Torr 챔버 압력을 이용한 DLI를 통해서 챔버에 전달되었다. 이어서, 생성되는 필름을 UV 어닐링하여 포로겐을 제거하고 필름을 기계적으로 향상시켰다. 필름을 다양한 속성(예, 유전 상수(k), 탄성률(GPa) 및 원자 중량 백분율 탄소(%C))을 상기 기재된 바와 같이 얻었고 표 1에 나타낸다.
실시예 2: 1-메틸-1-이소프로폭시-1-실라사이클로펜탄 (MPSCAP) 및 사이클로옥탄으로부터의 다공성 OSG 필름의 증착
구조 형성 MPSCAP 및 포로겐 전구체 사이클로옥탄의 복합 층을 200mm 가공을 위한 하기 공정 조건을 이용하여 증착시켰다. 전구체는 840 mg/min 사이클로옥탄의 유량으로 직접적인 액체 주입(DLI)을 통해서 반응 챔버에 전달되며, 360 mgm의 MPSCAP가 200 sccm CO2 캐리어 가스 흐름, 20 sccm O2, 350 밀리-인치 샤워헤드/웨이퍼 거리, 250℃ 웨이퍼 척 온도, 700 W 플라즈마가 인가되는 8 Torr 챔버 압력을 이용한 DLI를 통해서 챔버에 전달되었다. 이어서, 생성되는 필름을 UV 어닐링하여 포로겐을 제거하고 필름을 기계적으로 향상시켰다. 필름을 다양한 속성(예, 유전 상수(k), 탄성률(GPa) 및 원자 중량 백분율 탄소(%C))을 상기 기재된 바와 같이 얻었고 표 1에 나타낸다.
표 1: DEMS, MESCAP, MPSCAP, 또는 DESCB를 포로겐 전구체로서 사이클로옥탄과 함께 사용하여 증착된 필름에 대한 필름 성질 비교
Figure 112017114511049-pat00007
표 2: 8 torr의 챔버 압력 및 250℃의 온도와 함께 다양한 조건하에 증착된 MESCAP/사이클로옥탄에 대한 필름 성질
Figure 112017114511049-pat00008
표 1 및 표 2는 구조 형성제로서의 MESCAP와 이소프로폭시 유도체 MPSCAP 및 사이클로옥탄 포로겐 전구체를 사용하여 제조된 필름이 DEMS 구조-형성 전구체 및 동일한 포로겐을 사용하여 제조된 필름에 비해서 증가된 양의 탄소 및 유사한 탄성률을 지님을 나타내고 있다. 표 1은 또한 1,1-디에톡시-1-실라사이클로부탄, 즉, 대칭 실라사이클릭 화합물이 구조 형성 전구체로서 사용되고, DEMS-증착된 필름보다 더 높은 %C를 지니지만, 더 낮은 기계적인 성질을 나타내는 비교예 2에 대한 데이터를 포함한다.
실시예 3: 구조 형성제로서의 DEMS, MESCAP, 및 MESCAP 및 경화 첨가제(HA) 및 포로겐 전구체로서의 사이클로옥탄을 사용한 유전 필름의 증착
특정의 실험은 Applied Materials Producer® SE 상에서 수행된 삼백(300)mm 웨이퍼 가공을 이용하였다. 상기 기재된 200 mm 가공과 같이, PECVD 공정은 일반적으로 하기 기본적인 단계를 포함한다: 가스 흐름의 초기 설정 및 안정화, 실리콘 웨이퍼 기판 상에의 필름의 증착, 기판 제거 전의 챔버의 퍼징/배기. 300 mm 증착을 TEOS 면판(AMAT part number: 0040-95475)로 구성된 Producer® SE Twin 낮은 k 챔버상에서 수행하였다. 챔버에는 Advanced Energy APEX 3013 RF 발생 장치(트윈 챔버 당 2개)가 구비되어 있다. 헬륨을 상기 Producer 상의 모든 증착을 위한 캐리어 가스로서 사용하였다. Producer® SE 낮은 k 챔버로부터의 증착된 대로의 필름을 < 10 Torr 압력의 하나 이상의 압력 및 ≤400℃의 하나 이상의 페데스탈 설정점 온도에서 아르곤 가스 하에 Producer® SE NanoCure™ UV 챔버 상에서 UV-경화시켰다. 실험은 p-형 Si 웨이퍼(저항 범위 = 8-12 Ohm-cm) 상에서 수행되었다.
두께 및 굴절율은 SCI FilmTek 2000 반사계 상에서 측정되었다. 실시예 3 및 300mm 필름의 경우에, 필름의 기계적인 성질은 Agilent G200 Nanoindenter(Agilent G200 나노압입 시험기)을 사용한 나노압입(nanoindentation)에 의해서 측정되었다. 압입은 연속 강성 측정(continuous stiffness measurement: CSM) 옵션을 이용하는 DCM 압입 시험기에 의한 50% 필름 두께의 최대 투과 깊이에 대해서 수행된다. 탄성률 및 경도 측정은 필름 두께의 10%로 보고된다. 추가로, 탄성률은 실리콘 기판의 탄성 영향에 대해서 보충된다. 기판 영향에 대한 이러한 보충 때문에, 보고된 탄성률은 Oliver-Pharr 분석 단독에 의해서 측정되는 값보다 대략 25% 더 적다. 300 mm 웨이퍼에 대한 FTIR 스펙트럼은 12 인치 웨이퍼를 취급하기 위한 Pike Technologies Map300을 구비한 Thermo Fisher Scientific Model iS 50 분광계를 사용하여 수집되었다. 200 mm 웨이퍼에 대한 FTIR 스펙트럼은 Nicolet Nexxus 470 분광계를 사용하여 측정되었다. 유전 상수는 열적 산화물 표준으로 교정된 Materials Development Corporation (MDC) 수은 프로브를 사용하여 수행되었다.
원자 조성은 복수의 채널 플레이트(Multiple Channel Plate: MCD) 및 집중형 Al 단색 X-선 공급원이 장착된 PHI 5000VersaProbe 분광계 상의 X-선 발광분광분석(XPS)을 이용하여 측정되었다. 벌크 조성이 Ar+ 스퍼터링에 의한 약 2000 Å의 제거 후에 200㎛ 면적에 대해서 시험된다. 표에 보고된 원자% 값은 수소를 포함하지 않는다.
다공성의 낮은 k 유전 필름의 일련의 증착은 500-700 W 플라즈마 파워, 5-9 torr 챔버 압력, 0.30 - 0.60 인치 전극 거리, 200-300℃ 기판 온도, 10-50 sccm O2 흐름, 200-400 sccm CO2 또는 He 캐리어 가스 흐름, 30:70 - 10:90 비의 OSG 전구체 대 사이클로옥탄 포로겐 전구체의 0.9 - 1.5 g/분의 전체 액체 흐름 범위의 다양한 공정 조건하에 200mm PECVD 반응기 상에서 구조 형성제로서의 DEMS 또는 MESCAP 및 포로겐 전구체로서의 사이클로옥탄을 사용하여 증착되었다. 탄소 함량은 본원에서 기재된 바와 같은 XPS에 의해서 측정되었다. 도 2는 상이한 유전 상수를 지니는 다공성의 낮은 k DEMS/사이클로옥탄과 MESCAP/사이클로옥탄 필름의 탄소 함량(%) 사이의 상호관계를 나타낸다. 도 2가 도시하고 있는 바와 같이, 종래 기술 또는 DEMS/사이클로옥탄의 다공성의 낮은 k 필름은 유전 상수가 약 ~2.3으로부터 약 ~2.8로 증가됨에 따라서 좁은 범위의 탄소 함량 또는 약 10 내지 약 20 원자%를 지녔다. 그에 반해서, 본원에 기재된 MESCAP/사이클로옥탄 필름은 동일한 유전 상수 범위에 대해서 더 넓은 범위의 탄소 함량 또는 약 9 내지 약 50 원자%를 지녔다. 이는 유사한 유전 상수 값을 위해서 다공성의 낮은 k 유전 필름을 증착시키기 위한 다른 종래 기술 구조 형성제에 비해서 본원에 기재된 알킬-알콕시실라사이클릭 화합물, 예컨대, MESCAP를 사용한 중요한 이점 중 하나를 예시하며, 알킬-알콕시실라사이클릭 전구체 MESCAP는 더 넓고 조정 가능한 범위의 탄소 함량을 허용한다.
표 3은 구조 형성제로서 DEMS, MESCAP, 또는 MESCAP와 테트라에틸 오르토실리케이트였던 경화 첨가제(HA)를 포함하는 조성물 및 포로겐 전구체로서 사이클로옥탄을 사용한 k = 2.4의 유전 상수를 지니는 다공성의 낮은 k 필름의 비교를 제공한다. 주어진 필름을 위한 가공 조건은 표 3에 제공된 높은 탄성률, 높은 탄소 함량, 또는 이들 둘 모두를 얻기 위해서 조정되었다. 모든 필름은 유전 상수에 부정적으로 영향을 주지 않으면서 최대의 기계적 강도를 달성시키기 위해서 소요되는 시간에 의해서 측정되는 바와 같은 표준 UV 경화 시간을 이용하여 가공되었다. DEMS/사이클로옥탄 필름에 비해서, 두 가지의 MESCAP/사이클로옥탄은 현저하게 더 큰 탄소 함량을 함유하면서 유사한 탄성률을 유지하였다. 추가로, 표 3에서의 MESCAP/사이클로옥탄 필름은, 주어진 유전 상수의 경우에, 조정 가능한 탄소 함량을 지닌 높은 탄성률 필름을 증착시키는 능력이 종래 기술의 구조 형성제, 예컨대, DEMS의 능력을 크게 초과함을 나타내고 있다. 또한, 주어진 부류의 필름(예, MESCAP/사이클로옥탄)의 경우에, 탄성률은 탄소함량이 증가함에 따라서 감소한다.
표 3: k = 2.4의 다공성의 낮은-k 필름.
Figure 112017114511049-pat00009
표 3은 또한 구조 형성제로서 MESCAP와 경화 첨가제(HA), 즉, 테트라에틸 오르토실리케이트의 혼합물 및 포로겐 전구체로서 사이클로옥탄을 사용한 두 가지의 다공성 k 필름을 제공하고 있다. 이러한 예에서, 구조 형성제는 중량으로 MESCAP와 경화 첨가제의 50:50 혼합물이었다. 표 3의 데이터를 참조하면, HA는 필름의 탄소 함량을 감소시키지만, 필름의 탄성률을 증가시켰다. 비교 DEMS/사이클로옥탄 필름에 비해서, 8.0 GPa의 탄성률을 지닌 k = 2.4 MESCAP/HA/사이클로옥탄 필름은 더 높은 탄성률(13% 증가) 및 더 많은 탄소 함량(8% 증가)를 보였다. 표 3에서의 다른 k=2.4, MESCAP/경화 첨가제/사이클로옥탄 필름은 9.2 GPa의 탄성률 및 11%의 탄소 함량을 나타낸다. 구조 형성제에 HA를 함유하는 후자의 필름은 비교 DEMS/사이클로옥탄 필름에 비해서 탄성률에서 유의한 증가(30% 증가)을 나타냈지만, 탄소 함량에서의 감소(8% 감소)를 나타냈다. 표 3에서의 예는 MESCAP의 고유하게 더 높은 탄소 함량이 구조 형성제로서의 명확한 이점을 제공하는데, 그 이유는 경화 첨가제가 탄소 함량에서의 유해한 손실 없이 구조 형성제 조성물에 도입될 수 있기 때문임을 예시하고 있다.
표 4는 구조 형성제로서 DEMS, MESCAP, 또는 MESCAP와 HA 또는 테트라에틸 오르토실리케이트를 포함하는 조성물 및 포로겐 전구체로서 사이클로옥탄을 사용한 k = 2.3의 유전 상수를 지니는 다공성의 낮은 k 필름의 비교를 제공한다. 주어진 필름을 위한 가공 조건은 표 4에 제공된 높은 탄성률, 높은 탄소 함량, 또는 이들 둘 모두를 얻기 위해서 조정되었다. 표 4에서의 첫 번째 컬럼은 5.7 GPa의 탄성률 및 24원자%의 탄소 함량을 지니는 MESCAP/사이클로옥탄 증착된 필름을 나타내고 있다. 표 4에서의 두 번째 컬럼은 6.0 GPa의 탄성률 및 17%의 탄소 함량을 지니는 MESCAP/사이클로옥탄 증착된 필름을 나타내고 있다. 이들 필름은 구조 형성제로서 MESCAP를 사용한 필름의 탄소 함량이 탄성률에 거의 영향을 주지 않으면서 17 내지 25 원자%로 조정될 수 있음을 예시하고 있다. 표 4에서의 세 번째 컬럼은 7.9 GPa의 탄성률 및 14%의 탄소 함량을 지니는 MESCAP/HA/사이클로옥탄 증착된 필름을 나타내고 있다. 후자의 예시적인 필름은 알킬-알콕시실라사이클릭 전구체 MESCAP의 사용이 기계적인 성질을 유지시키면서 필름 내의 비교적 더 높은 탄소 함량을 제공하거나 유지하는데, 그 이유은 HA가 탄소 함량에서의 유해한 손실 없이 조성물에 도입될 수 있기 때문임을 나타내고 있다.
표 4: k = 2.3의 다공성의 낮은-k 필름.
Figure 112017114511049-pat00010
표 5는 2.6의 유전 상수를 지니는 증착된 다공성의 낮은 k 필름을 위한 알킬-알콕시실라사이클릭 전구체 MESCAP의 고유한 이점의 추가 예를 제공하고 있다. 표 5에서의 첫 번째 컬럼은 11 GPa의 탄성률 및 11%의 탄소 함량을 지니는 DEMS/사이클로옥탄 필름을 나타내고 있다. 대조적으로, MESCAP/사이클로옥탄 필름은 동등한 탄성률(11GPa)을 나타냈지만, 15 원자%의 더 높은 탄소 함량(비교 DEMS 기반 필름에 비해서 36% 증가)을 나타냈다.
표 5. k = 2.6의 다공성의 낮은-k 필름.
Figure 112017114511049-pat00011
도 3은 포로겐 전구체로서 사이클로옥탄 및 구조-형성 전구체로서 MESCAP(
Figure 112017114511049-pat00012
)를 사용한 실시예 1 및 DEMS(
Figure 112017114511049-pat00013
)를 사용한 비교예 1에서와 같이 약 2.3의 유전 상수를 지닌 필름이 증착됨을 나타내고 있다. 두 필름 모두를 통합에서 보인 플라즈마 손상 조건을 만들기 위한 100 W 플라즈마 파워에서의 15초 NH3 플라즈마에 노출시켰다. 손상의 깊이는, XPS 깊이 프로파일링 스퍼터링(XPS depth profiling sputtering)에 의해서 검출되는 바와 같은, 필름으로부터 탄소가 제거된 깊이에 의해서 나타낸다. 도 3은 구조-형성 전구체로서 DEMS를 사용하여 증착된 필름에 비해서 구조-형성 전구체로서 MESCAP를 사용하여 증착된 필름의 경우에 더높은 탄소 함량이 유지되었음을 나타내고 있으며, MESCAP를 사용하여 증착된 필름의 경우에 필름으로부터 탄소가 제거된 깊이에 의해 나타낸 손상의 깊이가 더 작은음 나타내고 있다.
비록, 특정의 구체예 및 실례를 참조로 하여 상기 예시되고 기재되어 있지만, 본 발명은 그럼에도 불구하고 나타낸 상세사항으로 한정되는 것이 아니다. 오히려, 청구범위의 범위 및 균등물 범위 내의 상세사항에서 그리고 본 발명의 사상을 벗어남이 없이 다양한 변화가 이루어질 수 있다. 예를 들어, 본 명세서에서 넓게 열거된 모든 범위가 더 넓은 범위 내에 오는 모든 더 좁은 범위를 이들의 범위내에 포함함을 명시적으로 의도한다.

Claims (21)

  1. 유전 필름의 기상 증착을 위한 조성물로서, 하기 화학식(I)의 구조를 지니는 알킬-알콕시실라사이클릭 화합물을 포함하고, 할라이드, 물 및 이들의 조합물로 이루어진 군으로부터 선택되는 하나 이상의 불순물이, 존재하는 경우, 100 ppm 또는 그 미만의 농도로 존재하거나, 상기 불순물을 함유하지 않는 조성물:
    Figure 112020036625255-pat00015

    (I)
    상기 식에서,
    R1은 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C2 내지 C10 알케닐기, 선형 또는 분지형 C2 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 헤테로-사이클릭 알킬기, C5 내지 C10 아릴기, 및 C3 내지 C10 헤테로-아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C2 내지 C10 알케닐기, 선형 또는 분지형 C2 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 헤테로-사이클릭 알킬기, C5 내지 C10 아릴기, 및 C3 내지 C10 헤테로-아릴기로부터 선택되고;
    R3은 Si 원자와 함께 4-원, 5-원, 또는 6-원 사이클릭 고리를 형성하는 C3 내지 C10 알킬 디-라디칼로부터 선택된다.
  2. 제1항에 있어서, 실라사이클릭 화합물이 1-메틸-1-메톡시-1-실라사이클로펜탄, 1-메틸-1-에톡시-1-실라사이클로펜탄, 1-메틸-1-프로폭시-1-실라사이클로펜탄, 1-메톡시-1-실라사이클로펜탄, 1-에톡시-1-실라사이클로펜탄, 1-메틸-1-메톡시-1-실라사이클로부탄, 1-메틸-1-에톡시-1-실라사이클로부탄, 1-메톡시-1-실라사이클로부탄, 1-에톡시-1-실라사이클로부탄, 1-메틸-1-메톡시-1-실라사이클로헥산, 1-메틸-1-에톡시-1-실라사이클로헥산, 1-메틸-1-이소프로폭시-1-실라사이클로펜탄, 1-메틸-1-이소프로폭시-1-실라사이클로부탄, 1-메틸-1-이소프로폭시-1-실라사이클로헥산, 1-이소프로폭시-1-실라사이클로펜탄, 1-이소프로폭시-1-실라사이클로부탄, 1-이소프로폭시-1-실라사이클로헥산 및 이들의 조합물로 이루어진 군으로부터 선택되는 하나 이상을 포함하는 조성물.
  3. 제1항에 있어서, 할라이드가 클로라이드 이온인 조성물.
  4. 제3항에 있어서, 클로라이드 이온이, 존재하는 경우, 50ppm 또는 그 미만의 농도로 존재하는, 조성물.
  5. 제3항에 있어서, 조성물이 클로라이드 이온을 함유하지 않는 조성물.
  6. 제4항에 있어서, 클로라이드 이온이, 존재하는 경우, 10ppm 또는 그 미만의 농도로 존재하는, 조성물.
  7. 제6항에 있어서, 클로라이드 이온이, 존재하는 경우, 5ppm 또는 그 미만의 농도로 존재하는, 조성물.
  8. 제2항에 있어서, 실라사이클릭 화합물이 1-메틸-1-이소프로폭시-1-실라사이클로펜탄을 포함하는 조성물.
  9. 제2항에 있어서, 실라사이클릭 화합물이 1-메틸-1-에톡시-1-실라사이클로펜탄을 포함하는 조성물.
  10. 제8항에 있어서, 할라이드가 클로라이드 이온인 조성물.
  11. 제10항에 있어서, 클로라이드 이온이, 존재하는 경우, 50ppm 또는 그 미만의 농도로 존재하는, 조성물.
  12. 제11항에 있어서, 클로라이드 이온이, 존재하는 경우, 10ppm 또는 그 미만의 농도로 존재하는, 조성물.
  13. 제12항에 있어서, 클로라이드 이온이, 존재하는 경우, 5ppm 또는 그 미만의 농도로 존재하는, 조성물.
  14. 제10항에 있어서, 조성물이 클로라이드 이온을 함유하지 않는 조성물.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
KR1020170153865A 2014-06-16 2017-11-17 알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법 KR102183028B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462012724P 2014-06-16 2014-06-16
US62/012,724 2014-06-16
US14/732,250 2015-06-05
US14/732,250 US9922818B2 (en) 2014-06-16 2015-06-05 Alkyl-alkoxysilacyclic compounds

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150083612A Division KR101800819B1 (ko) 2014-06-16 2015-06-12 화학식(i)로 표시되는 화합물 및 이를 사용하여 필름을 증착시키는 방법

Publications (2)

Publication Number Publication Date
KR20170130328A KR20170130328A (ko) 2017-11-28
KR102183028B1 true KR102183028B1 (ko) 2020-11-25

Family

ID=53433091

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150083612A KR101800819B1 (ko) 2014-06-16 2015-06-12 화학식(i)로 표시되는 화합물 및 이를 사용하여 필름을 증착시키는 방법
KR1020170153865A KR102183028B1 (ko) 2014-06-16 2017-11-17 알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150083612A KR101800819B1 (ko) 2014-06-16 2015-06-12 화학식(i)로 표시되는 화합물 및 이를 사용하여 필름을 증착시키는 방법

Country Status (7)

Country Link
US (2) US9922818B2 (ko)
EP (2) EP2958135B1 (ko)
JP (3) JP6426538B2 (ko)
KR (2) KR101800819B1 (ko)
CN (1) CN105177524B (ko)
SG (1) SG10201504707WA (ko)
TW (2) TWI649445B (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111051568A (zh) * 2017-08-30 2020-04-21 弗萨姆材料美国有限责任公司 烷氧基硅杂环状或酰氧基硅杂环状化合物和使用其沉积膜的方法
US20190134663A1 (en) * 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
WO2019187337A1 (ja) 2018-03-28 2019-10-03 株式会社明電舎 酸化膜形成方法
WO2019241763A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane compositions and methods for using the compositions to deposit silicon containing films
WO2019246061A1 (en) * 2018-06-19 2019-12-26 Versum Materials Us, Llc Silicon compounds and methods for depositing films using same
KR102373339B1 (ko) * 2018-08-10 2022-03-10 버슘머트리얼즈 유에스, 엘엘씨 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
CN110952074B (zh) * 2018-08-10 2023-06-13 弗萨姆材料美国有限责任公司 硅化合物和使用硅化合物沉积膜的方法
US11043374B2 (en) * 2018-08-24 2021-06-22 Versum Materials Us, Llc Silacycloalkane compounds and methods for depositing silicon containing films using same
WO2020112782A1 (en) * 2018-11-27 2020-06-04 Versum Materials Us, Llc 1-methyl-1-iso-propoxy-silacycloalkanes and dense organosilica films made therefrom
JP7110090B2 (ja) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 基板処理方法および基板処理システム
JP6993394B2 (ja) * 2019-08-06 2022-02-21 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法
WO2021050798A1 (en) * 2019-09-13 2021-03-18 Versum Materials Us, Llc Monoalkoxysilanes and dialkoxysilanes and dense organosilica films made therefrom
JP2023521607A (ja) 2020-03-31 2023-05-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高い弾性率を有する膜を堆積するための新規な前駆体
WO2022066508A1 (en) * 2020-09-22 2022-03-31 Versum Materials Us, Llc Additives to enhance the properties of dielectric films

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009272632A (ja) * 2008-05-05 2009-11-19 Air Products & Chemicals Inc ポロゲン、ポロゲン化前駆体、および低誘電定数を有する多孔性有機シリカガラスフィルムを得るためにそれらを用いる方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08191104A (ja) 1995-01-11 1996-07-23 Hitachi Ltd 半導体集積回路装置およびその製造方法
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
JP3931409B2 (ja) * 1997-03-11 2007-06-13 チッソ株式会社 シラシクロペンタジエン誘導体
US6312814B1 (en) 1997-09-09 2001-11-06 E. I. Du Pont De Nemours And Company Fluoropolymer laminate
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP2004161877A (ja) * 2002-11-13 2004-06-10 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
US7309658B2 (en) * 2004-11-22 2007-12-18 Intermolecular, Inc. Molecular self-assembly in substrate processing
JP4711733B2 (ja) * 2005-05-12 2011-06-29 株式会社Adeka 酸化珪素系薄膜の製造方法
US20070287849A1 (en) * 2006-06-13 2007-12-13 Air Products And Chemicals, Inc. Low-Impurity Organosilicon Product As Precursor For CVD
US20080009141A1 (en) 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
WO2008033980A2 (en) 2006-09-14 2008-03-20 Starfire Systems, Inc. Synthetic process for cyclic organosilanes
CN101312129A (zh) * 2007-02-15 2008-11-26 气体产品与化学公司 提高介电膜的材料性能的活化化学方法
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US8253129B2 (en) * 2007-11-16 2012-08-28 Idemitsu Kosan Co., Ltd. Benzochrysene derivative and an organic electroluminescence device using the same
WO2009081774A1 (ja) * 2007-12-20 2009-07-02 Idemitsu Kosan Co., Ltd. ベンズアントラセン化合物及びそれを用いた有機エレクトロルミネッセンス素子
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
KR101929837B1 (ko) * 2008-10-07 2018-12-18 유디씨 아일랜드 리미티드 축합환계로 치환된 실롤 및 유기전자소자에서의 그 용도
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US8753986B2 (en) 2009-12-23 2014-06-17 Air Products And Chemicals, Inc. Low k precursors providing superior integration attributes
CN102770580A (zh) * 2010-02-25 2012-11-07 应用材料公司 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料
KR20130032199A (ko) * 2011-09-22 2013-04-01 정현담 양자우물 구조의 유-무기 혼성 고분자
US8968864B2 (en) * 2011-09-23 2015-03-03 Imec Sealed porous materials, methods for making them, and semiconductor devices comprising them
US8753985B2 (en) 2012-01-17 2014-06-17 Applied Materials, Inc. Molecular layer deposition of silicon carbide
US20130260575A1 (en) 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009272632A (ja) * 2008-05-05 2009-11-19 Air Products & Chemicals Inc ポロゲン、ポロゲン化前駆体、および低誘電定数を有する多孔性有機シリカガラスフィルムを得るためにそれらを用いる方法

Also Published As

Publication number Publication date
JP2018201037A (ja) 2018-12-20
US20180233355A1 (en) 2018-08-16
KR101800819B1 (ko) 2017-12-21
JP2016005001A (ja) 2016-01-12
US10395920B2 (en) 2019-08-27
US20150364321A1 (en) 2015-12-17
TWI597380B (zh) 2017-09-01
KR20170130328A (ko) 2017-11-28
TW201600625A (zh) 2016-01-01
SG10201504707WA (en) 2016-01-28
CN105177524A (zh) 2015-12-23
JP2021073704A (ja) 2021-05-13
TWI649445B (zh) 2019-02-01
US9922818B2 (en) 2018-03-20
TW201741485A (zh) 2017-12-01
EP3358602A1 (en) 2018-08-08
JP6426538B2 (ja) 2018-11-21
CN105177524B (zh) 2019-08-02
EP2958135A1 (en) 2015-12-23
JP7032266B2 (ja) 2022-03-08
KR20150144284A (ko) 2015-12-24
EP2958135B1 (en) 2018-03-28

Similar Documents

Publication Publication Date Title
KR102183028B1 (ko) 알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법
US11158498B2 (en) Silicon compounds and methods for depositing films using same
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
US20210339280A1 (en) Silacyclic compounds and methods for depositing silicon-containing films using same
US11043374B2 (en) Silacycloalkane compounds and methods for depositing silicon containing films using same
KR102409869B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
US20200048286A1 (en) Silicon compounds and methods for depositing films using same
EP3872223A2 (en) Silicon compounds and methods for depositing films using same

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant