US20200048286A1 - Silicon compounds and methods for depositing films using same - Google Patents

Silicon compounds and methods for depositing films using same Download PDF

Info

Publication number
US20200048286A1
US20200048286A1 US16/657,105 US201916657105A US2020048286A1 US 20200048286 A1 US20200048286 A1 US 20200048286A1 US 201916657105 A US201916657105 A US 201916657105A US 2020048286 A1 US2020048286 A1 US 2020048286A1
Authority
US
United States
Prior art keywords
oxa
group
silacyclohexane
branched
linear
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/657,105
Inventor
Manchao Xiao
Raymond Nicholas Vrtis
Robert Gordon Ridgeway
William Robert Entley
Jennifer Lynn Anne Achtyl
Xinjian Lei
Daniel P. Spence
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to US16/657,105 priority Critical patent/US20200048286A1/en
Publication of US20200048286A1 publication Critical patent/US20200048286A1/en
Priority to US18/064,115 priority patent/US20230123377A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/1892Preparation; Treatments not provided for in C07F7/20 by reactions not provided for in C07F7/1876 - C07F7/1888
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/487Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using electron radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Definitions

  • low dielectric constant films produced by the compositions and methods described herein can be used, for example, as insulating layers in electronic devices.
  • the electronics industry utilizes dielectric materials as insulating layers between circuits and components of integrated circuits (IC) and associated electronic devices.
  • Line dimensions are being reduced in order to increase the speed and memory storage capability of microelectronic devices (e.g., computer chips).
  • microelectronic devices e.g., computer chips
  • ILD interlayer dielectric
  • This organosilica glass is typically deposited as a dense film (density ⁇ 1.5 g/cm 3 ) from an organosilicon precursor, such as a methylsilane or siloxane, and an oxidant, such as O 2 or N 2 O.
  • Organosilica glass will herein be referred to as OSG.
  • OSG the mechanical strength of the films, such as Hardness (H) and Elastic Modulus (EM) of the films, tend to decrease rapidly as the dielectric constant is reduced.
  • a challenge which has been recognized in the industry, is that films with lower dielectric constants typically have lower mechanical strength, which leads to enhanced defects in the narrow pitch films such as delamination, buckling, increased electromigration such as that observed for conductive lines made from copper embedded in dielectric films with reduced mechanical properties. Such defects can cause premature breakdown of the dielectric or voiding of the conductive copper lines causing premature device failure.
  • Carbon depletion in the OSG films can also cause one or more of the following problems: an increase in the dielectric constant of the film; film etching and feature bowing during wet cleaning steps; moisture absorption into the film due to loss of hydrophobicity, patter collapse of fine features during the wet clean steps after pattern etch and/or integration issues when depositing subsequent layers such as, without limitation, copper diffusion barriers, for example Ta/TaN or advanced Co or MnN barrier layers.
  • bridged precursors generally have very high boiling points due to the increased molecular weight from having two silicon groups.
  • the increased boiling point may negatively impact the manufacturing process by making it difficult to deliver the chemical precursor into the reaction chamber as a gas phase reagent without condensing it in the vapor delivery line or process pump exhaust.
  • the method and composition described herein fulfill one or more needs described above.
  • the method and composition described herein use at least one silicon compound(s) such as, for example, 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane or 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane, as a silicon precursor which can be used as deposited to provide a low-k interlayer dielectric, or can be subsequently treated with thermal, plasma or UV energy sources to change the film properties to, for example, provide chemical crosslinking to enhance mechanical strength.
  • the films deposited using the silicon compounds described herein as the silicon precursor(s) comprise a relatively higher amount of carbon.
  • the silicon compound(s) described herein have a lower molecular weight (Mw) relative to prior art silicon precursors such as bridged precursors, (e.g., alkoxysilaneprecursors) which by nature of having 2 silicon groups have a higher MW and higher boiling points, thereby making the silicon precursors having boiling points 250° C. or less, more preferably 200° C. or less. described herein more convenient to process, for example, in a high volume manufacturing process.
  • Mw molecular weight
  • the film comprises a higher carbon content (10-40%) as measured by X-ray photospectrometry (XPS) and exhibits a decreased depth of carbon removal when exposed to, for example an O 2 or NH 3 plasma as measured by examining the carbon content determined by XPS depth profiling.
  • XPS X-ray photospectrometry
  • a chemical vapor deposition method for producing a dielectric film comprising: providing a substrate into a reaction chamber; introducing gaseous reagents into the reaction chamber wherein the gaseous reagents comprise a silicon precursor comprising an silicon compound having the structure of Formula I:
  • R 1 is selected from the group consisting of hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 hetero-cyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 hetero-aryl group; and R 2 is a C 2 to C 4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional alkyl substituents bonded to the ring, R 3 is selected from the group consisting of a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkyny
  • composition for a vapor deposition of a dielectric film comprising a silicon compound having the following Formula I:
  • R 1 is selected from the group consisting of hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 hetero-cyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 hetero-aryl group;
  • R 2 is a C 2 to C 4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional C 1 to C e alkyl substituents
  • R 3 is selected from the group consisting of a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkyn
  • the composition is substantially free of at least one impurity selected from the group consisting of halides, organosilanes, and water.
  • R 1 is selected from the group consisting of hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 hetero-cyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 hetero-aryl group;
  • R 3 is selected from the group consisting of a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 hetero-cyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 hetero-aryl group, and alkoxy OR 4 wherein
  • Described herein is a chemical vapor deposition method for producing a dielectric film, comprising: providing a substrate into a reaction chamber; introducing gaseous reagents into the reaction chamber wherein the gaseous reagents comprise a silicon precursor comprising an silicon compound having the structure of Formula I:
  • R 1 is selected from the group consisting of hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 hetero-cyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 hetero-aryl group; and R 2 is a C 2 to C 4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional alkyl substituents bonded to the ring, R 3 is selected from the group consisting of a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkyny
  • the silicon compounds described herein provide unique attributes that make it possible for one to incorporate more carbon content in the dielectric film with minor impact on the mechanical properties of the low k dielectric film compared to prior art structure forming precursors such as diethoxymethylsilane (DEMS).
  • DEMS has a mixed ligand system, which includes two alkoxy groups, one silicon-methyl (Si-Me) and one silicon-hydride which offers a balance of reactive sites and allows for the formation of more mechanically robust films while retaining the desired dielectric constant.
  • the use of the silicon compounds offers the advantages that there are no silicon-methyl groups in the precursor which tend to lower the mechanical strength, while the carbon in the silacyclic ring provides carbon to the OSG film to lower the dielectric constant and imbue hydrophobicity.
  • the low k dielectric films are organosilica glass (“OSG”) films or materials. Organosilicates are candidates for low k materials. Since the type of organosilicon precursor has a strong effect upon the film structure and composition, it is beneficial to use precursors that provide the required film properties to ensure that the addition of the needed amount of carbon to reach the desired dielectric constant does not produce films that are mechanically unsound.
  • the method and composition described herein provides the means to generate low k dielectric films that have a desirable balance of electrical and mechanical properties as well as other beneficial film properties as high carbon content to provide improved integration plasma damage resistance.
  • a layer of silicon-containing dielectric material is deposited on at a least a portion of a substrate via a chemical vapor deposition (CVD) or plasma enhanced chemical vapor deposition (PECVD) process, preferably a PECVD process, employing a reaction chamber.
  • Suitable substrates include, but are not limited to, semiconductor materials such as gallium arsenide (“GaAs”), silicon, and compositions containing silicon such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, silicon dioxide (“SiO 2 ”), silicon glass, silicon nitride, fused silica, glass, quartz, borosilicate glass, and combinations thereof.
  • the substrate may have additional layers such as, for example, silicon, SiO 2 , organosilicate glass (OSG), fluorinated silicate glass (FSG), boron carbonitride, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, organic-inorganic composite materials, photoresists, organic polymers, porous organic and inorganic materials and composites, metal oxides such as aluminum oxide, and germanium oxide.
  • OSG organosilicate glass
  • FSG fluorinated silicate glass
  • Still further layers can also be germanosilicates, aluminosilicates, copper and aluminum, and diffusion barrier materials such as, but not limited to, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN.
  • the layer of silicon-containing dielectric material is deposited on at least a portion of the substrate by introducing into the reaction chamber gaseous reagents including at least one silicon precursor comprising a silicon compound without a porogen precursor. In another embodiments, the layer of silicon-containing dielectric material is deposited on at least a portion of the substrate by introducing into the reaction chamber gaseous reagents including at least one silicon precursor comprising a silicon compound with a hardening additive.
  • R 1 is selected from the group consisting of hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 hetero-cyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 hetero-aryl group; and R 2 is a C 2 to C 4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional alkyl substituents bonded to the ring, R 3 is selected from the group consisting of a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkyny
  • alkyl denotes a linear or branched functional group having from 1 to 10 carbon atoms.
  • Exemplary linear alkyl groups include, but are not limited to, methyl, ethyl, n-propyl, butyl, pentyl, and hexyl groups.
  • Exemplary branched alkyl groups include, but are not limited to, iso-propyl, iso-butyl, sec-butyl, tert-butyl, iso-pentyl, tert-pentyl, iso-hexyl, and neo-hexyl.
  • the alkyl group may have one or more functional groups attached thereto such as, but not limited to, an alkoxy group such as methoxy, ethoxy, iso-propoxy, and n-propoxy, a dialkylamino group such as dimethylamino or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto.
  • the alkyl group may be saturated or, alternatively, unsaturated.
  • cyclic alkyl denotes a cyclic functional group having from 3 to 10 carbon atoms.
  • exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups.
  • hetero-cyclic denotes a C 3 to C 10 hetero-cyclic alkyl group such as an epoxy group.
  • alkenyl group denotes a group which has one or more carbon-carbon double bonds and has from 2 to 10 or from 2 to 10 or from 2 to 6 carbon atoms.
  • alkynyl group denotes a group which has one or more carbon-carbon triple bonds and has from 3 to 10 or from 2 to 10 or from 2 to 6 carbon atoms.
  • aryl denotes an aromatic cyclic functional group having from 5 to 10 carbon atoms, or from 6 to 10 carbon atoms.
  • exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, and o-xylyl.
  • hetero-aryl denotes a C 3 to C 10 hetero-cyclic aryl group 1,2,3-triazolyl, pyrrrolyl, and furanyl.
  • R 2 is a C 3 to C 10 alkyl di-radical which forms a four-membered, five-membered, or six-membered cyclic ring with the Si and oxygen atoms.
  • R 2 is a substituted or unsubstituted hydrocarbon chain which links with the Si and oxygen atoms to together form a ring in Formula I wherein the ring is a four-membered, five-membered, or six-membered ring.
  • the ring structure may be a saturated ring such as, for example, a cyclic alkyl ring.
  • Exemplary saturated rings include, but are not limited to, silacyclobutane, silacyclopentane, and silacyclohexane, preferably silacyclopentane or alkyl such as methyl substituted silacylcopentane.
  • alkoxy refers a group derived from an alcohol having at least one carbon atom.
  • exemplary alkoxy groups include, but are not limited to, methoxy, ethoxy, iso-propoxy, normal-propoxy.
  • oxygen source refers to a gas comprising oxygen (O 2 ), a mixture of oxygen and helium, a mixture of oxygen and argon, carbon dioxide, carbon monoxide and combination thereof.
  • R 1 is selected from the group consisting of hydrogen, methyl, and ethyl
  • R 3 is selected from the group consisting of methyl, ethyl, isopropyl, n-propyl, methoxy, ethoxy, iso-propoxy, and n-propoxy
  • R 2 forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms.
  • the four-membered, five-membered, or six-membered saturated cyclic ring with the Si atom may have at least one alkyl substituents such as methyl group on the ring structure. Examples of these embodiments are as follows:
  • the silicon compounds having Formula I can be synthesized by, for example, hydrosilylation of alkoxysilane with unsaturated alcohol in presence of a catalyst, followed by cyclization to produce 1-oxa-2-silacycloalkanes having a five-membered, or six-membered saturated cyclic ring with or without solvent (e.g., Equation (1) and (2) with a yield of 70% or higher, preferably 80% of higher. Examples of synthesis routes are shown below:
  • R 1 , R 3 , and R 4 are same as described aforementioned;
  • R 5-8 are selected from the group consisting of hydrogen, a linear or branched C 1 to C 10 alkyl group, preferably hydrogen or methyl.
  • the silicon compounds described herein and methods and compositions comprising same are preferably substantially free of one or more impurities such as without limitation, halide ions and water.
  • impurities such as without limitation, halide ions and water.
  • the term “substantially free” as it relates to each impurity means 100 parts per million (ppm) or less, 50 ppm or less, 10 ppm or less, 5 ppm or less, and 1 ppm of less of each impurities such as without limitation, chloride or water.
  • the silicon compounds having Formula I according to the present invention and compositions comprising the silicon precursor compounds having Formula I according to the present invention are preferably substantially free of halide.
  • halide ions or halides
  • chlorides i.e. chloride-containing species such as HCl or silicon compounds having at least one Si—Cl bond
  • fluorides bromides, and iodides
  • ICP-MS i.e. chloride-containing species such as HCl or silicon compounds having at least one Si—Cl bond
  • fluorides, bromides, and iodides means less than 5 ppm (by weight) measured by ICP-MS, preferably less than 3 ppm measured by ICP-MS, and more preferably less than 1 ppm measured by ICP-MS, and most preferably 0 ppm measured by ICP-MS.
  • Chlorides are known to act as decomposition catalysts for the silicon compounds having Formulae I. Significant levels of chloride in the final product can cause the silicon precursor compounds to degrade. The gradual degradation of the silicon compounds may directly impact the film deposition process making it difficult for the semiconductor manufacturer to meet film specifications. In addition, the shelf-life or stability is negatively impacted by the higher degradation rate of the silicon compounds having Formulae I thereby making it difficult to guarantee a 1-2 year shelf-life. Therefore, the accelerated decomposition of the silicon compounds having Formulae I presents safety and performance concerns related to the formation of these flammable and/or pyrophoric gaseous byproducts.
  • the silicon compounds having Formula I are preferably substantially free of metal ions such as, Li + , Na + , K + , Mg 2+ , Ca 2+ , Al 3+ , Fe 2+ , Fe 2+ , Fe 3+ , Ni 2+ , Cr 3+ .
  • metal ions such as, Li + , Na + , K + , Mg 2+ , Ca 2+ , Al 3+ , Fe 2+ , Fe 2+ , Fe 3+ , Ni 2+ , Cr 3+ .
  • the term “substantially free” as it relates to Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr means less than 5 ppm (by weight), preferably less than 3 ppm, and more preferably less than 1 ppm, and most preferably 0.1 ppm as measured by ICP-MS.
  • the silicon compounds having Formulae I or IA are free of metal ions such as, Li + , Na + , K + , Mg 2+ , Ca 2+ , Al 3+ , Fe 2+ , Fe 2+ , Fe 3+ , Ni 2+ , Cr 3+ .
  • the term “free of” metal impurities as it relates to Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr, noble metal such as volatile Ru or Pt complexes from ruthenium or platinum catalysts used in the synthesis means less than 1 ppm, preferably 0.1 ppm (by weight) as measured by ICP-MS or other analytical method for measuring metals.
  • the silicon compounds having Formula I are preferably also substantially free of water or organosilane impurities such as alkoxysilanes from starting materials or by-products from synthesis, as used herein, the term “substantially free” as it relates to water is less than 100 ppm (by weight), preferably less than 50 ppm, and more preferably less than 10 ppm; the sum of all organosilane impurities such as methyltriethyoxysilane or dimethyldiethoxysilane analyzed by gas chromatography (GC) is less than 1.0 wt. %, preferably less than 0.5 wt. %, and preferably less than 0.1 wt. %.
  • GC gas chromatography
  • compositions according to the present invention that are substantially free of halides can be achieved by (1) reducing or eliminating chloride sources during chemical synthesis, and/or (2) implementing an effective purification process to remove chloride from the crude product such that the final purified product is substantially free of chlorides.
  • Chloride sources may be reduced during synthesis by using reagents that do not contain halides such as chlorosilanes, bromosilanes, or iodosilanes thereby avoiding the production of by-products that contain halide ions.
  • the aforementioned reagents should be substantially free of chloride impurities such that the resulting crude product is substantially free of chloride impurities.
  • the synthesis should not use halide based solvents, catalysts, or solvents which contain unacceptably high levels of halide contamination.
  • the crude product may also be treated by various purification methods to render the final product substantially free of halides such as chlorides.
  • Such methods are well described in the prior art and, may include, but are not limited to, purification processes such as distillation, or adsorption. Distillation is commonly used to separate impurities from the desired product by exploiting differences in boiling point.
  • Adsorption may also be used to take advantage of the differential adsorptive properties of the components to effect separation such that the final product is substantially free of halide.
  • Adsorbents such as, for example, commercially available MgO—Al 2 O 3 blends can be used to remove halides such as chloride.
  • silicon-containing silicon precursors such as, for example DEMS
  • a silicon compound having the Formula I polymerizes to form a structure where, some of the —O-bridge in the backbone is replaced with a —CH 2 — methylene or —CH 2 CH 2 — ethylene bridge(s).
  • the structure forming precursor further comprises a hardening additive which will increase the mechanical strength.
  • hardening additives include tetraalkoxysilanes (Si(OR 9 ) wherein R 9 is selected from the group consisting of a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 hetero-cyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 hetero-aryl group, such as for example, tetraethoxysilane (TEOS) or tetramethoxysilane (TMOS).
  • TEOS tetraethoxysilane
  • TMOS tetramethoxysilane
  • the composition of the structure forming portion comprises from about 30 to about 95 weight percent structure forming precursor comprising the alky-alkoxysilacyclic compound(s) having Formula I; from about 5 to about 70 weight percent of hardening additive; and about 40 to about 95 weight percent of the total precursor flow of porogen precursor such as alpha terpinene or cyclooctane.
  • gaseous reagents is sometimes used herein to describe the reagents, the phrase is intended to encompass reagents delivered directly as a gas to the reactor, delivered as a vaporized liquid, a sublimed solid and/or transported by an inert carrier gas into the reactor.
  • the reagents can be carried into the reactor separately from distinct sources or as a mixture.
  • the reagents can be delivered to the reactor system by any number of means, preferably using a pressurizable stainless steel vessel fitted with the proper valves and fittings to allow the delivery of liquid to the process reactor.
  • additional materials can be introduced into the reaction chamber prior to, during and/or after the deposition reaction.
  • Such materials include, e.g., inert gas (e.g., He, Ar, N 2 , Kr, Xe, etc., which may be employed as a carrier gas for lesser volatile precursors and/or which can promote the curing of the as-deposited materials and provide a more stable final film) and reactive substances, such as oxygen-containing species such as, for example, O 2 , O 3 , and N 2 O, gaseous or liquid organic substances, NH 3 , H 2 , CO 2 , or CO.
  • inert gas e.g., He, Ar, N 2 , Kr, Xe, etc.
  • reactive substances such as oxygen-containing species such as, for example, O 2 , O 3 , and N 2 O, gaseous or liquid organic substances, NH 3 , H 2 , CO 2 , or CO.
  • the reaction mixture introduced into the reaction chamber comprises the at least one oxidant selected from the group consisting of O 2 , N 2 O, NO, NO 2 , CO 2 , water, H 2 O 2 , ozone, and combinations thereof.
  • the reaction mixture does not comprise an oxidant.
  • Energy is applied to the gaseous reagents to induce the gases to react and to form the film on the substrate.
  • energy can be provided by, e.g., plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, remote plasma, hot filament, and thermal (i.e., non-filament) and methods.
  • a secondary rf frequency source can be used to modify the plasma characteristics at the substrate surface.
  • the film is formed by plasma enhanced chemical vapor deposition (“PECVD”).
  • the flow rate for each of the gaseous reagents preferably ranges from 10 to 5000 sccm, more preferably from 30 to 1000 sccm, per single 200 mm wafer.
  • the individual rates are selected so as to provide the desired amounts of silicon, carbon, and oxygen in the film.
  • the actual flow rates needed may depend upon wafer size and chamber configuration, and are in no way limited to 200 mm wafers or single wafer chambers.
  • the film is deposited at a deposition rate of about 50 nanometers (nm) per minute.
  • the pressure in the reaction chamber during deposition ranges from about 0.01 to about 600 torr or from about 1 to 15 torr.
  • the film is preferably deposited to a thickness of 0.002 to 10 microns, although the thickness can be varied as required.
  • the blanket film deposited on a non-patterned surface has excellent uniformity, with a variation in thickness of less than 2% over 1 standard deviation across the substrate with a reasonable edge exclusion, wherein, e.g., a 5 mm outermost edge of the substrate is not included in the statistical calculation of uniformity.
  • Preferred embodiments of the invention provide a thin film material having a low dielectric constant and improved mechanical properties, thermal stability, and chemical resistance (to oxygen, aqueous oxidizing environments, etc.) relative to other porous low k dielectric films deposited using other structure forming precursors known in the art.
  • the structure forming precursors described herein comprising the alky-alkoxysilacyclic compound(s) having Formula I provide a higher incorporation of carbon into the film of (preferably predominantly in the form of organic carbon, —CH x , where x is 1 to 3) whereby specific precursor or network-forming chemicals are used to deposit films.
  • the majority of the hydrogen in the film is bonded to carbon.
  • the low k dielectric films deposited according to the compositions and methods described herein comprise: (a) about 10 to about 35 atomic %, more preferably about 20 to about 30 atomic % silicon; (b) about 10 to about 65 atomic %, more preferably about 20 to about 45 atomic % oxygen; (c) about 10 to about 50 atomic %, more preferably about 15 to about 40 atomic % hydrogen; (d) about 5 to about 40 atomic %, more preferably about 10 to about 45 atomic % carbon. Films may also contain about 0.1 to about 15 atomic %, more preferably about 0.5 to about 7.0 atomic % fluorine, to improve one or more of materials properties. Lesser portions of other elements may also be present in certain films of the invention.
  • OSG materials are considered to be low k materials as their dielectric constant is less than that of the standard material traditionally used in the industry—silica glass.
  • Total porosity of the film may be from 0 to 15% or greater depending upon the process conditions and the desired final film properties.
  • Films of the invention preferably have a density of less than 2.3 g/ml, or alternatively, less than 2.0 g/ml or less than 1.8 g/ml.
  • Total porosity of the OSG film can be influenced by post deposition treatment including exposure to thermal or UV curing, plasma sources. Although the preferred embodiments of this invention do not include the addition of a porogen during film deposition, porosity can be induced by post deposition treatment such as UV curing. For example, UV treatment can result in porosity approaching from about 15 to about 20%, with preferably between from about 5 to about 10%.
  • Films of the invention may also contain fluorine, in the form of inorganic fluorine (e.g., Si—F). Fluorine, when present, is preferably contained in an amount ranging from about 0.5 to about 7 atomic %.
  • Films of the invention are thermally stable, with good chemical resistance.
  • preferred films after anneal have an average weight loss of less than 1.0 wt %/hr isothermal at 425° C. under N 2 .
  • the films preferably have an average weight loss of less than 1.0 wt %/hr isothermal at 425° C. under air.
  • the films are suitable for a variety of uses.
  • the films are particularly suitable for deposition on a semiconductor substrate, and are particularly suitable for use as, e.g., an insulation layer, an interlayer dielectric layer and/or an inter-metal dielectric layer.
  • the films can form a conformal coating.
  • the mechanical properties exhibited by these films make them particularly suitable for use in Al subtractive technology and Cu damascene or dual damascene technology.
  • the films are compatible with chemical mechanical planarization (CMP) and anisotropic etching, and are capable of adhering to a variety of materials, such as silicon, SiO 2 , Si 3 N 4 , OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, antireflective coatings, photoresists, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N TaN, Ta(C)N, Ta, W, WN or W(C)N.
  • the films are preferably capable of adhering to at least one of the foregoing materials sufficiently to pass a conventional pull test, such as ASTM D3359-95a tape pull test. A sample is considered to have passed the test if there is no discernible removal of film.
  • the film is an insulation layer, an interlayer dielectric layer, an inter-metal dielectric layer, a capping layer, a chemical-mechanical planarization (CMP) or etch stop layer, a barrier layer or an adhesion layer in an integrated circuit.
  • CMP chemical-mechanical planarization
  • the films described herein are uniformly deposited dielectric films, the films as used in a full integration structure may actually consist of several sandwiched layers with for example a thin layer at the bottom or top which contains little or no porogen being deposited, or a layer may be deposited under conditions where there is a lower porogen precursor flow ratio alternatively for example a layer may be deposited at higher plasma power such that not all the porogen precursor can be removed by UV treatment.
  • These sandwich layers may be utilized to enhance secondary integration properties such as for example adhesion, etch selectivity or electromigration performance.
  • the invention is particularly suitable for providing films and products of the invention are largely described herein as films, the invention is not limited thereto.
  • Products of the invention can be provided in any form capable of being deposited by CVD, such as coatings, multilaminar assemblies, and other types of objects that are not necessarily planar or thin, and a multitude of objects not necessarily used in integrated circuits.
  • the substrate is a semiconductor.
  • the present disclosure includes the process by which the products are made, methods of using the products and compounds and compositions useful for preparing the products.
  • a process for making an integrated circuit on a semiconductor device is disclosed in U.S. Pat. No. 6,583,049, which is herein incorporated by reference.
  • Compositions of the invention can further comprise, e.g., at least one pressurizable vessel (preferably of stainless steel) fitted with the proper valves and fittings to allow the delivery of hardening additive and the silicon precursor having Formula I such as DESCAP to the process reactor.
  • the contents of the vessel(s) can be premixed.
  • hardening additive and precursor can be maintained in separate vessels or in a single vessel having separation means for maintaining the hardening additive and precursor separate during storage.
  • Such vessels can also have means for mixing the porogen and precursor when desired.
  • the preliminary (or as-deposited) film can be further treated by a curing step, i.e., applying an additional energy source to the film, which can comprise thermal annealing, chemical treatment, in-situ or remote plasma treating, photocuring (e.g., UV) and/or microwaving.
  • an additional energy source can comprise thermal annealing, chemical treatment, in-situ or remote plasma treating, photocuring (e.g., UV) and/or microwaving.
  • Other in-situ or post-deposition treatments may be used to enhance material properties like hardness, stability (to shrinkage, to air exposure, to etching, to wet etching, etc.), integrity, uniformity and adhesion.
  • post-treating denotes treating the film with energy (e.g., thermal, plasma, photon, electron, microwave, etc.) or chemicals to remove porogens and, optionally, to enhance materials properties.
  • post-treating can be conducted under high pressure or under a vacuum ambient.
  • UV annealing is a preferred method of curing and is typically conducted under the following conditions.
  • the environment can be inert (e.g., nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, air, dilute oxygen environments, enriched oxygen environments, ozone, nitrous oxide, etc.) or reducing (dilute or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatics), etc.).
  • the pressure is preferably about 1 Torr to about 1000 Torr, more preferably atmospheric pressure.
  • a vacuum ambient is also possible for thermal annealing as well as any other post-treating means.
  • the temperature is preferably 200-500° C., and the temperature ramp rate is from 0.1 to 100 deg ° C./min.
  • the total UV annealing time is preferably from 0.01 min to 12 hours.
  • Supercritical fluid post-treatment for selective removal of porogens from an organosilicate film is conducted under the following conditions.
  • the fluid can be carbon dioxide, water, nitrous oxide, ethylene, SF 6 , and/or other types of chemicals.
  • Other chemicals can be added to the supercritical fluid to enhance the process.
  • the chemicals can be inert (e.g., nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, ozone, nitrous oxide, etc.), or reducing (e.g., dilute or concentrated hydrocarbons, hydrogen, a plasma comprising hydrogen etc.).
  • the temperature is preferably ambient to 500° C.
  • the chemicals can also include larger chemical species such as surfactants.
  • the total exposure time is preferably from 0.01 min to 12 hours.
  • Plasma treating for selective removal of labile groups and possible chemical modification of the OSG film is conducted under the following conditions.
  • the environment can be inert (nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, air, dilute oxygen environments, enriched oxygen environments, ozone, nitrous oxide, etc.), or reducing (e.g., dilute or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatics), etc.).
  • the plasma power is preferably 0-5000 W.
  • the temperature is preferably from about ambient to about 500° C.
  • the pressure is preferably 10 mtorr to atmospheric pressure.
  • the total curing time is preferably 0.01 min to 12 hours.
  • UV curing for chemical cross-linking of organosilicate film is typically conducted under the following conditions.
  • the environment can be inert (e.g., nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, air, dilute oxygen environments, enriched oxygen environments, ozone, nitrous oxide, etc.), or reducing (e.g., dilute or concentrated hydrocarbons, hydrogen, etc.).
  • the temperature is preferably from about ambient to about 500° C.
  • the power is preferably from 0 to about 5000 W.
  • the wavelength is preferably IR, visible, UV or deep UV (wavelengths ⁇ 200 nm).
  • the total UV curing time is preferably 0.01 min to 12 hours.
  • Microwave post-treatment of organosilicate film is typically conducted under the following conditions.
  • the environment can be inert (e.g., nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, air, dilute oxygen environments, enriched oxygen environments, ozone, nitrous oxide, etc.), or reducing (e.g., dilute or concentrated hydrocarbons, hydrogen, etc.).
  • the temperature is preferably from about ambient to about 500° C.
  • the power and wavelengths are varied and tunable to specific bonds.
  • the total curing time is preferably from 0.01 min to 12 hours.
  • Electron beam post-treatment for selective removal of porogens or specific chemical species from an organosilicate film and/or improvement of film properties is typically conducted under the following conditions.
  • the environment can be vacuum, inert (e.g., nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, air, dilute oxygen environments, enriched oxygen environments, ozone, nitrous oxide, etc.), or reducing (e.g., dilute or concentrated hydrocarbons, hydrogen, etc.).
  • the temperature is preferably ambient to 500° C.
  • the electron density and energy can be varied and tunable to specific bonds.
  • the total curing time is preferably from 0.001 min to 12 hours, and may be continuous or pulsed. Additional guidance regarding the general use of electron beams is available in publications such as: S.
  • Ethanol by-product was removed by distillation at ambient pressure and heating up to a vapor temperature of 153° C.
  • the product was vacuum distilled under 105-108 Torr pressure at 93-94° C. in the amount of 1235 g at 97% purity.
  • the yield was 82%.
  • Exemplary films for 300 mm wafer processing were formed via a plasma enhanced CVD (PECVD) process using an Applied Materials Producer SE system which deposits films on two wafers at the same time.
  • PECVD plasma enhanced CVD
  • the stated RF power per wafer is correct, as each wafer processing station has its own independent RF power supply.
  • Films from two different chemical precursors under differing process conditions were deposited.
  • the PECVD process generally involved the following basic steps: initial set-up and stabilization of gas flows, deposition of the film onto the silicon wafer substrate, and purge/evacuation of chamber prior to substrate removal.
  • Thickness and refractive index were measured on an SCI FilmTek 2000 Reflectometer. Dielectric constants were determined using Hg probe technique on mid-resistivity p-type wafers (range 8-12 ohm-cm). Mechanical properties (elastic modulus and hardness, GPa) were determined using nanoindentation techniques, carbon content was determined by X-ray Photoelectron Spectroscopy (atomic %), and the composition of species within the SiO x network were determined by infrared spectroscopy. The latter included the silicon methyl density attributable to Si(CH 3 ) 1 and the disilylmethylene bridge density (SiCH 2 Si/SiO x *1E4).
  • Low dielectric constant films were deposited using 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane precursor under the following conditions: The total precursor flow rate was 2000 mg/min; oxygen flow rate was 15 sccm; deposition temperature was maintained at 390° C.; RF power was varied from 230-500 W; pressure was maintained at 7.5 torr; electrode spacing was maintained at 380 mils; He carrier flow used to deliver precursor to the process chamber was 1500 sccm. Table 1 below shows the film properties obtained from 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane precursor at three different RF powers.
  • the deposited films exhibited higher mechanical strength, higher dielectric constant, and higher network carbon as indicated by the increase in Si—CH 2 —Si/SiOx ratio, obtained from the ratio of integrated Si—CH 2 —Si band to the integrated Si—O band in the FTIR spectra.
  • Incorporating higher network carbon density, such as Si—CH 2 —Si is desirable as it reduces the depth of film damage occurring during subsequent integration steps, such as etching, ashing, planarization and metalization.
  • Low dielectric constant films were deposited using 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane precursor under the following conditions: The total precursor flow rate was varied form 2000-2500 mg/min; oxygen flow rate was 25-50 sccm; deposition temperature was maintained at 390° C.; RF power was varied from 315-515 W; pressure was maintained at 7.5 torr electrode spacing was maintained at 380 mils; He carrier flow used to deliver precursor to the process chamber was 1500 sccm. Table 2 below shows the film properties obtained from 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane precursor at three different process conditions.
  • the deposited films exhibited similar mechanical strength and dielectric constants relative to the 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, but lower network carbon as indicated by the decrease in Si—CH 2 —Si/SiOx ratio, obtained from the ratio of integrated Si—CH 2 —Si band to the integrated Si—O band in the FTIR spectra.
  • the substitution of a methyl group with an ethoxy group reduced the quantity of network carbon that could be incorporated in the film.

Abstract

A composition, and chemical vapor deposition method, is provided for producing a dielectric film. A gaseous reagent including the composition is introduced into the reaction chamber in which a substrate is provided. The gaseous reagent includes a silicon precursor that includes a silicon compound according to Formula I as defined herein. Energy is applied to the gaseous reagents in the reaction chamber to induce reaction of the gaseous reagents and to thereby deposit a film on the substrate. The film as deposited is suitable for its intended use without an optional additional cure step applied to the as-deposited film. A method for making the composition is also disclosed.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of international patent application number PCT/US2019/045877 filed Aug. 9, 2019 that claims the benefit of U.S. Provisional Patent Application No. 62/717,454 filed Aug. 10, 2018, and U.S. patent application Ser. No. 16/532,657 filed Aug. 6, 2019, the disclosures of which are hereby incorporated by reference in their entireties.
  • BACKGROUND OF THE INVENTION
  • Described herein are compositions and methods for the formation of dielectric films using silicon compounds as a structure forming precursor(s). More specifically, described herein are compositions and methods for forming a low dielectric constant film (“low k” film or film having a dielectric constant of about 3.2 or less), wherein the method used to deposit the film is a chemical vapor deposition (CVD) method. The low dielectric constant films produced by the compositions and methods described herein can be used, for example, as insulating layers in electronic devices.
  • The electronics industry utilizes dielectric materials as insulating layers between circuits and components of integrated circuits (IC) and associated electronic devices. Line dimensions are being reduced in order to increase the speed and memory storage capability of microelectronic devices (e.g., computer chips). As the line dimensions decrease, the insulating requirements for the interlayer dielectric (ILD) become much more rigorous.
  • Shrinking the spacing requires a lower dielectric constant to minimize the RC time constant, where R is the resistance of the conductive line and C is the capacitance of the insulating dielectric interlayer. Capacitance (C) is inversely proportional to spacing and proportional to the dielectric constant (k) of the interlayer dielectric (ILD). Conventional silica (SiO2) CVD dielectric films produced from SiH4 or TEOS (Si(OCH2CH3)4, tetraethylorthosilicate) and O2 have a dielectric constant k greater than 4.0. There are several ways in which industry has attempted to produce silica-based CVD films with lower dielectric constants, the most successful being the doping of the insulating silicon oxide film with organic groups providing dielectric constants ranging from about 2.7 to about 3.5. This organosilica glass is typically deposited as a dense film (density ˜1.5 g/cm3) from an organosilicon precursor, such as a methylsilane or siloxane, and an oxidant, such as O2 or N2O. Organosilica glass will herein be referred to as OSG. As the carbon content of OSG increases, the mechanical strength of the films, such as Hardness (H) and Elastic Modulus (EM) of the films, tend to decrease rapidly as the dielectric constant is reduced.
  • A challenge, which has been recognized in the industry, is that films with lower dielectric constants typically have lower mechanical strength, which leads to enhanced defects in the narrow pitch films such as delamination, buckling, increased electromigration such as that observed for conductive lines made from copper embedded in dielectric films with reduced mechanical properties. Such defects can cause premature breakdown of the dielectric or voiding of the conductive copper lines causing premature device failure. Carbon depletion in the OSG films can also cause one or more of the following problems: an increase in the dielectric constant of the film; film etching and feature bowing during wet cleaning steps; moisture absorption into the film due to loss of hydrophobicity, patter collapse of fine features during the wet clean steps after pattern etch and/or integration issues when depositing subsequent layers such as, without limitation, copper diffusion barriers, for example Ta/TaN or advanced Co or MnN barrier layers.
  • Possible solutions to one or more of these problems include using porous OSG films with increased carbon content but that maintain mechanical strength. Unfortunately, the relationship between increasing Si-Me content typically leads to decreasing mechanical properties, thus the films with more Si-Me will negatively impact mechanical strength which is important for integration.
  • One solution proposed has been to use ethylene or methylene bridged alkoxysilanes of the general formula Rx(RO)3-xSi(CH2)ySiRz(OR)3-z where x=0-3, y=1 or 2, z=0-3. The use of bridged species is believed to avoid the negative impact to the mechanical properties by replacing bridging oxygen with a bridging carbon chain since the network connectivity will remain the same. This arises from the belief that replacing bridging oxygen with a terminal methyl group will lower mechanical strength by lowering network connectivity. In this manner one can replace an oxygen atom with 1-2 carbon atoms to increase the atomic weight percent (%) C without lowering mechanical strength. These bridged precursors, however, generally have very high boiling points due to the increased molecular weight from having two silicon groups. The increased boiling point may negatively impact the manufacturing process by making it difficult to deliver the chemical precursor into the reaction chamber as a gas phase reagent without condensing it in the vapor delivery line or process pump exhaust.
  • Thus, there is a need in the art for a dielectric precursor that provides a film with increased carbon content upon deposition yet does not suffer the above-mentioned drawbacks.
  • BRIEF SUMMARY OF THE INVENTION
  • The method and composition described herein fulfill one or more needs described above. The method and composition described herein use at least one silicon compound(s) such as, for example, 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane or 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane, as a silicon precursor which can be used as deposited to provide a low-k interlayer dielectric, or can be subsequently treated with thermal, plasma or UV energy sources to change the film properties to, for example, provide chemical crosslinking to enhance mechanical strength. Further the films deposited using the silicon compounds described herein as the silicon precursor(s) comprise a relatively higher amount of carbon. In addition, the silicon compound(s) described herein have a lower molecular weight (Mw) relative to prior art silicon precursors such as bridged precursors, (e.g., alkoxysilaneprecursors) which by nature of having 2 silicon groups have a higher MW and higher boiling points, thereby making the silicon precursors having boiling points 250° C. or less, more preferably 200° C. or less. described herein more convenient to process, for example, in a high volume manufacturing process.
  • Described herein is a single precursor-based dielectric film comprising: a material represented by the formula SivOwCxHyFz, where v+w+x+y+z=100%, v is from 10 to 35 atomic %, w is from 10 to 65 atomic %, x is from 5 to 45 atomic %, y is from 10 to 50 atomic % and z is from 0 to 15 atomic %, wherein the film has pores with a volume porosity of 5.0 to 30.0%, a dielectric constant of 2.3 to 3.2 and mechanical properties such as hardness of 1.0 to 7.0 Gigapascals (GPa) and elastic modulus of 4.0 to 40.0 GPa. In certain embodiments, the film comprises a higher carbon content (10-40%) as measured by X-ray photospectrometry (XPS) and exhibits a decreased depth of carbon removal when exposed to, for example an O2 or NH3 plasma as measured by examining the carbon content determined by XPS depth profiling.
  • In one aspect, there is provided a chemical vapor deposition method for producing a dielectric film, comprising: providing a substrate into a reaction chamber; introducing gaseous reagents into the reaction chamber wherein the gaseous reagents comprise a silicon precursor comprising an silicon compound having the structure of Formula I:
  • Figure US20200048286A1-20200213-C00001
  • wherein R1 is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group; and R2 is a C2 to C4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional alkyl substituents bonded to the ring, R3 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, a C3 to C10 hetero-aryl group, and alkoxy OR4 wherein R4 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group; at least one oxygen source, and applying energy to the gaseous reagents in the reaction chamber to induce reaction of the gaseous reagents to deposit a film on the substrate. The film as deposited is able to be used without additional treatment such as, for example, thermal annealing, plasma exposure or UV curing.
  • In another aspect, there is provided a composition for a vapor deposition of a dielectric film comprising a silicon compound having the following Formula I:
  • Figure US20200048286A1-20200213-C00002
  • wherein R1 is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group; R2 is a C2 to C4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional C1 to Ce alkyl substituents, R3 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group, and alkoxy OR4 wherein R4 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, and a linear or branched C2 to C10 alkynyl group.
  • According to another aspect, the composition is substantially free of at least one impurity selected from the group consisting of halides, organosilanes, and water.
  • According to yet another aspect of the invention, a method is provided for making a silicon compound represented by Formula I:
  • Figure US20200048286A1-20200213-C00003
  • the method comprising:
  • performing hydrosilylation of an alkoxysilane with an unsaturated alcohol in presence of a catalyst, followed by cyclization with or without solvent, according to equation (1) or (2) with yield of 70% higher
  • Figure US20200048286A1-20200213-C00004
  • wherein R1 is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group; R3 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group, and alkoxy OR4 wherein R4 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, and a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group; and R8 are selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Described herein is a chemical vapor deposition method for producing a dielectric film, comprising: providing a substrate into a reaction chamber; introducing gaseous reagents into the reaction chamber wherein the gaseous reagents comprise a silicon precursor comprising an silicon compound having the structure of Formula I:
  • Figure US20200048286A1-20200213-C00005
  • wherein R1 is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group; and R2 is a C2 to C4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional alkyl substituents bonded to the ring, R3 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group, and alkoxy OR4 wherein R4 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, at least one oxygen source; and applying energy to the gaseous reagents in the reaction chamber to induce reaction of the gaseous reagents to deposit a film on the substrate. The film can be used as deposited or can be subsequently treated with additional energy selected from the group consisting of thermal energy (anneal), plasma exposure, and UV curing to modify the films chemical properties by increasing the films mechanical strength and yielding a dielectric constant less than 3.3.
  • The silicon compounds described herein provide unique attributes that make it possible for one to incorporate more carbon content in the dielectric film with minor impact on the mechanical properties of the low k dielectric film compared to prior art structure forming precursors such as diethoxymethylsilane (DEMS). For example, DEMS has a mixed ligand system, which includes two alkoxy groups, one silicon-methyl (Si-Me) and one silicon-hydride which offers a balance of reactive sites and allows for the formation of more mechanically robust films while retaining the desired dielectric constant. The use of the silicon compounds offers the advantages that there are no silicon-methyl groups in the precursor which tend to lower the mechanical strength, while the carbon in the silacyclic ring provides carbon to the OSG film to lower the dielectric constant and imbue hydrophobicity.
  • The low k dielectric films are organosilica glass (“OSG”) films or materials. Organosilicates are candidates for low k materials. Since the type of organosilicon precursor has a strong effect upon the film structure and composition, it is beneficial to use precursors that provide the required film properties to ensure that the addition of the needed amount of carbon to reach the desired dielectric constant does not produce films that are mechanically unsound. The method and composition described herein provides the means to generate low k dielectric films that have a desirable balance of electrical and mechanical properties as well as other beneficial film properties as high carbon content to provide improved integration plasma damage resistance.
  • In certain embodiments of the method and composition described herein, a layer of silicon-containing dielectric material is deposited on at a least a portion of a substrate via a chemical vapor deposition (CVD) or plasma enhanced chemical vapor deposition (PECVD) process, preferably a PECVD process, employing a reaction chamber. Suitable substrates include, but are not limited to, semiconductor materials such as gallium arsenide (“GaAs”), silicon, and compositions containing silicon such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, silicon dioxide (“SiO2”), silicon glass, silicon nitride, fused silica, glass, quartz, borosilicate glass, and combinations thereof. Other suitable materials include chromium, molybdenum, and other metals commonly employed in semiconductor, integrated circuits, flat panel display, and flexible display applications. The substrate may have additional layers such as, for example, silicon, SiO2, organosilicate glass (OSG), fluorinated silicate glass (FSG), boron carbonitride, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, organic-inorganic composite materials, photoresists, organic polymers, porous organic and inorganic materials and composites, metal oxides such as aluminum oxide, and germanium oxide. Still further layers can also be germanosilicates, aluminosilicates, copper and aluminum, and diffusion barrier materials such as, but not limited to, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN.
  • In certain embodiments, the layer of silicon-containing dielectric material is deposited on at least a portion of the substrate by introducing into the reaction chamber gaseous reagents including at least one silicon precursor comprising a silicon compound without a porogen precursor. In another embodiments, the layer of silicon-containing dielectric material is deposited on at least a portion of the substrate by introducing into the reaction chamber gaseous reagents including at least one silicon precursor comprising a silicon compound with a hardening additive.
  • The method and composition described herein include a silicon compound having the following Formula I:
  • Figure US20200048286A1-20200213-C00006
  • wherein R1 is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group; and R2 is a C2 to C4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional alkyl substituents bonded to the ring, R3 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group, and alkoxy OR4 wherein R4 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group.
  • In the formula above and throughout the description, the term “alkyl” denotes a linear or branched functional group having from 1 to 10 carbon atoms. Exemplary linear alkyl groups include, but are not limited to, methyl, ethyl, n-propyl, butyl, pentyl, and hexyl groups. Exemplary branched alkyl groups include, but are not limited to, iso-propyl, iso-butyl, sec-butyl, tert-butyl, iso-pentyl, tert-pentyl, iso-hexyl, and neo-hexyl. In certain embodiments, the alkyl group may have one or more functional groups attached thereto such as, but not limited to, an alkoxy group such as methoxy, ethoxy, iso-propoxy, and n-propoxy, a dialkylamino group such as dimethylamino or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto. The alkyl group may be saturated or, alternatively, unsaturated.
  • In Formula I above and throughout the description, the term “cyclic alkyl” denotes a cyclic functional group having from 3 to 10 carbon atoms. Exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups.
  • In Formula I above and throughout the description, the term “hetero-cyclic” denotes a C3 to C10 hetero-cyclic alkyl group such as an epoxy group.
  • In Formula I above and throughout the description, the term “alkenyl group” denotes a group which has one or more carbon-carbon double bonds and has from 2 to 10 or from 2 to 10 or from 2 to 6 carbon atoms.
  • In Formula I above and throughout the description, the term “alkynyl group” denotes a group which has one or more carbon-carbon triple bonds and has from 3 to 10 or from 2 to 10 or from 2 to 6 carbon atoms.
  • In Formula I above and throughout the description, the term “aryl” denotes an aromatic cyclic functional group having from 5 to 10 carbon atoms, or from 6 to 10 carbon atoms. Exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, and o-xylyl.
  • In Formula I above and throughout the description, the term “hetero-aryl” denotes a C3 to C10 hetero-cyclic aryl group 1,2,3-triazolyl, pyrrrolyl, and furanyl.
  • In Formula I above, substituent R2 is a C3 to C10 alkyl di-radical which forms a four-membered, five-membered, or six-membered cyclic ring with the Si and oxygen atoms. As the skilled person will understand, R2 is a substituted or unsubstituted hydrocarbon chain which links with the Si and oxygen atoms to together form a ring in Formula I wherein the ring is a four-membered, five-membered, or six-membered ring. In these embodiments, the ring structure may be a saturated ring such as, for example, a cyclic alkyl ring. Exemplary saturated rings include, but are not limited to, silacyclobutane, silacyclopentane, and silacyclohexane, preferably silacyclopentane or alkyl such as methyl substituted silacylcopentane.
  • Throughout the description, the term “alkoxy” refers a group derived from an alcohol having at least one carbon atom. Exemplary alkoxy groups include, but are not limited to, methoxy, ethoxy, iso-propoxy, normal-propoxy.
  • Throughout the description, the term “oxygen source” refers to a gas comprising oxygen (O2), a mixture of oxygen and helium, a mixture of oxygen and argon, carbon dioxide, carbon monoxide and combination thereof.
  • Throughout the description, the term “dielectric film” refers a film comprising silicon and oxygen atoms having composition of SivOWCxHyFz, where v+w+x+y+z=100%, v is from 10 to 35 atomic %, w is from 10 to 65 atomic %, x is from 5 to 40 atomic %, y is from 10 to 50 atomic % and z is from 0 to 15 atomic %.
  • In certain embodiments of Formula I, R1 is selected from the group consisting of hydrogen, methyl, and ethyl; R3 is selected from the group consisting of methyl, ethyl, isopropyl, n-propyl, methoxy, ethoxy, iso-propoxy, and n-propoxy; and R2 forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms. In some embodiments, the four-membered, five-membered, or six-membered saturated cyclic ring with the Si atom may have at least one alkyl substituents such as methyl group on the ring structure. Examples of these embodiments are as follows:
  • Figure US20200048286A1-20200213-C00007
    Figure US20200048286A1-20200213-C00008
  • The silicon compounds having Formula I can be synthesized by, for example, hydrosilylation of alkoxysilane with unsaturated alcohol in presence of a catalyst, followed by cyclization to produce 1-oxa-2-silacycloalkanes having a five-membered, or six-membered saturated cyclic ring with or without solvent (e.g., Equation (1) and (2) with a yield of 70% or higher, preferably 80% of higher. Examples of synthesis routes are shown below:
  • Figure US20200048286A1-20200213-C00009
  • wherein R1, R3, and R4 are same as described aforementioned; R5-8 are selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, preferably hydrogen or methyl.
  • The silicon compounds described herein and methods and compositions comprising same are preferably substantially free of one or more impurities such as without limitation, halide ions and water. As used herein, the term “substantially free” as it relates to each impurity means 100 parts per million (ppm) or less, 50 ppm or less, 10 ppm or less, 5 ppm or less, and 1 ppm of less of each impurities such as without limitation, chloride or water.
  • The silicon compounds having Formula I according to the present invention and compositions comprising the silicon precursor compounds having Formula I according to the present invention are preferably substantially free of halide. As used herein, the term “substantially free” as it relates to halide ions (or halides) such as, for example, chlorides (i.e. chloride-containing species such as HCl or silicon compounds having at least one Si—Cl bond) and fluorides, bromides, and iodides, means less than 5 ppm (by weight) measured by ICP-MS, preferably less than 3 ppm measured by ICP-MS, and more preferably less than 1 ppm measured by ICP-MS, and most preferably 0 ppm measured by ICP-MS. Chlorides are known to act as decomposition catalysts for the silicon compounds having Formulae I. Significant levels of chloride in the final product can cause the silicon precursor compounds to degrade. The gradual degradation of the silicon compounds may directly impact the film deposition process making it difficult for the semiconductor manufacturer to meet film specifications. In addition, the shelf-life or stability is negatively impacted by the higher degradation rate of the silicon compounds having Formulae I thereby making it difficult to guarantee a 1-2 year shelf-life. Therefore, the accelerated decomposition of the silicon compounds having Formulae I presents safety and performance concerns related to the formation of these flammable and/or pyrophoric gaseous byproducts. The silicon compounds having Formula I are preferably substantially free of metal ions such as, Li+, Na+, K+, Mg2+, Ca2+, Al3+, Fe2+, Fe2+, Fe3+, Ni2+, Cr3+. As used herein, the term “substantially free” as it relates to Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr means less than 5 ppm (by weight), preferably less than 3 ppm, and more preferably less than 1 ppm, and most preferably 0.1 ppm as measured by ICP-MS. In some embodiments, the silicon compounds having Formulae I or IA are free of metal ions such as, Li+, Na+, K+, Mg2+, Ca2+, Al3+, Fe2+, Fe2+, Fe3+, Ni2+, Cr3+. As used herein, the term “free of” metal impurities as it relates to Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr, noble metal such as volatile Ru or Pt complexes from ruthenium or platinum catalysts used in the synthesis, means less than 1 ppm, preferably 0.1 ppm (by weight) as measured by ICP-MS or other analytical method for measuring metals. The silicon compounds having Formula I are preferably also substantially free of water or organosilane impurities such as alkoxysilanes from starting materials or by-products from synthesis, as used herein, the term “substantially free” as it relates to water is less than 100 ppm (by weight), preferably less than 50 ppm, and more preferably less than 10 ppm; the sum of all organosilane impurities such as methyltriethyoxysilane or dimethyldiethoxysilane analyzed by gas chromatography (GC) is less than 1.0 wt. %, preferably less than 0.5 wt. %, and preferably less than 0.1 wt. %.
  • Compositions according to the present invention that are substantially free of halides can be achieved by (1) reducing or eliminating chloride sources during chemical synthesis, and/or (2) implementing an effective purification process to remove chloride from the crude product such that the final purified product is substantially free of chlorides. Chloride sources may be reduced during synthesis by using reagents that do not contain halides such as chlorosilanes, bromosilanes, or iodosilanes thereby avoiding the production of by-products that contain halide ions. In addition, the aforementioned reagents should be substantially free of chloride impurities such that the resulting crude product is substantially free of chloride impurities. In a similar manner, the synthesis should not use halide based solvents, catalysts, or solvents which contain unacceptably high levels of halide contamination. The crude product may also be treated by various purification methods to render the final product substantially free of halides such as chlorides. Such methods are well described in the prior art and, may include, but are not limited to, purification processes such as distillation, or adsorption. Distillation is commonly used to separate impurities from the desired product by exploiting differences in boiling point. Adsorption may also be used to take advantage of the differential adsorptive properties of the components to effect separation such that the final product is substantially free of halide. Adsorbents such as, for example, commercially available MgO—Al2O3 blends can be used to remove halides such as chloride.
  • Whereas prior art silicon-containing silicon precursors such as, for example DEMS, polymerize once energized in the reaction chamber to form a structure having an —O-linkage (e.g., —Si—O—Si— or —Si—O—C—) in the polymer backbone, it is believed that a silicon compound having the Formula I polymerizes to form a structure where, some of the —O-bridge in the backbone is replaced with a —CH2— methylene or —CH2CH2— ethylene bridge(s). In films deposited using DEMS as the structure forming precursor where the carbon exists mainly in the form of terminal Si-Me groups there is a relationship between the % Si-Me (directly related to % C) versus mechanical strength where the replacement of a bridging Si—O—Si group with two terminal Si-Me groups decreases the mechanical properties because the network structure is disrupted. In the case of the silicon compounds it is believed that the cyclic structure is broken either during the film deposition or the cure process (to remove at least a portion of, or substantially all, of the porogen precursor contained in the as-deposited film) to form SiCH2Si or SiCH2CH2Si bridging groups. In this manner, one can incorporate carbon in the form of a bridging group so that, from a mechanical strength view, the network structure is not disrupted by increasing the carbon content in the film. Without intending to be bound by a particular theory, it is believed that this attribute adds carbon to the film, which allows the film to be more resilient to carbon depletion of the porous OSG film from processes such as etching of the film, plasma ashing of photoresist, and NH3 plasma treatment of copper surfaces. Carbon depletion in the OSG films can cause increases in the defective dielectric constant of the film as well as problems with film etching and feature bowing during wet cleaning steps, and/or integration issues when depositing copper diffusion barriers.
  • In certain embodiments of the method and composition comprised herein, the structure forming precursor further comprises a hardening additive which will increase the mechanical strength. Examples of hardening additives include tetraalkoxysilanes (Si(OR9) wherein R9 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group, such as for example, tetraethoxysilane (TEOS) or tetramethoxysilane (TMOS). In embodiments wherein a hardening additive is used, the composition of the structure forming portion comprises from about 30 to about 95 weight percent structure forming precursor comprising the alky-alkoxysilacyclic compound(s) having Formula I; from about 5 to about 70 weight percent of hardening additive; and about 40 to about 95 weight percent of the total precursor flow of porogen precursor such as alpha terpinene or cyclooctane.
  • Although the phrase “gaseous reagents” is sometimes used herein to describe the reagents, the phrase is intended to encompass reagents delivered directly as a gas to the reactor, delivered as a vaporized liquid, a sublimed solid and/or transported by an inert carrier gas into the reactor.
  • In addition, the reagents can be carried into the reactor separately from distinct sources or as a mixture. The reagents can be delivered to the reactor system by any number of means, preferably using a pressurizable stainless steel vessel fitted with the proper valves and fittings to allow the delivery of liquid to the process reactor.
  • In addition to the structure forming species (i.e., compounds of Formula I), additional materials can be introduced into the reaction chamber prior to, during and/or after the deposition reaction. Such materials include, e.g., inert gas (e.g., He, Ar, N2, Kr, Xe, etc., which may be employed as a carrier gas for lesser volatile precursors and/or which can promote the curing of the as-deposited materials and provide a more stable final film) and reactive substances, such as oxygen-containing species such as, for example, O2, O3, and N2O, gaseous or liquid organic substances, NH3, H2, CO2, or CO. In one particular embodiment, the reaction mixture introduced into the reaction chamber comprises the at least one oxidant selected from the group consisting of O2, N2O, NO, NO2, CO2, water, H2O2, ozone, and combinations thereof. In an alternative embodiment, the reaction mixture does not comprise an oxidant.
  • Energy is applied to the gaseous reagents to induce the gases to react and to form the film on the substrate. Such energy can be provided by, e.g., plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, remote plasma, hot filament, and thermal (i.e., non-filament) and methods. A secondary rf frequency source can be used to modify the plasma characteristics at the substrate surface. Preferably, the film is formed by plasma enhanced chemical vapor deposition (“PECVD”).
  • The flow rate for each of the gaseous reagents preferably ranges from 10 to 5000 sccm, more preferably from 30 to 1000 sccm, per single 200 mm wafer. The individual rates are selected so as to provide the desired amounts of silicon, carbon, and oxygen in the film. The actual flow rates needed may depend upon wafer size and chamber configuration, and are in no way limited to 200 mm wafers or single wafer chambers.
  • In some embodiments, the film is deposited at a deposition rate of about 50 nanometers (nm) per minute.
  • The pressure in the reaction chamber during deposition ranges from about 0.01 to about 600 torr or from about 1 to 15 torr.
  • The film is preferably deposited to a thickness of 0.002 to 10 microns, although the thickness can be varied as required. The blanket film deposited on a non-patterned surface has excellent uniformity, with a variation in thickness of less than 2% over 1 standard deviation across the substrate with a reasonable edge exclusion, wherein, e.g., a 5 mm outermost edge of the substrate is not included in the statistical calculation of uniformity.
  • Preferred embodiments of the invention provide a thin film material having a low dielectric constant and improved mechanical properties, thermal stability, and chemical resistance (to oxygen, aqueous oxidizing environments, etc.) relative to other porous low k dielectric films deposited using other structure forming precursors known in the art. The structure forming precursors described herein comprising the alky-alkoxysilacyclic compound(s) having Formula I provide a higher incorporation of carbon into the film of (preferably predominantly in the form of organic carbon, —CHx, where x is 1 to 3) whereby specific precursor or network-forming chemicals are used to deposit films. In certain embodiments, the majority of the hydrogen in the film is bonded to carbon.
  • The low k dielectric films deposited according to the compositions and methods described herein comprise: (a) about 10 to about 35 atomic %, more preferably about 20 to about 30 atomic % silicon; (b) about 10 to about 65 atomic %, more preferably about 20 to about 45 atomic % oxygen; (c) about 10 to about 50 atomic %, more preferably about 15 to about 40 atomic % hydrogen; (d) about 5 to about 40 atomic %, more preferably about 10 to about 45 atomic % carbon. Films may also contain about 0.1 to about 15 atomic %, more preferably about 0.5 to about 7.0 atomic % fluorine, to improve one or more of materials properties. Lesser portions of other elements may also be present in certain films of the invention. OSG materials are considered to be low k materials as their dielectric constant is less than that of the standard material traditionally used in the industry—silica glass.
  • Total porosity of the film may be from 0 to 15% or greater depending upon the process conditions and the desired final film properties. Films of the invention preferably have a density of less than 2.3 g/ml, or alternatively, less than 2.0 g/ml or less than 1.8 g/ml. Total porosity of the OSG film can be influenced by post deposition treatment including exposure to thermal or UV curing, plasma sources. Although the preferred embodiments of this invention do not include the addition of a porogen during film deposition, porosity can be induced by post deposition treatment such as UV curing. For example, UV treatment can result in porosity approaching from about 15 to about 20%, with preferably between from about 5 to about 10%.
  • Films of the invention may also contain fluorine, in the form of inorganic fluorine (e.g., Si—F). Fluorine, when present, is preferably contained in an amount ranging from about 0.5 to about 7 atomic %.
  • Films of the invention are thermally stable, with good chemical resistance. In particular, preferred films after anneal have an average weight loss of less than 1.0 wt %/hr isothermal at 425° C. under N2. Moreover, the films preferably have an average weight loss of less than 1.0 wt %/hr isothermal at 425° C. under air.
  • The films are suitable for a variety of uses. The films are particularly suitable for deposition on a semiconductor substrate, and are particularly suitable for use as, e.g., an insulation layer, an interlayer dielectric layer and/or an inter-metal dielectric layer. The films can form a conformal coating. The mechanical properties exhibited by these films make them particularly suitable for use in Al subtractive technology and Cu damascene or dual damascene technology.
  • The films are compatible with chemical mechanical planarization (CMP) and anisotropic etching, and are capable of adhering to a variety of materials, such as silicon, SiO2, Si3N4, OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, antireflective coatings, photoresists, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N TaN, Ta(C)N, Ta, W, WN or W(C)N. The films are preferably capable of adhering to at least one of the foregoing materials sufficiently to pass a conventional pull test, such as ASTM D3359-95a tape pull test. A sample is considered to have passed the test if there is no discernible removal of film.
  • Thus in certain embodiments, the film is an insulation layer, an interlayer dielectric layer, an inter-metal dielectric layer, a capping layer, a chemical-mechanical planarization (CMP) or etch stop layer, a barrier layer or an adhesion layer in an integrated circuit.
  • Although the films described herein are uniformly deposited dielectric films, the films as used in a full integration structure may actually consist of several sandwiched layers with for example a thin layer at the bottom or top which contains little or no porogen being deposited, or a layer may be deposited under conditions where there is a lower porogen precursor flow ratio alternatively for example a layer may be deposited at higher plasma power such that not all the porogen precursor can be removed by UV treatment. These sandwich layers may be utilized to enhance secondary integration properties such as for example adhesion, etch selectivity or electromigration performance.
  • Although the invention is particularly suitable for providing films and products of the invention are largely described herein as films, the invention is not limited thereto. Products of the invention can be provided in any form capable of being deposited by CVD, such as coatings, multilaminar assemblies, and other types of objects that are not necessarily planar or thin, and a multitude of objects not necessarily used in integrated circuits. Preferably, the substrate is a semiconductor.
  • In addition to the inventive OSG products, the present disclosure includes the process by which the products are made, methods of using the products and compounds and compositions useful for preparing the products. For example, a process for making an integrated circuit on a semiconductor device is disclosed in U.S. Pat. No. 6,583,049, which is herein incorporated by reference.
  • Compositions of the invention can further comprise, e.g., at least one pressurizable vessel (preferably of stainless steel) fitted with the proper valves and fittings to allow the delivery of hardening additive and the silicon precursor having Formula I such as DESCAP to the process reactor. The contents of the vessel(s) can be premixed. Alternatively, for example hardening additive and precursor can be maintained in separate vessels or in a single vessel having separation means for maintaining the hardening additive and precursor separate during storage. Such vessels can also have means for mixing the porogen and precursor when desired.
  • The preliminary (or as-deposited) film can be further treated by a curing step, i.e., applying an additional energy source to the film, which can comprise thermal annealing, chemical treatment, in-situ or remote plasma treating, photocuring (e.g., UV) and/or microwaving. Other in-situ or post-deposition treatments may be used to enhance material properties like hardness, stability (to shrinkage, to air exposure, to etching, to wet etching, etc.), integrity, uniformity and adhesion. Thus, the term “post-treating” as used herein denotes treating the film with energy (e.g., thermal, plasma, photon, electron, microwave, etc.) or chemicals to remove porogens and, optionally, to enhance materials properties.
  • The conditions under which post-treating are conducted can vary greatly. For example, post-treating can be conducted under high pressure or under a vacuum ambient.
  • UV annealing is a preferred method of curing and is typically conducted under the following conditions.
  • The environment can be inert (e.g., nitrogen, CO2, noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, air, dilute oxygen environments, enriched oxygen environments, ozone, nitrous oxide, etc.) or reducing (dilute or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatics), etc.). The pressure is preferably about 1 Torr to about 1000 Torr, more preferably atmospheric pressure. However, a vacuum ambient is also possible for thermal annealing as well as any other post-treating means. The temperature is preferably 200-500° C., and the temperature ramp rate is from 0.1 to 100 deg ° C./min. The total UV annealing time is preferably from 0.01 min to 12 hours.
  • Chemical treatment of the OSG film is conducted under the following conditions.
  • The use of fluorinating (HF, SIF4, NF3, F2, COF2, CO2F2, etc.), oxidizing (H2O2, O3, etc.), chemical drying, methylating, or other chemical treatments that enhance the properties of the final material. Chemicals used in such treatments can be in solid, liquid, gaseous and/or supercritical fluid states.
  • Supercritical fluid post-treatment for selective removal of porogens from an organosilicate film is conducted under the following conditions.
  • The fluid can be carbon dioxide, water, nitrous oxide, ethylene, SF6, and/or other types of chemicals. Other chemicals can be added to the supercritical fluid to enhance the process. The chemicals can be inert (e.g., nitrogen, CO2, noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, ozone, nitrous oxide, etc.), or reducing (e.g., dilute or concentrated hydrocarbons, hydrogen, a plasma comprising hydrogen etc.). The temperature is preferably ambient to 500° C. The chemicals can also include larger chemical species such as surfactants. The total exposure time is preferably from 0.01 min to 12 hours.
  • Plasma treating for selective removal of labile groups and possible chemical modification of the OSG film is conducted under the following conditions.
  • The environment can be inert (nitrogen, CO2, noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, air, dilute oxygen environments, enriched oxygen environments, ozone, nitrous oxide, etc.), or reducing (e.g., dilute or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatics), etc.). The plasma power is preferably 0-5000 W. The temperature is preferably from about ambient to about 500° C. The pressure is preferably 10 mtorr to atmospheric pressure. The total curing time is preferably 0.01 min to 12 hours.
  • UV curing for chemical cross-linking of organosilicate film is typically conducted under the following conditions.
  • The environment can be inert (e.g., nitrogen, CO2, noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, air, dilute oxygen environments, enriched oxygen environments, ozone, nitrous oxide, etc.), or reducing (e.g., dilute or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably from about ambient to about 500° C. The power is preferably from 0 to about 5000 W. The wavelength is preferably IR, visible, UV or deep UV (wavelengths <200 nm). The total UV curing time is preferably 0.01 min to 12 hours.
  • Microwave post-treatment of organosilicate film is typically conducted under the following conditions.
  • The environment can be inert (e.g., nitrogen, CO2, noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, air, dilute oxygen environments, enriched oxygen environments, ozone, nitrous oxide, etc.), or reducing (e.g., dilute or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably from about ambient to about 500° C. The power and wavelengths are varied and tunable to specific bonds. The total curing time is preferably from 0.01 min to 12 hours.
  • Electron beam post-treatment for selective removal of porogens or specific chemical species from an organosilicate film and/or improvement of film properties is typically conducted under the following conditions.
  • The environment can be vacuum, inert (e.g., nitrogen, CO2, noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (e.g., oxygen, air, dilute oxygen environments, enriched oxygen environments, ozone, nitrous oxide, etc.), or reducing (e.g., dilute or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably ambient to 500° C. The electron density and energy can be varied and tunable to specific bonds. The total curing time is preferably from 0.001 min to 12 hours, and may be continuous or pulsed. Additional guidance regarding the general use of electron beams is available in publications such as: S. Chattopadhyay et al., Journal of Materials Science, 36 (2001) 4323-4330; G. Kloster et al., Proceedings of IITC, Jun. 3-5, 2002, SF, CA; and U.S. Pat. Nos. 6,207,555 B1, 6,204,201 B1 and 6,132,814 A1. The use of electron beam treatment may provide for porogen removal and enhancement of film mechanical properties through bond-formation processes in matrix.
  • The invention will be illustrated in more detail with reference to the following Examples, but it should be understood that the invention is not deemed to be limited thereto.
  • Working Example 1 Synthesis of 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane wherein R1=Me, R3=OEt, R4=Et, R5=R6=Me in eq (1)
  • To 1.50 mL of Karstedt's catalyst (2% Pt in xylene) in 741.0 g (8.6 mol) 2-methyl-3-buten-2-ol heated to 50° C. in a three-neck round bottom flask equipped with an internal thermocouple and reflux condenser was added 1155.0 g (8.6 mol) diethoxymethylsilane drop-wise via an additional funnel. There was an exotherm and the temperature of the mixture gradually increased to 85° C. where upon the heating was shut off. The temperature was maintained between 75-85° C. while addition of DEMS was carried out. Once addition was complete, the reaction was allowed to cool back to room temperature and left to stir over night. Ethanol by-product was removed by distillation at ambient pressure and heating up to a vapor temperature of 153° C. The product was vacuum distilled under 105-108 Torr pressure at 93-94° C. in the amount of 1235 g at 97% purity. The yield was 82%.
  • Working Example 2 Synthesis of 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane wherein R1=R3=Me, R4=Et, R5=R6=Me in eq (1)
  • To 2.00 mL of Karstedt's catalyst (2% Pt in xylene) in 1731.0 g (20.1 mol) 2-methyl-3-buten-2-ol heated to 50° C. in a three-neck round bottom flask equipped with an internal thermocouple and reflux condenser was added 2095.0 g (20.1 mol) dimethylethoxysilane drop-wise via an additional funnel. There was a gradual exotherm and the temperature of reaction reached 87° C. after which the temperature gradually decreased to 60° C. Addition of DMES was increased whereupon the temperature began to gradually rise, then there was a sharp exotherm and the reaction mixture refluxed at 95° C. The second temperature spike was more intense than the first. After addition was complete, the reaction was cooled to room temperature and stirred over the course of the night. A sample was run GC and showed a 3:1 ratio of product to diethoxydimethylsilane. Distillation was carried out at ambient pressure to remove ethanol and residual 2-methyl-3-buten-2-ol starting material. Removal was ceased once the vapor temperature reached 107° C. The product was distilled under ambient pressure in the amount of 566 g at 97% purity. The yield was 20%.
  • Working Example 3 Synthesis of 2,5,5-trimethyl-2-isopropyl-1-oxa-2-silacyclopentane wherein R1=Me, R3=iso-propyl, R4=Et, R5=R6=Me in eq (1)
  • To a one-neck round-bottom flask containing 24.6 g (186.0 mmol) isopropylethoxymethylsilane in 350 mL mixture of hexanes and THF was added 16.0 g (186.0 mmol) of 2-methyl-3-buten-2-ol followed by 0.03 mL of Karstedt's catalyst (2% Pt in xylene). The reaction was stirred over the course of the night. GC-MS indicated evidence of desired product at m/z 172.
  • Working Example 4 (Film Example) PECVD of Silicon-Containing Dielectric Film Using Dielectric 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane
  • Exemplary films for 300 mm wafer processing were formed via a plasma enhanced CVD (PECVD) process using an Applied Materials Producer SE system which deposits films on two wafers at the same time. Thus the precursor and gas flow rates correspond to the flow rates required to deposit films on two wafers at the same time. The stated RF power per wafer is correct, as each wafer processing station has its own independent RF power supply. Films from two different chemical precursors under differing process conditions were deposited. The PECVD process generally involved the following basic steps: initial set-up and stabilization of gas flows, deposition of the film onto the silicon wafer substrate, and purge/evacuation of chamber prior to substrate removal. The experiments were conducted on p-type Si wafers (resistivity range=8-12 Ohm-cm).
  • Thickness and refractive index were measured on an SCI FilmTek 2000 Reflectometer. Dielectric constants were determined using Hg probe technique on mid-resistivity p-type wafers (range 8-12 ohm-cm). Mechanical properties (elastic modulus and hardness, GPa) were determined using nanoindentation techniques, carbon content was determined by X-ray Photoelectron Spectroscopy (atomic %), and the composition of species within the SiOx network were determined by infrared spectroscopy. The latter included the silicon methyl density attributable to Si(CH3)1 and the disilylmethylene bridge density (SiCH2Si/SiOx*1E4).
  • Working Example 5 (Film Example)
  • Low dielectric constant films were deposited using 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane precursor under the following conditions: The total precursor flow rate was 2000 mg/min; oxygen flow rate was 15 sccm; deposition temperature was maintained at 390° C.; RF power was varied from 230-500 W; pressure was maintained at 7.5 torr; electrode spacing was maintained at 380 mils; He carrier flow used to deliver precursor to the process chamber was 1500 sccm. Table 1 below shows the film properties obtained from 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane precursor at three different RF powers. The deposited films exhibited higher mechanical strength, higher dielectric constant, and higher network carbon as indicated by the increase in Si—CH2—Si/SiOx ratio, obtained from the ratio of integrated Si—CH2—Si band to the integrated Si—O band in the FTIR spectra. Incorporating higher network carbon density, such as Si—CH2—Si, is desirable as it reduces the depth of film damage occurring during subsequent integration steps, such as etching, ashing, planarization and metalization.
  • TABLE 1
    Film properties obtained from 2,2,5,5-tetramethyl-1-oxa-2-
    silacyclopentane precursor at three RF powers:
    Power EM Hardness Si—CH2—Si/ Si—CH3/
    (W) k (GPa) (GPa) % C SiO × 104 SiO × 102
    230 2.9 14.0 2.0 18 10 2.8
    350 3.0 16.4 2.7 24 17 3.0
    500 3.1 16.4 2.7 28 23 3.2
  • Working Example 6 (Film Example) PECVD of Silicon-Containing Dielectric Film Using Dielectric Using 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane
  • Low dielectric constant films were deposited using 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane precursor under the following conditions: The total precursor flow rate was varied form 2000-2500 mg/min; oxygen flow rate was 25-50 sccm; deposition temperature was maintained at 390° C.; RF power was varied from 315-515 W; pressure was maintained at 7.5 torr electrode spacing was maintained at 380 mils; He carrier flow used to deliver precursor to the process chamber was 1500 sccm. Table 2 below shows the film properties obtained from 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane precursor at three different process conditions. The deposited films exhibited similar mechanical strength and dielectric constants relative to the 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, but lower network carbon as indicated by the decrease in Si—CH2—Si/SiOx ratio, obtained from the ratio of integrated Si—CH2—Si band to the integrated Si—O band in the FTIR spectra. The substitution of a methyl group with an ethoxy group reduced the quantity of network carbon that could be incorporated in the film.
  • TABLE 2
    Film properties obtained from 2,5,5-trimethyl-2-ethoxy-1-oxa-2-
    silacyclopentane precursor at three RF powers:
    Precursor
    Power O2 Flow Flow EM Hardness Si—CH2—Si/ Si—CH3/
    (W) (sccm) (mg/min) k (GPa) (GPa) % C SiO × 104 SiO × 102
    315 50 2000 2.9 13.1 1.9 16 3.9 3.1
    415 25 2500 3.0 16.0 2.3 15 5.0 2.5
    515 50 2000 3.1 17.0 2.5 15 6.4 2.3
  • Although illustrated and described above with reference to certain specific embodiments and examples, the present invention is nevertheless not intended to be limited to the details shown. Rather, various modifications may be made in the details within the scope and range of equivalents of the claims and without departing from the spirit of the invention. It is expressly intended, for example, that all ranges broadly recited in this document include within their scope all narrower ranges which fall within the broader ranges.

Claims (25)

1. A chemical vapor deposition method for producing a dielectric film, the method comprising:
introducing gaseous reagents into a reaction chamber having a substrate provided therein, wherein the gaseous reagents comprise a silicon precursor comprising a silicon compound having the following Formula I:
Figure US20200048286A1-20200213-C00010
wherein R1 is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group; R2 is a C2 to C4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional C1 to C6 alkyl substituents, R3 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group, and alkoxy OR4 wherein R4 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, and a linear or branched C2 to C10 alkynyl group; and
applying energy to the gaseous reagents in the reaction chamber to induce reaction of the gaseous reagents to deposit a film on the substrate.
2. The method of claim 1 wherein the silicon precursor further comprises a hardening additive.
3. The method of claim 1 wherein the silicon compound comprises at least one selected from the group consisting of 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane, 2,2-dimethyl-1-oxa-2-silacyclohexane, 2,2,6,6-tetramethyl-1-oxa-2-silacyclohexane, 2-methyl-2-ethoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-methoxy-1-oxa-2-silacyclohexane, 2-methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2-methyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,5,5-trimethyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propyl-1-oxa-2-silacyclohexane, and combinations thereof.
4. The method of claim 2 wherein the hardening additive comprises tetraethoxysilane.
5. The method of claim 2 wherein the hardening additive comprises tetramethoxysilane.
6. The method of claim 1 which is a plasma enhanced chemical vapor deposition method.
7. The method of claim 1 wherein the gaseous reagents further comprise at least one oxygen source selected from the group consisting of O2, N2O, NO, NO2, CO2, CO, water, H2O2, ozone, and combinations thereof.
8. The method of claim 1 wherein the reaction chamber in the applying step comprises at least one gas selected from the group consisting of He, Ar, N2, Kr, Xe, NH3, H2, CO2, and CO.
9. The method of claim 1 further comprising the step of applying additional energy to the film.
10. The method of claim 9 wherein the additional energy is at least one selected from the group consisting of a thermal treatment, an ultraviolet (UV) treatment, an electron beam treatment, and a gamma radiation treatment.
11. The method of claim 10 wherein the UV treatment occurs during at least a portion of the thermal treatment.
12. The method of claim 1 wherein the gaseous reagents further comprise a porogen precursor; and
wherein the step of applying energy to the gaseous reagents to deposit a film includes co-depositing a sacrificial porogen on the substrate.
13. A composition for use in a deposition process to produce a dielectric film, the composition comprising a silicon compound having the following Formula I:
Figure US20200048286A1-20200213-C00011
wherein R1 is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group; R2 is a C2 to C4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional C1 to C6 alkyl substituents, R3 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group, and alkoxy OR4 wherein R4 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, and a linear or branched C2 to C10 alkynyl group.
14. The composition of claim 13 wherein the silicon compound is at least one selected from the group consisting of 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane, 2,2-dimethyl-1-oxa-2-silacyclohexane, 2,2,6,6-tetramethyl-1-oxa-2-silacyclohexane, 2-methyl-2-ethoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-methoxy-1-oxa-2-silacyclohexane, 2-methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2-methyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,5,5-trimethyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propyl-1-oxa-2-silacyclohexane, and combinations thereof.
15. A method for making a silicon compound represented by Formula I:
Figure US20200048286A1-20200213-C00012
the method comprising:
performing hydrosilylation of an alkoxysilane with an unsaturated alcohol in presence of a catalyst, followed by cyclization with or without solvent according to equation (1) or (2) with yield of 70% higher:
Figure US20200048286A1-20200213-C00013
wherein R1 is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group; R3 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group, and alkoxy OR4 wherein R4 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, and a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group; and R5-8 are independently selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group.
16. The method of claim 15 wherein the compound represented by Formula I is selected from the group consisting of 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane, 2,2-dimethyl-1-oxa-2-silacyclohexane, 2,2,6,6-tetramethyl-1-oxa-2-silacyclohexane, 2-methyl-2-ethoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-methoxy-1-oxa-2-silacyclohexane, 2-methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2-methyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,5,5-trimethyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propyl-1-oxa-2-silacyclohexane, and combinations thereof.
17. A composition for a vapor deposition of a dielectric film comprising a silicon compound having the following Formula I:
Figure US20200048286A1-20200213-C00014
wherein R1 is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group; R2 is a C2 to C4 alkyl di-radical which forms a four-membered, five-membered, or six-membered saturated cyclic ring with the Si and oxygen atoms with optional C1 to Ce alkyl substituents, R3 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, a C3 to C10 hetero-cyclic alkyl group, a C5 to C10 aryl group, and a C3 to C10 hetero-aryl group, and alkoxy OR4 wherein R4 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, and a linear or branched C2 to C10 alkynyl group and wherein the compound is substantially free of at least one impurity selected from the group consisting of halides, organosilane impurities, and water.
18. The composition of claim 17 wherein the silicon compound is at least one selected from the group consisting of 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane, 2,2-dimethyl-1-oxa-2-silacyclohexane, 2,2,6,6-tetramethyl-1-oxa-2-silacyclohexane, 2-methyl-2-ethoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-methoxy-1-oxa-2-silacyclohexane, 2-methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2-methyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,5,5-trimethyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propyl-1-oxa-2-silacyclohexane, and combinations thereof.
19. The composition of claim 17, wherein the halides comprise chloride ions.
20. The composition of claim 19, wherein the chloride ions, if present, are present at a concentration of 50 ppm or less.
21. The composition of claim 19, wherein the chloride ions, if present, are present at a concentration of 10 ppm or less.
22. The composition of claim 19, wherein the chloride ions, if present, are present at a concentration of 5 ppm or less.
23. The composition of claim 19, wherein the composition has 0 ppm of chloride ion.
24. The composition of claim 17, wherein the sum of all organosilane impurities based on GC is 1.0 wt. % or less.
25. The composition of claim 17, wherein the sum of all organosilane impurities based on GC is 0.5 wt. % or less.
US16/657,105 2018-08-10 2019-10-18 Silicon compounds and methods for depositing films using same Abandoned US20200048286A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/657,105 US20200048286A1 (en) 2018-08-10 2019-10-18 Silicon compounds and methods for depositing films using same
US18/064,115 US20230123377A1 (en) 2018-08-10 2022-12-09 Silicon Compounds And Methods For Depositing Films Using Same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862717454P 2018-08-10 2018-08-10
US201916532657A 2019-08-06 2019-08-06
USPCT/US2019/045877 2019-08-09
US16/657,105 US20200048286A1 (en) 2018-08-10 2019-10-18 Silicon compounds and methods for depositing films using same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
USPCT/US2019/045877 Continuation 2018-08-10 2019-08-09

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/064,115 Division US20230123377A1 (en) 2018-08-10 2022-12-09 Silicon Compounds And Methods For Depositing Films Using Same

Publications (1)

Publication Number Publication Date
US20200048286A1 true US20200048286A1 (en) 2020-02-13

Family

ID=69405542

Family Applications (1)

Application Number Title Priority Date Filing Date
US16/657,105 Abandoned US20200048286A1 (en) 2018-08-10 2019-10-18 Silicon compounds and methods for depositing films using same

Country Status (1)

Country Link
US (1) US20200048286A1 (en)

Similar Documents

Publication Publication Date Title
US10395920B2 (en) Alkyl-alkoxysilacyclic compounds
US11158498B2 (en) Silicon compounds and methods for depositing films using same
JP2022153428A (en) Alkoxysilacyclic or acyloxysilacyclic compound and method for depositing film using the same
US20210339280A1 (en) Silacyclic compounds and methods for depositing silicon-containing films using same
US20220293417A1 (en) Silicon compounds and methods for depositing films using same
KR102373339B1 (en) Silicon compound and method for depositing film using same
CN110952074B (en) Silicon compound and method for depositing film using silicon compound
US20200048286A1 (en) Silicon compounds and methods for depositing films using same
US11043374B2 (en) Silacycloalkane compounds and methods for depositing silicon containing films using same
JP6993394B2 (en) Silicon compounds and methods of depositing films using silicon compounds

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION