JP6993394B2 - Silicon compounds and methods of depositing films using silicon compounds - Google Patents

Silicon compounds and methods of depositing films using silicon compounds Download PDF

Info

Publication number
JP6993394B2
JP6993394B2 JP2019199602A JP2019199602A JP6993394B2 JP 6993394 B2 JP6993394 B2 JP 6993394B2 JP 2019199602 A JP2019199602 A JP 2019199602A JP 2019199602 A JP2019199602 A JP 2019199602A JP 6993394 B2 JP6993394 B2 JP 6993394B2
Authority
JP
Japan
Prior art keywords
oxa
group
silacyclohexane
branched
linear
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019199602A
Other languages
Japanese (ja)
Other versions
JP2021025124A (en
Inventor
シアオ マンチャオ
ニコラス バーティス レイモンド
ゴードン リッジウェイ ロバート
ロバート エントレー ウィリアム
リン アン アチタイル ジェニファー
レイ シンチエン
ピー.スペンス ダニエル
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2021025124A publication Critical patent/JP2021025124A/en
Application granted granted Critical
Publication of JP6993394B2 publication Critical patent/JP6993394B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

関連出願の相互参照
本出願は、2018年8月10日付けで出願された米国仮特許出願第62/717,454号、及び2019年8月6日付けで出願された米国特許出願第16/532,657号の優先権を主張する。これらの開示内容はこれら全体を参照することにより本明細書中に援用される。
Cross-reference to related applications This application is a US provisional patent application No. 62 / 717,454 filed on August 10, 2018, and a US patent application No. 16 / filed on August 6, 2019. Claim the priority of No. 532,657. These disclosures are incorporated herein by reference in their entirety.

本明細書中に記載されているのは、構造形成前駆体としてケイ素化合物を使用して誘電体フィルムを形成するための組成物及び方法である。より具体的には、本明細書中に記載されているのは、低誘電率フィルム(「low k」フィルム、又は誘電率が約3.2以下のフィルムを形成するための組成物及び方法である。フィルムを堆積するために用いられる方法は化学蒸着(CVD)法である。本明細書中に記載された組成物及び方法によって製造された低誘電率フィルムは、例えば電子デバイス内の絶縁層として使用することができる。 Described herein are compositions and methods for forming a dielectric film using a silicon compound as a structure-forming precursor. More specifically, described herein are compositions and methods for forming low dielectric constant films (“low k” films, or films having a dielectric constant of about 3.2 or less). There is a method used to deposit the film is a chemical vapor deposition (CVD) method. Low dielectric constant films produced by the compositions and methods described herein are, for example, insulating layers in electronic devices. Can be used as.

電子工学業界は、回路間及び集積回路(IC)構成部分間及び関連電子デバイス間の絶縁層として誘電材料を利用する。マイクロ電子デバイス(例えばコンピュータチップ)の速度及びメモリ記憶容量を増大させるために、線寸法は低減されつつある。線寸法が減少するのに伴って、層間誘電体(ILD)に対する絶縁要件は著しく厳しくなる。間隔を縮めることは、RC時定数を最小化するために、より低い誘電率を必要とする。ここでRは導電線の抵抗であり、そしてCは絶縁用誘電体中間層のキャパシタンスである。キャパシタンス(C)は間隔に対して反比例し、そして層間誘電体(ILD)の誘電率(k)に対して比例する。SiH又はTEOS(Si(OCHCH、テトラエチルオルトシリケート)とOとから製造されたコンベンショナルなシリカ(SiO)CVD誘電体フィルムの誘電率kは4.0を上回る。業界はいくつかの方法において、誘電率がより低いシリカ系CVDフィルムを製造しようと試みた。最も奏功したのは、有機基で絶縁用酸化ケイ素フィルムをドープすることであり、誘電率約2.7~約3.5をもたらす。この有機シリカガラスは典型的には、有機ケイ素前駆体、例えばメチルシラン又はシロキサン、及びオキシダント、例えばO又はNOから高密度フィルム(ほぼ1.5g/cmの密度)として堆積される。有機シリカガラスは本明細書ではOSGと呼ぶ。OSGの炭素含量が増大するのに伴って、フィルムの機械的強度、例えばフィルムの硬度(H)及び弾性率(EM)は、誘電率が低減されるにつれて急速に減少する傾向がある。 The electronics industry utilizes dielectric materials as insulating layers between circuits and between integrated circuit (IC) components and related electronic devices. Line dimensions are being reduced to increase the speed and memory storage capacity of microelectronic devices (eg, computer chips). As the line size decreases, the insulation requirements for interstitial dielectrics (ILDs) become significantly tighter. Shortening the interval requires a lower permittivity to minimize the RC time constant. Here, R is the resistance of the conductive wire, and C is the capacitance of the insulating dielectric intermediate layer. The capacitance (C) is inversely proportional to the spacing and proportional to the permittivity (k) of the interlayer dielectric (ILD). The dielectric constant k of a conventional silica (SiO 2 ) CVD dielectric film made from SiH 4 or TEOS (Si (OCH 2 CH 3 ) 4 , tetraethyl orthosilicate) and O 2 is greater than 4.0. The industry has attempted to produce silica-based CVD films with lower dielectric constants in several ways. The most successful is to dope an insulating silicon oxide film with an organic group, resulting in a dielectric constant of about 2.7 to about 3.5. The organosilica glass is typically deposited as a high density film (density of approximately 1.5 g / cm 3 ) from organosilicon precursors such as methylsilane or siloxane and oxidants such as O 2 or N 2 O. Organic silica glass is referred to herein as OSG. As the carbon content of OSG increases, the mechanical strength of the film, such as the hardness (H) and elastic modulus (EM) of the film, tends to decrease rapidly as the dielectric constant decreases.

業界内で認識されている難題は、フィルムの誘電率が低ければ低いほど、典型的にはそのフィルムの機械的強度が低くなることである。機械的強度が低くなることにより、狭ピッチフィルムの欠陥、例えば層間剥離、座屈、電子移動の増大、例えば機械的特性が低減された誘電体フィルム内に埋め込まれた銅から形成された導電線に関して観察されるような電子移動の増大が促進されることになる。このような欠陥は、導電性銅線の誘電体又はボイドの時期尚早の破壊を招くおそれがあり、これによりデバイスが時期尚早に故障することになる。OSGフィルム内の炭素の欠乏が下記の問題、すなわちフィルムの誘電率の増大、湿式清浄化工程中のフィルムエッチング及びフィーチャのボーイング、疎水性の損失によるフィルム内への湿分吸収、パターンエッチング後の湿式清浄化工程中における微細フィーチャのパターン圧潰、及び/又は、後続層、一例としては銅拡散バリア、例えばTa/TaN又は先進的Co又はMnNバリア層を堆積するときの集積上の問題、のうちの1つ又は2つ以上を招くおそれもある。 A challenge recognized within the industry is that the lower the dielectric constant of a film, the lower the mechanical strength of the film. Due to the reduced mechanical strength, defects in the narrow pitch film, such as delamination, buckling, and increased electron transfer, such as conductive wire formed from copper embedded in a dielectric film with reduced mechanical properties. Will promote the increase in electron transfer as observed with respect to. Such defects can lead to premature destruction of the dielectric or void of the conductive copper wire, which results in premature failure of the device. Carbon deficiency in the OSG film is the following problem: increased dielectric constant of the film, film etching and feature boiling during the wet cleaning process, moisture absorption into the film due to loss of hydrophobicity, after pattern etching. Of the pattern crushing of fine features during the wet cleaning process and / or accumulation problems when depositing subsequent layers, eg, copper diffusion barriers such as Ta / TaN or advanced Co or MnN barrier layers. It may lead to one or more of the above.

これらの問題点の1つ又は2つ以上に対する考えられ得る解決手段は、増大した炭素含量を有しはするが、しかし機械的強度を維持する多孔質OSGフィルムを使用することを含む。残念ながら、増大するSi-Me含量間の関係は、機械的特性の低下をもたらすのが典型的であり、ひいてはより多くのSi-Meを有するフィルムは、集積にとって重要な機械的強度に不都合な影響を及ぼすことになる。 A possible solution to one or more of these problems involves using a porous OSG film that has an increased carbon content but maintains mechanical strength. Unfortunately, the relationship between the increased Si-Me content typically results in a decrease in mechanical properties, and thus films with more Si-Me are detrimental to the mechanical strength, which is important for integration. It will affect you.

提案されている1つの解決手段は、一般式R(RO)3-xSi(CHSiR(OR)3-zのエチレン又はメチレン架橋型アルコキシシランを使用することである。ここでx=0~3、y=1又は2、z=0~3である。架橋型種の使用は、架橋用酸素を架橋用炭素鎖と置換することにより、機械的特性に対する不都合な影響を回避すると考えられている。それというのもネットワーク結合性が同じままになるからである。このことは、架橋用酸素を末端メチル基と置換すると、ネットワーク結合性を低下させることにより機械的強度を低下させるという考えから生じている。このようにすると、酸素原子を1~2つの炭素原子と置換することにより、機械的強度を低下させることなしに原子重量パーセント(%)Cを増大させることができる。しかしながら、これらの架橋型前駆体は一般に、2つのケイ素基を有することから分子量が増大することに基づき極めて高い沸点を有する。沸点が高められると、このことは、化学的前駆体を蒸気送達ライン内又はプロセスポンプ排気装置内で凝縮させることなしに、この化学的前駆体を反応チャンバ内へ気相試薬として送達することを難しくすることによって、製造プロセスに不都合な影響を及ぼすことがある。 One proposed solution is to use ethylene or methylene crosslinked alkoxysilanes of the general formula R x (RO) 3-x Si (CH 2 ) y SiR z (OR) 3-z . Here, x = 0 to 3, y = 1 or 2, and z = 0 to 3. The use of cross-linked species is believed to avoid adverse effects on mechanical properties by substituting cross-linking oxygen with cross-linking carbon chains. That's because network connectivity remains the same. This arises from the idea that substituting the cross-linking oxygen with a terminal methyl group reduces the network binding property and thus the mechanical strength. In this way, by substituting one or two carbon atoms for the oxygen atom, the atomic weight percent (%) C can be increased without reducing the mechanical strength. However, these crosslinked precursors generally have a very high boiling point due to their increased molecular weight due to their two silicon groups. When the boiling point is increased, this means that the chemical precursor is delivered as a gas phase reagent into the reaction chamber without condensing it in the steam delivery line or in the process pump exhaust system. Making it difficult can adversely affect the manufacturing process.

従って、堆積時に増大した炭素含量を有し、それでもなお上述の欠点を被らないフィルムを提供する誘電体前駆体が当該技術分野において必要である。 Therefore, there is a need in the art for dielectric precursors that provide a film that has an increased carbon content during deposition and yet does not suffer from the above-mentioned drawbacks.

本明細書中に記載された方法及び組成物は、上記1つ又は2つ以上の必要を満たす。本明細書中に記載された方法及び組成物は、少なくとも1種のケイ素化合物、例えば、2,2,5,5-テトラメチル-1-オキサ-2-シラシクロペンタン、又は2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタンを、ケイ素前駆体として使用する。このケイ素前駆体は、low-k層間誘電体を提供するために堆積されたままで使用することができ、或いは、続いて熱、プラズマ、又はUVエネルギー源で処理することにより、フィルム特性を変化させて、例えば機械的強度を向上させるように化学的架橋を提供することもできる。さらに、ケイ素前駆体として本明細書中に記載されたケイ素化合物を使用して堆積されたフィルムは比較的多量の炭素を含む。加えて、本明細書中に記載されたケイ素化合物は、従来技術のケイ素前駆体、例えば2つのケイ素基を有するという性質によってMWがより高く沸点もより高い架橋型前駆体(例えばアルコキシシラン前駆体)に対して分子量(Mw)が低く、これにより、沸点が250℃以下、より好ましくは200℃以下のケイ素前駆体を形成する。本明細書中に記載された方法及び組成物は、例えば大量生産プロセスにおける処理により好都合である。 The methods and compositions described herein meet one or more of the above requirements. The methods and compositions described herein include at least one silicon compound, such as 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, or 2,5,5. -Trimethyl-2-ethoxy-1-oxa-2-silacyclopentane is used as a silicon precursor. This silicon precursor can be used as deposited to provide a low-k interlayer dielectric, or can be subsequently treated with a heat, plasma, or UV energy source to alter the film properties. For example, chemical cross-linking can be provided to improve mechanical strength. In addition, films deposited using the silicon compounds described herein as silicon precursors contain relatively large amounts of carbon. In addition, the silicon compounds described herein are conventional silicon precursors, eg, crosslinked precursors with higher MW and higher boiling point due to their property of having two silicon groups (eg, alkoxysilane precursors). ), Which has a lower molecular weight (Mw), thereby forming a silicon precursor having a boiling point of 250 ° C. or lower, more preferably 200 ° C. or lower. The methods and compositions described herein are more convenient, for example, for processing in mass production processes.

本明細書中に記載されているのは、単一の前駆体ベースの誘電体フィルムであって、式Siによって表される材料を含む。ここでv+w+x+y+z=100%であり、vは10~35原子%であり、wは10~65原子%であり、xは5~45原子%であり、yは10~50原子%であり、そしてzは0~15原子%である。フィルムは容積有孔率5.0~30.0%の孔と、誘電率2.3~3.2と、硬度1.0~7.0ギガパスカル(GPa)、及び弾性率4.0~40.0GPaのような機械的特性とを有している。ある特定の実施態様では、フィルムはX線分光分析(XPS)によって測定して、より高い炭素含量(10~40%)を含み、XPS深さプロファイリングによって判定して炭素含量を調べることによって測定して、例えばO又はNHプラズマに対する暴露時に炭素除去深さが低減されることを示す。 Described herein is a single precursor-based dielectric film that includes a material represented by the formula SivOwCxHYFz . Where v + w + x + y + z = 100%, v is 10-35 atomic%, w is 10-65 atomic%, x is 5-45 atomic%, y is 10-50 atomic%, and z is 0 to 15 atomic%. The film has pores with a volume of 5.0 to 30.0%, a dielectric constant of 2.3 to 3.2, a hardness of 1.0 to 7.0 gigapascal (GPa), and an elastic modulus of 4.0 to 4.0. It has mechanical properties such as 40.0 GPa. In certain embodiments, the film contains higher carbon content (10-40%) as measured by X-ray photoelectron analysis (XPS) and is measured by examining the carbon content as determined by XPS depth profiling. It is shown that the carbon removal depth is reduced when exposed to, for example, O 2 or NH 3 plasma.

1態様において、誘電体フィルムを製造するための化学蒸着方法であって、前記方法が、基体を反応チャンバ内へ提供し、反応チャンバ内へ気体状試薬を導入し、前記気体状試薬が下記式I、すなわち、

Figure 0006993394000001
の構造を有するケイ素化合物を含むケイ素前駆体を含み、
は水素、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基から成る群から選択され、そしてRは、Si原子及び酸素原子とともに4員、5員、又は6員飽和環式リングを、前記リングに結合された任意のアルキル置換基を有する状態で形成するC~Cアルキルジラジカルであり、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、C~C10ヘテロアリール基、及びアルコキシORから成る群から選択され、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、及び直鎖状又は分枝状C~C10アルキニル基、少なくとも1種の酸素源から成る群から選択され、そして、前記基体上にフィルムを堆積するために前記気体状試薬の反応を誘発するように、前記反応チャンバ内の前記気体状試薬にエネルギーを印加することを含む、誘電体フィルムを製造するための化学蒸着方法が提供される。堆積されたままのフィルムは、付加的な処理、例えば熱アニーリング、プラズマ暴露、又はUV硬化なしで使用することができる。 In one embodiment, it is a chemical vapor deposition method for producing a dielectric film, wherein the substrate is provided in a reaction chamber, a gaseous reagent is introduced into the reaction chamber, and the gaseous reagent is expressed by the following formula. I, that is,
Figure 0006993394000001
Containing a silicon precursor containing a silicon compound having the structure of
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, and R 2 is A C2 - C4 alkyl diradyl that forms a 4-membered, 5-membered, or 6-membered saturated ring with a Si atom and an oxygen atom with any alkyl substituent attached to the ring, R 3 Is a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, C 3 to Selected from the group consisting of C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, C 3 to C 10 heteroaryl groups, and alkoxy OR 4 , R 4 is Linear or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, and linear or branched C 2 to C 10 alkynyl groups, at least one. Selected from the group consisting of oxygen sources and comprising applying energy to the gaseous reagent in the reaction chamber to induce a reaction of the gaseous reagent to deposit a film on the substrate. , A chemical vapor deposition method for producing a dielectric film is provided. The as-deposited film can be used without additional treatment, such as thermal annealing, plasma exposure, or UV curing.

誘電体フィルムの蒸着のための組成物であって、前記組成物が下記式I、すなわち

Figure 0006993394000002
を有するケイ素化合物を含み、
は水素、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基から成る群から選択され、Rは、Si原子及び酸素原子とともに4員、5員、又は6員飽和環式リングを、任意のC~Cアルキル置換基を有する状態で形成するC~Cアルキルジラジカルであり、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基、及びアルコキシORから成る群から選択され、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、及び直鎖状又は分枝状C~C10アルキニル基から成る群から選択される、誘電体フィルムの蒸着のための組成物が提供される。 A composition for vapor deposition of a dielectric film, wherein the composition is the following formula I, that is,
Figure 0006993394000002
Contains silicon compounds with
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, R 2 is Si. A C2 - C4 alkyl diradyl that forms a 4-membered, 5-membered, or 6 -membered saturated cyclic ring with an atom and an oxygen atom with any C1-C6 alkyl substituents , where R3 is Linear or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, linear or branched C 2 to C 10 alkynyl groups, C 3 to C 10 Selected from the group consisting of a cyclic alkyl group, a C 3 to C 10 heterocyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 heteroaryl group, and an alkoxy OR 4 , where R 4 is direct. Selected from the group consisting of chain or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, and linear or branched C 2 to C 10 alkynyl groups. A composition for vapor deposition of a dielectric film is provided.

別の態様によれば、前記組成物は、ハロゲン化物、有機シラン、及び水から成る群から選択された少なくとも1種の不純物をほとんど含まない、 According to another aspect, the composition is almost free of at least one impurity selected from the group consisting of halides, organic silanes, and water.

式I、すなわち

Figure 0006993394000003
によって表されるケイ素化合物を製造する方法であって、
前記方法が、
触媒の存在において不飽和アルコールでアルコキシシランのヒドロシリル化を実施し、これに続いて70%以上の収率で、反応式(1)又は(2)、すなわち、
Figure 0006993394000004
に従って、溶媒がある状態又はない状態で環化を行うことを含み、
は水素、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基から成る群から選択され、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基、及びアルコキシORから成る群から選択され、Rは、直鎖状又は分枝状C~C10アルキル基、及び直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基から成る群から選択され、そしてR5-8は、水素、直鎖状又は分枝状C~C10アルキル基から成る群から選択される、ケイ素化合物を製造する方法が提供される。 Equation I, i.e.
Figure 0006993394000003
A method for producing a silicon compound represented by
The above method
Hydrosilylation of the alkoxysilane with unsaturated alcohols was carried out in the presence of the catalyst, followed by reaction equation (1) or (2), ie in yields of 70% or greater.
Figure 0006993394000004
Including cyclization with or without solvent according to
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, R 3 is direct. Chained or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, linear or branched C 2 to C 10 alkynyl groups, C 3 to C 10 rings Selected from the group consisting of formula alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, and alkoxy OR 4 , R 4 is a straight chain. Selected from the group consisting of linear or branched C 1 to C 10 alkyl groups and linear or branched C 2 to C 10 alkenyl groups, linear or branched C 2 to C 10 alkynyl groups. And R 5-8 provides a method for producing a silicon compound selected from the group consisting of hydrogen, linear or branched C 1 to C 10 alkyl groups.

本明細書中に記載されているのは、誘電体フィルムを製造するための化学蒸着方法であって、前記方法が、基体を反応チャンバ内へ提供し、反応チャンバ内へ気体状試薬を導入し、前記気体状試薬が下記式I、すなわち、

Figure 0006993394000005
の構造を有するケイ素化合物を含むケイ素前駆体を含み、
は水素、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基から成る群から選択され、そしてRは、Si原子及び酸素原子とともに4員、5員、又は6員飽和環式リングを、前記リングに結合された任意のアルキル置換基を有する状態で形成するC~Cアルキルジラジカルであり、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、C~C10ヘテロアリール基、及びアルコキシORから成る群から選択され、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、及び直鎖状又は分枝状C~C10アルキニル基、少なくとも1種の酸素源から成る群から選択され、そして、前記基体上にフィルムを堆積するために前記気体状試薬の反応を誘発するように、前記反応チャンバ内の前記気体状試薬にエネルギーを印加することを含む、誘電体フィルムを製造するための化学蒸着方法である。フィルムは、堆積されたままの状態で使用することができ、或いは、続いて熱エネルギー(アニール)、プラズマ暴露、及びUV硬化から成る群から選択された付加的なエネルギーで処理することによって、フィルムの機械的強度を増大させ、そして3.3未満の誘電率をもたらすことにより、フィルムの化学的特性を改変することもできる。 Described herein is a chemical vapor deposition method for producing a dielectric film, wherein the substrate is provided into a reaction chamber and a gaseous reagent is introduced into the reaction chamber. , The gaseous reagent is the following formula I, that is,
Figure 0006993394000005
Containing a silicon precursor containing a silicon compound having the structure of
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, and R 2 is A C2 - C4 alkyl diradyl that forms a 4-membered, 5-membered, or 6-membered saturated ring with a Si atom and an oxygen atom with any alkyl substituent attached to the ring, R 3 Is a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, C 3 to Selected from the group consisting of C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, C 3 to C 10 heteroaryl groups, and alkoxy OR 4 , R 4 is Linear or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, and linear or branched C 2 to C 10 alkynyl groups, at least one. Selected from the group consisting of oxygen sources and comprising applying energy to the gaseous reagent in the reaction chamber to induce a reaction of the gaseous reagent to deposit a film on the substrate. , A chemical vapor deposition method for producing a dielectric film. The film can be used as deposited, or by subsequent treatment with additional energy selected from the group consisting of thermal energy (annealing), plasma exposure, and UV curing. The chemical properties of the film can also be modified by increasing the mechanical strength of the film and resulting in a dielectric constant of less than 3.3.

本明細書中に記載されたケイ素化合物は独自の属性を提供する。これらの属性により、従来技術の構造形成前駆体、例えばジエトキシメチルシラン(DEMS)と比較して、誘電体フィルム内により多くの炭素含量を取り込むことが可能になるとともに、low k誘電体フィルムの機械的特性に及ぼす影響は少ない。例えば、DEMSは混合リガンドシステムは、2つのアルコキシ基と、1つのケイ素-メチル(Si-Me)と、1つのケイ素-水素化物とを含む混合リガンドシステムを有する。混合リガンドシステムは反応性部位のバランスを提供し、そして所望の誘電率を維持しながら機械的により堅牢なフィルムの形成を可能にする。ケイ素化合物の使用は、機械的強度を低下させる傾向があるケイ素-メチル基が前駆体内に存在せず、その一方で、シラサイクリック(silacyclic)リング内の炭素がOSGフィルムに炭素を提供することにより、誘電率を低下させ、且つ疎水性を植え付ける(imbue)という利点をもたらす。 The silicon compounds described herein provide unique attributes. These attributes allow for higher carbon content in the dielectric film as compared to prior art structure forming precursors such as diethoxymethylsilane (DEMS), as well as low k dielectric films. It has little effect on mechanical properties. For example, DEMS has a mixed ligand system containing two alkoxy groups, one silicon-methyl (Si-Me), and one silicon-hydrogen compound. The mixed ligand system provides a balance of reactive sites and allows the formation of mechanically more robust films while maintaining the desired permittivity. The use of silicon compounds is that the silicon-methyl group, which tends to reduce mechanical strength, is absent in the precursor, while the carbon in the silacyclic ring provides carbon to the OSG film. This brings about the advantage of lowering the dielectric constant and imbuing the hydrophobicity.

low k誘電体フィルムは有機シリカガラス(「OSG」)フィルム又は材料である。有機ケイ酸塩がlow k材料の候補である。有機ケイ素前駆体のタイプは、フィルムの構造及び組成に強い影響を与えるので、所望の誘電率に達するために所要量の炭素を添加することにより、機械的に堅固でないフィルムが製造されはしないことを保証するのに必要とされるフィルム特性を提供する前駆体を使用することが有益である。本明細書中に記載された方法及び組成物は、電気的及び機械的な特性の望ましいバランス、並びに他の有益なフィルム特性、例えば高い炭素含量を有することにより、集積プラズマ損傷抵抗を改善するlow k誘電体フィルムを生成するための手段を提供する。 The low k dielectric film is an organic silica glass (“OSG”) film or material. Organic silicates are candidates for low k materials. The type of organic silicon precursor has a strong influence on the structure and composition of the film, so adding the required amount of carbon to reach the desired permittivity does not produce a film that is not mechanically rigid. It is beneficial to use precursors that provide the film properties required to ensure. The methods and compositions described herein are low to improve integrated plasma damage resistance by having a desirable balance of electrical and mechanical properties, as well as other beneficial film properties, such as high carbon content. A means for producing a dielectric film is provided.

本明細書中に記載された方法及び組成物のある特定の実施態様の場合、ケイ素含有誘電材料層が、化学蒸着(CVD)法又はプラズマ支援型化学蒸着(PECVD)法、好ましくはPECVD法を介して、反応チャンバを採用して基体の少なくとも一部上に堆積される。好適な基体の一例としては、半導体材料、例えば砒化ガリウム(「GaAs」)、ケイ素、及びケイ素含有組成物、例えば結晶シリコン、ポリシリコン、非晶質シリコン、エピタキシャルシリコン、二酸化ケイ素(「SiO」)、ケイ素ガラス、窒化ケイ素、溶融シリカ、ガラス、クオーツ、ホウケイ酸塩ガラス、及びこれらの組み合わせが挙げられる。他の好適な材料は、クロム、モリブデン、及び半導体、集積回路、フラット・パネル・ディスプレイ、フレキシブル・ディスプレイ用途において一般に採用される他の金属を含む。基体は付加的な層、例えばケイ素、SiO、有機ケイ酸塩ガラス(OSG)、フッ素化ケイ酸塩ガラス(FSG)、炭窒化ホウ素、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、有機-無機複合材料、フォトレジスト、有機ポリマー、多孔質の有機及び無機材料及び複合体、金属酸化物、例えば酸化アルミニウム、及び酸化ゲルマニウムを有してよい。さらに別の層はゲルマノシリケート、アルミノシリケート、銅及びアルミニウム、及び拡散バリア材料、一例としてはTiN、Ti(C)N、TaN、Ta(C)N、Ta、W、又はWNであってもよい。 For certain embodiments of the methods and compositions described herein, the silicon-containing dielectric material layer may be a chemical vapor deposition (CVD) method or a plasma-enhanced chemical vapor deposition (PECVD) method, preferably a PECVD method. Through, a reaction chamber is employed and deposited on at least a portion of the substrate. Examples of suitable substrates are semiconductor materials such as gallium arsenide (“GaAs”), silicon, and silicon-containing compositions such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, silicon dioxide (“SiO 2 ””. ), Silicon glass, silicon nitride, fused silica, glass, quartz, borosilicate glass, and combinations thereof. Other suitable materials include chromium, molybdenum, and other metals commonly used in semiconductors, integrated circuits, flat panel displays, flexible display applications. The substrate is an additional layer, such as silicon, SiO 2 , organic silicate glass (OSG), fluorinated silicate glass (FSG), boron carbide, silicon carbide, silicon hydride, silicon nitride, hydride Silicon, silicon nitride, silicon hydride, boron nitride, organic-inorganic composites, photoresists, organic polymers, porous organic and inorganic materials and composites, metal oxides such as aluminum oxide, and germanium oxide. May have. Yet another layer may be germanium silicate, aluminosilicate, copper and aluminum, and diffusion barrier materials, eg TiN, Ti (C) N, TaN, Ta (C) N, Ta, W, or WN. good.

ある特定の実施態様では、ケイ素含有誘電材料層は、ポロゲン前駆体を有しない状態でケイ素化合物を含む少なくとも1種のケイ素前駆体を含む気体状試薬を反応チャンバ内へ導入することによって、基体の少なくとも一部上に堆積される。別の実施態様では、ケイ素含有誘電材料層は、硬化添加剤を有する状態でケイ素化合物を含む少なくとも1種のケイ素前駆体を含む気体状試薬を反応チャンバ内へ導入することによって、基体の少なくとも一部上に堆積される。 In certain embodiments, the silicon-containing dielectric material layer is made of a substrate by introducing a gaseous reagent containing at least one silicon precursor containing a silicon compound into the reaction chamber in the absence of a pologene precursor. At least partially deposited on top. In another embodiment, the silicon-containing dielectric material layer comprises at least one of the substrates by introducing a gaseous reagent containing at least one silicon precursor containing a silicon compound into the reaction chamber in the presence of a curing additive. It is deposited on the part.

本明細書中に記載された方法及び組成物は、
下記式I、すなわち、

Figure 0006993394000006
を有するケイ素化合物を含み、
は水素、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基から成る群から選択され、そしてRは、Si原子及び酸素原子とともに4員、5員、又は6員飽和環式リングを、前記リングに結合された任意のアルキル置換基を有する状態で形成するC~Cアルキルジラジカルであり、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基、及びアルコキシORから成る群から選択され、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、及び直鎖状又は分枝状C~C10アルキニル基から成る群から選択される。 The methods and compositions described herein are:
The following formula I, that is,
Figure 0006993394000006
Contains silicon compounds with
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, and R 2 is A C2 - C4 alkyl diradyl that forms a 4-membered, 5-membered, or 6-membered saturated ring with a Si atom and an oxygen atom with any alkyl substituent attached to the ring, R 3 Is a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, C 3 to Selected from the group consisting of C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, and alkoxy OR 4 , R 4 is selected. , Linear or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, and linear or branched C 2 to C 10 alkynyl groups. Be selected.

上記式において、そして説明全体を通して、「アルキル」という用語は、炭素原子数1~10の直鎖状又は分枝状官能基を意味する。模範的な直鎖状アルキル基の一例としては、メチル、エチル、n-プロピル、ブチル、ペンチル、及びヘキシル基が挙げられる。模範的な分枝状アルキル基の一例としては、イソ-プロピル、イソ-ブチル、sec-ブチル、tert-ブチル、イソ-ペンチル、tert-ペンチル、イソ-ヘキシル、及びネオ-ヘキシルが挙げられる。ある特定の実施態様では、アルキル基は、これに結合された1種又は2種以上の官能基を有していてよい。このような官能基の一例としては、アルキル基に結合された、アルコキシ基、例えばメトキシ、エトキシ、イソ-プロポキシ、及びn-プロポキシ、ジアルキルアミノ基、例えばジメチルアミノ、又はこれらの組み合わせが挙げられる。他の実施態様では、アルキル基は、これに結合された1種又は2種以上の官能基を有していない。アルキル基は飽和型であってよく、或いは不飽和型であってもよい。 In the above formula and throughout the description, the term "alkyl" means a linear or branched functional group having 1-10 carbon atoms. Examples of exemplary linear alkyl groups include methyl, ethyl, n-propyl, butyl, pentyl, and hexyl groups. Examples of exemplary branched alkyl groups include iso-propyl, iso-butyl, sec-butyl, tert-butyl, iso-pentyl, tert-pentyl, iso-hexyl, and neo-hexyl. In certain embodiments, the alkyl group may have one or more functional groups attached thereto. Examples of such functional groups include alkoxy groups attached to alkyl groups such as methoxy, ethoxy, iso-propoxy, and n-propoxy, dialkylamino groups such as dimethylamino, or combinations thereof. In other embodiments, the alkyl group does not have one or more functional groups attached to it. The alkyl group may be saturated or unsaturated.

上記式Iにおいて、そして説明全体を通して、「環式アルキル」という用語は、炭素原子数3~10の環式官能基を意味する。模範的な環式アルキル基の一例としては、シクロブチル、シクロペンチル、シクロヘキシル、及びシクロオクチル基が挙げられる。 In Formula I above, and throughout the description, the term "cyclic alkyl" means a cyclic functional group having 3 to 10 carbon atoms. Examples of exemplary cyclic alkyl groups include cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups.

上記式Iにおいて、そして説明全体を通して、「ヘテロ環式」という用語は、C~C10ヘテロ環式アルキル基、例えばエポキシ基を意味する。 In Formula I above, and throughout the description, the term "heterocyclic" means a C 3 to C 10 heterocyclic alkyl group, such as an epoxy group.

上記式Iにおいて、そして説明全体を通して、「アルケニル基」という用語は、1つ又は2つ以上の炭素-炭素二重結合を有し、炭素原子数が2~10、又は2~10、又は2~6である基を意味する。 In Formula I above, and throughout the description, the term "alkenyl group" has one or more carbon-carbon double bonds and has 2-10, or 2-10, or 2 carbon atoms. It means a group of ~ 6.

上記式Iにおいて、そして説明全体を通して、「アルキニル基」という用語は、1つ又は2つ以上の炭素-炭素三重結合を有し、炭素原子数が3~10、又は2~10、又は2~6である基を意味する。 In Formula I above, and throughout the description, the term "alkynyl group" has one or more carbon-carbon triple bonds and has 3 to 10, or 2 to 10, or 2 to carbon atoms. It means a group that is 6.

上記式Iにおいて、そして説明全体を通して、「アリール基」という用語は、炭素原子数5~10、又は炭素原子数6~10の芳香族環式官能基を意味する。模範的なアリール基の一例としては、フェニル、ベンジル、クロロベンジル、トリル、及びo-キシリルが挙げられる。 In Formula I above, and throughout the description, the term "aryl group" means an aromatic cyclic functional group having 5 to 10 carbon atoms or 6 to 10 carbon atoms. Examples of exemplary aryl groups include phenyl, benzyl, chlorobenzyl, trill, and o-kisilyl.

上記式Iにおいて、そして説明全体を通して、「ヘテロ-アリール基」という用語は、C~C10ヘテロ環式アリール基、1,2,3-トリアゾリル、ピロリル、及びフラニルを意味する。 In Formula I above, and throughout the description, the term "hetero-aryl group" means C 3 to C 10 heterocyclic aryl groups, 1,2,3-triazolyl, pyrrolyl, and furanyl.

上記式Iにおいて、置換基Rは、Si原子及び酸素原子とともに4員、5員、又は6員環式リングを形成するC~C10アルキルジラジカルである。
当業者には明らかなように、Rは、置換型又は無置換型炭化水素鎖であり、この炭化水素鎖は、Si原子及び酸素原子とリンクすることにより、式Iのリングを形成し、このリングは4員、5員、又は6員リングである。これらの実施態様では、リング構造は飽和リング、例えば環式アルキルリングであってよい。模範的な飽和リングの一例としては、シラシクロブタン、シラシクロペンタン、及びシラシクロヘキサン、好ましくはシラシクロペンタン、又はアルキル、例えばメチル置換型のシラシクロペンタンが挙げられる。
In formula I above, the substituent R2 is a C 3- to C 10 alkyl diradical that forms a 4-membered, 5-membered, or 6-membered cyclic ring with a Si atom and an oxygen atom.
As will be apparent to those of skill in the art, R 2 is a substituted or unsubstituted hydrocarbon chain, which links with Si and oxygen atoms to form a ring of formula I. This ring is a 4-member, 5-member, or 6-member ring. In these embodiments, the ring structure may be a saturated ring, eg, a cyclic alkyl ring. Examples of exemplary saturation rings include silacyclobutane, silacyclopentane, and silacyclohexane, preferably silacyclopentane, or alkyl, eg, methyl-substituted silacyclopentane.

説明全体を通して、「アルコキシ」という用語は、少なくとも1つの炭素原子を有するアルコールから誘導された基を意味する。模範的なアルコキシ基の一例としては、メトキシ、エトキシ、イソ-プロポキシ、ノルマル-プロポキシが挙げられる。 Throughout the description, the term "alkoxy" means a group derived from an alcohol having at least one carbon atom. Examples of exemplary alkoxy groups include methoxy, ethoxy, iso-propoxy, normal-propoxy.

説明全体を通して、「酸素源」という用語は、酸素(O)、酸素とヘリウムとの混合物、酸素とアルゴンとの混合物、二酸化炭素、一酸化炭素、及びこれらの組み合わせを含む気体を意味する。 Throughout the description, the term "oxygen source" means a gas containing oxygen (O 2 ), a mixture of oxygen and helium, a mixture of oxygen and argon, carbon dioxide, carbon monoxide, and combinations thereof.

説明全体を通して、「誘電体フィルム」という用語は、ケイ素原子及び酸素原子を有する組成物Siを含むフィルムであって、v+w+x+y+z=100%であり、vは10~35原子%であり、wは10~65原子%であり、xは5~40原子%であり、yは10~50原子%であり、そしてzは0~15原子%である、フィルムを意味する。 Throughout the description, the term "dielectric film" is a film containing the composition Si vO w C x Hy F z with silicon and oxygen atoms, where v + w + x + y + z = 100%, where v is from 10 to. 35 atomic%, w is 10-65 atomic%, x is 5-40 atomic%, y is 10-50 atomic%, and z is 0-15 atomic%, meaning film. do.

式Iのある特定の実施態様では、Rは、水素、メチル、及びエチルから成る群から選択され、Rはメチル、エチル、イソプロピル、n-プロピル、メトキシ、エトキシ、イソ-プロポキシ、及びn-プロポキシから成る群から選択され、そしてRは、Si原子及び酸素原子と4員、5員、又は6員飽和環式リングを形成する。いくつかの実施態様では、Si原子を有する4員、5員、又は6員飽和環式リングは、少なくとも1つのアルキル置換基、例えばメチル基をリング構造上に有していてよい。これらの実施態様の例は下記の通りである。

Figure 0006993394000007
Figure 0006993394000008
Figure 0006993394000009
Figure 0006993394000010
式Iを有するケイ素化合物は、例えば触媒の存在において不飽和アルコールでアルコキシシランをヒドロシリル化し、続いて、70%以上、好ましくは80%以上の収率で、溶媒がある状態又はない状態で5員、又は6員飽和環式リングを製造するように環化を行うことによって合成することができる(例えば反応式(1)又は(2))。合成ルートの例を以下に示す。
Figure 0006993394000011
,R及びRは、上述のものと同じであり、R5-8は、水素、直鎖状又は分枝状C~C10アルキル基から成る群から選択され、好ましくは水素、又はメチルである。 In certain embodiments of formula I, R 1 is selected from the group consisting of hydrogen, methyl, and ethyl, and R 3 is methyl, ethyl, isopropyl, n-propyl, methoxy, ethoxy, iso-propoxy, and n. -Selected from the group consisting of propoxy, and R 2 forms a 4-membered, 5-membered, or 6-membered saturated cyclic ring with Si and oxygen atoms. In some embodiments, the 4-membered, 5-membered, or 6-membered saturated cyclic ring with a Si atom may have at least one alkyl substituent, such as a methyl group, on the ring structure. Examples of these embodiments are as follows.
Figure 0006993394000007
Figure 0006993394000008
Figure 0006993394000009
Figure 0006993394000010
The silicon compound having formula I hydrosilylates the alkoxysilane with an unsaturated alcohol, for example in the presence of a catalyst, followed by 5 members in a yield of 70% or higher, preferably 80% or higher, with or without solvent. , Or can be synthesized by performing cyclization to produce a 6-membered saturated cyclic ring (eg, reaction formula (1) or (2)). An example of a synthetic route is shown below.
Figure 0006993394000011
R 1 , R 3 and R 4 are the same as those described above, and R 5-8 is selected from the group consisting of hydrogen, linear or branched C 1 to C 10 alkyl groups, preferably hydrogen. , Or methyl.

本明細書中に記載されたケイ素化合物、並びにこれらの化合物を含む方法及び組成物は、1種又は2種以上の不純物、一例としてはハロゲン化物イオン及び水をほとんど含まない。本明細書中に使用されるように、それぞれの不純物に関する「ほとんど含まない」という用語は、100万部当たり100部(ppm)以下、50ppm以下、10ppm以下、5ppm以下、及び1ppm以下のそれぞれの不純物、一例としては塩化物又は水を意味する。 The silicon compounds described herein, as well as methods and compositions comprising these compounds, are almost free of one or more impurities, such as halide ions and water. As used herein, the term "nearly free" for each impurity is 100 parts (ppm) or less, 50 ppm or less, 10 ppm or less, 5 ppm or less, and 1 ppm or less per million copies, respectively. It means impurities, for example chloride or water.

本発明による式Iを有するケイ素化合物、及び本発明による式Iを有するケイ素前駆体化合物を含む組成物はハロゲン化物をほとんど含まないことが好ましい。本明細書中に使用されるように、ハロゲン化物イオン(又はハロゲン化物)、例えば塩化物(すなわち塩化物含有種、例えばHCl、又は少なくとも1つのSi-Cl結合を有するケイ素化合物)、及びフッ化物、臭化物、及びヨウ化物に関する「ほとんど含まない」という用語は、ICP-MSによって測定して5ppm(重量)未満、好ましくはICP-MSによって測定して3ppm未満、そしてより好ましくはICP-MSによって測定して1ppm未満、そして最も好ましくはICP-MSによって測定して0ppmであることを意味する。塩化物は、式Iを有するケイ素化合物のための分解触媒として作用することが知られている。最終生成物中の有意なレベルの塩化物は、ケイ素前駆体化合物を劣化させることがある。ケイ素化合物の漸次の劣化は、フィルム堆積プロセスに直接に影響を及ぼすことがあり、これにより、半導体製造業者はフィルムの仕様を満たすのが難しくなる。加えて、貯蔵寿命又は安定性が、式Iを有するケイ素化合物のより高い劣化速度によって不都合な影響を及ぼされ、これにより1~2年の貯蔵寿命を保証することが難しくなる。従って、式Iを有するケイ素化合物の分解の加速は、可燃性且つ/又は自然発火性の気体状副生成物の形成に関連する安全上及び性能上の懸念をもたらす。式Iを有するケイ素化合物は、金属イオン、例えばLi、Na、K、Mg2+、Ca2+、Al3+、Fe2+、Fe2+、Fe3+、Ni2+、Cr3+をほとんど含まないことが好ましい。本明細書中に使用されるように、Li、Na、K、Mg、Ca、Al、Fe、Ni、Crに関する「ほとんど含まない」という用語は、ICP-MSによって測定して5ppm(重量)未満、好ましくは3ppm未満、そしてより好ましくは1ppm未満、そして最も好ましくは0.1ppm未満を意味する。いくつかの実施態様では、式I又はIAを有するケイ素化合物は、金属イオン、例えばLi、Na、K、Mg2+、Ca2+、Al3+、Fe2+、Fe2+、Fe3+、Ni2+、Cr3+を含まない。本明細書中に使用されるように、Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr、貴金属、例えば合成中に使用されるルテニウム又は白金触媒に由来する揮発性のRu又はPt錯体に関連して、金属不純物を「含まない」という用語は、ICP-MS又は金属を測定するための他の分析方法によって測定して1ppm未満、好ましくは0.1ppm(重量)未満を意味する。式Iを有するケイ素化合物は、好ましくはまた、水又は有機シラン不純物、例えば出発材料に由来するアルコキシシラン、又は合成に由来する副生成物をほとんど含まない。水に関する「ほとんど含まない」という用語は、100ppm(重量)未満、好ましくは50ppm未満、そしてより好ましくは10ppm未満である。全ての有機シラン不純物、例えばメチルトリエトキシシラン、又はジメチルジエトキシシランの合計はガスクロマトグラフィ(GC)によって分析して、1.0wt%未満、好ましくは0.5wt%未満、そして好ましくは0.1wt%未満である。 It is preferable that the composition containing the silicon compound having the formula I according to the present invention and the silicon precursor compound having the formula I according to the present invention contains almost no halide. As used herein, halide ions (or halides), such as chlorides (ie, chloride-containing species, such as HCl, or silicon compounds with at least one Si—Cl bond), and fluorides. , Bromide, and iodide "almost free" is less than 5 ppm (weight) as measured by ICP-MS, preferably less than 3 ppm as measured by ICP-MS, and more preferably by ICP-MS. It means less than 1 ppm, and most preferably 0 ppm as measured by ICP-MS. Chloride is known to act as a decomposition catalyst for silicon compounds having formula I. Significant levels of chloride in the final product can degrade the silicon precursor compound. The gradual deterioration of the silicon compound can directly affect the film deposition process, which makes it difficult for semiconductor manufacturers to meet the film specifications. In addition, shelf life or stability is adversely affected by the higher rate of deterioration of silicon compounds having formula I, which makes it difficult to guarantee a shelf life of 1-2 years. Thus, the accelerated decomposition of silicon compounds of formula I raises safety and performance concerns associated with the formation of flammable and / or pyrophoric gaseous by-products. The silicon compound having the formula I may contain almost no metal ions such as Li + , Na + , K + , Mg 2+ , Ca 2+ , Al 3+ , Fe 2+ , Fe 2+ , Fe 3+ , Ni 2+ and Cr 3+ . preferable. As used herein, the term "nearly free" for Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr is less than 5 ppm (weight) as measured by ICP-MS. It means less than 3 ppm, more preferably less than 1 ppm, and most preferably less than 0.1 ppm. In some embodiments, the silicon compound having formula I or IA is a metal ion such as Li + , Na + , K + , Mg 2+ , Ca 2+ , Al 3+ , Fe 2+ , Fe 2+ , Fe 3+ , Ni 2+ . , Cr 3+ is not included. As used herein, Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr, noble metals, such as volatile Ru or derived from ruthenium or platinum catalysts used during synthesis. In connection with the Pt complex, the term "free" of metal impurities means less than 1 ppm, preferably less than 0.1 ppm (weight) as measured by ICP-MS or other analytical methods for measuring metals. do. Silicon compounds having Formula I are also preferably free of water or organosilane impurities, such as alkoxysilanes derived from starting materials, or by-products derived from synthesis. The term "nearly free" with respect to water is less than 100 ppm (weight), preferably less than 50 ppm, and more preferably less than 10 ppm. The sum of all organic silane impurities, such as methyltriethoxysilane, or dimethyldiethoxysilane, is analyzed by gas chromatography (GC) to less than 1.0 wt%, preferably less than 0.5 wt%, and preferably 0.1 wt%. Less than%.

ハロゲン化物をほとんど含まない本発明による組成物は、(1)化学合成中に塩化物源を低減又は排除することにより、且つ/又は(2)最終精製済生成物が塩化物をほとんど含まないように、粗生成物から塩化物を除去するために効果的な精製プロセスを実施することにより、得ることができる。塩化物源は、ハロゲン化物、例えばクロロシラン、ブロモシラン、又はヨードシランを含有していない試薬を使用することにより合成中に低減し、これにより、ハロゲン化物イオンを含有する副生成物の生成を回避することができる。加えて、上述の試薬は、結果として生じる粗生成物が塩化物不純物をほとんど含まないように、塩化物不純物をほとんど含まないものであるべきである。同様に、合成はハロゲン化物を基剤とする溶媒、触媒、又は許容し得ない高レベルのハロゲン化物汚染物を含有する溶媒を使用するべきではない。粗生成物は、最終生成物が塩化物のようなハロゲン化物をほとんど含まないようにするために種々の精製方法によって処理することもできる。このような方法は従来技術分野において充分に記載されており、その一例としては蒸留、又は吸着のような精製プロセスが挙げられる。蒸留は、沸点の差を活用することにより、所望の生成物から不純物を分離するために一般に用いられる。吸着を用いて、最終生成物がハロゲン化物をほとんど含まないように、分離を生じさせるために成分の吸着特性差を利用することもできる。吸着剤、例えば商業的に利用可能なMgO-Alブレンドを使用して、塩化物のようなハロゲン化物を除去することができる。 The compositions according to the invention containing little halide are (1) by reducing or eliminating chloride sources during chemical synthesis and / or (2) ensuring that the final purified product contains little chloride. In addition, it can be obtained by carrying out an effective purification process to remove chloride from the crude product. Chloride sources are reduced during synthesis by using reagents that do not contain halides such as chlorosilane, bromosilane, or iodosilane, thereby avoiding the formation of by-products containing halide ions. Can be done. In addition, the reagents described above should be free of chloride impurities so that the resulting crude product contains few chloride impurities. Similarly, synthesis should not use halide-based solvents, catalysts, or solvents containing unacceptably high levels of halide contaminants. The crude product can also be treated by various purification methods so that the final product contains few halides such as chlorides. Such methods are well described in the art and examples include purification processes such as distillation or adsorption. Distillation is commonly used to separate impurities from the desired product by taking advantage of the difference in boiling points. Adsorption can also be used to take advantage of the difference in adsorption properties of the components to cause separation so that the final product contains few halides. Adsorbents such as commercially available MgO - Al2O3 blends can be used to remove halides such as chlorides.

従来技術のケイ素含有ケイ素前駆体、例えばDEMSは反応チャンバ内でひとたび励起されると、ポリマー主鎖内の-O-リンケージ(例えば-Si-O-Si-又は-Si-O-C-)を有する構造を形成するように重合するのに対して、式Iを有するケイ素化合物は、主鎖内の-O-ブリッジのいくつかが-CH-メチレン又は-CHCH-エチレンブリッジと置換される構造を形成するように重合すると考えられる。炭素が主に末端Si-Me基の形で存在する構造形成前駆体としてDEMSを使用して堆積されたフィルムの場合、%Si-Me(%Cに直接に関連する)と、機械的強度との間に関係があり、架橋用Si-O-Si基を2つの末端Si-Me基と置換すると機械的特性が低下する。なぜならば、ネットワーク構造が破壊されるからである。ケイ素化合物の場合、環式構造がフィルム堆積プロセス中、又はSiCHSi又はSiCHCHSi架橋基を形成するための(堆積されたままのフィルム内に含有されるポロゲン前駆体の少なくとも一部、又はほぼ全てを除去するための)硬化プロセス中に破断されると考えられる。このように、機械的強度の観点から、フィルム内の炭素含量を増大させることにより、ネットワーク構造が破壊されないように炭素を架橋基の形で組み込むことができる。特定の理論に縛られるつもりはないが、この属性は炭素をフィルムに加え、これにより、フィルムはフィルムのエッチング、フォトレジストのプラズマアッシング、及び銅表面のNHプラズマ処理のようなプロセスから生じる多孔質OSGフィルムの炭素欠乏に対してより高い回復力を有することが可能になる。OSGフィルム内の炭素欠乏は、フィルムの不良の誘電率、並びにフィルムエッチング及び湿式清浄化工程中のフィーチャボーイングの問題、及び/又は銅拡散バリアを堆積するときの集積上の問題を増大させるおそれがある。 Conventional silicon-containing silicon precursors, such as DEMS, once excited in the reaction chamber, have an -O-linkage (eg, -Si-O-Si- or -Si-OC-) in the polymer backbone. Whereas the silicon compound having formula I polymerizes to form the structure it has, some of the -O-bridges in the backbone are replaced with -CH 2 -methylene or -CH 2 CH 2 -ethylene bridges. It is considered to polymerize so as to form the structure to be formed. For films deposited using DEMS as a structure-forming precursor in which carbon is mainly present in the form of terminal Si-Me groups,% Si-Me (directly related to% C) and mechanical strength. When the cross-linking Si—O—Si group is replaced with two terminal Si—Me groups, the mechanical properties are deteriorated. This is because the network structure is destroyed. In the case of silicon compounds, the cyclic structure is at least a portion of the porogen precursor contained in the as-deposited film to form a SiC 2 Si or SiC 2 CH 2 Si cross-linking group during the film deposition process. , Or to remove almost all) is considered to be broken during the curing process. Thus, from the viewpoint of mechanical strength, by increasing the carbon content in the film, carbon can be incorporated in the form of a cross-linking group so that the network structure is not destroyed. Although not bound by any particular theory, this attribute adds carbon to the film, which causes the film to be porous resulting from processes such as film etching, photoresist plasma ashing, and NH3 plasma treatment of copper surfaces. It is possible to have higher resilience to carbon deficiency of quality OSG film. Carbon deficiency in OSG film can increase the dielectric constant of the film, as well as feature boeing problems during film etching and wet cleaning processes, and / or accumulation problems when depositing copper diffusion barriers. be.

本明細書中に含まれる方法及び組成物のある特定の実施態様では、構造形成前駆体はさらに硬化添加剤を含む。硬化添加剤は機械的強度を高める。硬化添加剤の例は、テトラアルコキシシラン(Si(OR))であって、Rが、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロ-アリール基から成る群から選択されるもの、例えばテトラエトキシシラン(TEOS)又はテトラメトキシシラン(TMOS)を含む。硬化添加剤が使用される実施態様では、構造形成部分の組成は、約30~約95重量パーセントの、式Iを有するアルキル-アルコキシシラサイクリック化合物を含む構造形成前駆体と、約5~約70重量パーセントの硬化添加物と、総前駆体流の約40~約95重量パーセントのポロゲン前駆体、例えばアルファテルピネン又はシクロオクタンとを含む。 In certain embodiments of the methods and compositions contained herein, the structure-forming precursor further comprises a curing additive. Hardening additives increase mechanical strength. An example of a curing additive is tetraalkoxysilane (Si (OR 9 )), in which R 9 is a linear or branched C 1 to C 10 alkyl group, linear or branched C 2 to. C 10 alkenyl group, linear or branched C 2 to C 10 alkynyl group, C 3 to C 10 cyclic alkyl group, C 3 to C 10 heterocyclic alkyl group, C 5 to C 10 aryl group, and Includes those selected from the group consisting of C 3 to C 10 hetero-aryl groups, such as tetraethoxysilane (TEOS) or tetramethoxysilane (TMS). In embodiments where a curing additive is used, the composition of the structure forming moiety is from about 5 to about 95 weight percent with a structure forming precursor comprising an alkyl-alkoxysilacyclic compound of formula I. It contains 70 weight percent curing additives and about 40 to about 95 weight percent porogen precursors such as alpha terpinene or cyclooctane in the total precursor stream.

「気体状試薬」という表現は試薬を記述するように本明細書中に使用されるときがあるものの、この表現は、反応器へ気体として直接に送達される試薬、気化された液体、昇華された固体として送達される試薬、及び/又は不活性キャリアガスによって反応器内へ搬送される試薬を包含するように意図されている。 Although the expression "gaseous reagent" is sometimes used herein to describe a reagent, this expression is a reagent delivered directly to the reactor as a gas, vaporized liquid, sublimated. It is intended to include reagents delivered as solids and / or reagents carried into the reactor by the Inactive Carrier Gas.

加えて、試薬は、区別可能な源から別個に、又は混合物として反応器内へ運ぶことができる。試薬は、好ましくはプロセス反応器への液体の送達を可能にするための適宜の弁とフィッティングとを備えた加圧可能なステンレス鋼容器を使用して、任意の数の手段によって反応器システムへ送達することができる。 In addition, the reagents can be transported into the reactor separately from the distinguishable sources or as a mixture. Reagents are preferably sent to the reactor system by any number of means using a pressurable stainless steel container with appropriate valves and fittings to allow delivery of the liquid to the process reactor. Can be delivered.

構造形成種(すなわち式Iの化合物)に加えて、堆積反応前、堆積反応中、及び/又は堆積反応後に、反応チャンバ内へ付加的な材料を導入することができる。このような材料は例えば、不活性ガス(例えばHe、Ar、N、Kr、Xeなど。これらはより低揮発性の前駆体のためのキャリアガスとして採用することができ、且つ/又は堆積されたままの材料の硬化を促進し、そしてより安定な最終フィルムを提供することができる)、及び反応性物質、例えば酸素含有種、例えばO、O、及びNO、気体状又は液体状有機物質、NH、H、CO、又はCOを含む。1つの特定の実施態様では、反応チャンバ内へ導入された反応混合物は、O、NO、NO、NO、CO、水、H、オゾン、及びこれらの組み合わせから成る群から選択された少なくとも1種のオキシダントを含む。別の実施態様では、反応混合物はオキシダントを含まない。 In addition to the structure-forming species (ie, compounds of formula I), additional material can be introduced into the reaction chamber before, during, and / or after the deposition reaction. Such materials are, for example, inert gases such as He, Ar, N2 , Kr, Xe, which can be employed as carrier gases for lower volatile precursors and / or are deposited. It can accelerate the curing of the raw material and provide a more stable final film), and reactive substances such as oxygen-containing species such as O 2 , O 3 and N 2 O, gaseous or liquid. It contains an organic substance, NH 3 , H 2 , CO 2 , or CO. In one particular embodiment, the reaction mixture introduced into the reaction chamber is a group consisting of O 2 , N 2 O, NO, NO 2 , CO 2 , water, H 2 O 2 , ozone, and combinations thereof. Contains at least one oxidant selected from. In another embodiment, the reaction mixture is oxidant-free.

気体状試薬にエネルギーを印加することにより、気体が反応して基体上にフィルムを形成するように誘導する。このようなエネルギーは、例えばプラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、遠隔プラズマ、熱フィラメント、及びサーマル(すなわち非フィラメント)法によって提供することができる。二次rf周波数源を使用して、基体表面におけるプラズマ特性を改変することができる。好ましくは、フィルムは、プラズマ支援型化学蒸着(「PECVD」)によって形成される。 By applying energy to the gaseous reagent, the gas reacts to induce the formation of a film on the substrate. Such energies can be provided, for example, by plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, remote plasma, thermal filament, and thermal (ie, non-filament) methods. A secondary rf frequency source can be used to modify the plasma properties on the surface of the substrate. Preferably, the film is formed by plasma-enhanced chemical vapor deposition (“PECVD”).

気体状試薬のそれぞれの流量は、単一200mmウェハー当たり、10~5000sccm、より好ましくは30~1000sccmであることが好ましい。個々の速度は、所望の量のケイ素、炭素、及び酸素をフィルム内に提供するように選択される。必要とされる実際の流量は、ウエハーサイズ及びチャンバ形態に依存してよく、200mmウエハー又は単一ウエハーチャンバに決して限定されない。 The flow rate of each of the gaseous reagents is preferably 10 to 5000 sccm, more preferably 30 to 1000 sccm per 200 mm wafer. The individual velocities are selected to provide the desired amounts of silicon, carbon, and oxygen in the film. The actual flow rate required may depend on the wafer size and chamber form and is by no means limited to a 200 mm wafer or a single wafer chamber.

いくつかの実施態様では、フィルムは1分当たりの堆積速度約50ナノメートル(nm)で堆積される。 In some embodiments, the film is deposited at a deposition rate of about 50 nanometers (nm) per minute.

堆積中の反応チャンバ内の圧力は約0.01~約600torr又は約1~15torrである。 The pressure in the reaction chamber during deposition is from about 0.01 to about 600 torr or from about 1 to 15 torr.

フィルムは厚さ0.002~10ミクロンに堆積されるのが好ましいが、必要に応じて厚さを変えることができる。パターン化されていない表面上に堆積されるブランケットフィルムは優れた均一性を有しており、基体全体にわたる1標準偏差を超える厚さの変動は、妥当なエッジ排除を行った状態で2%未満である。例えば、基体の最も外側の5mmのエッジは均一性の統計的計算には含まれていない。 The film is preferably deposited to a thickness of 0.002-10 microns, but the thickness can be varied as needed. The blanket film deposited on the unpatterned surface has excellent uniformity, with thickness variations greater than 1 standard deviation over the entire substrate being less than 2% with reasonable edge exclusion. Is. For example, the outermost 5 mm edge of the substrate is not included in the statistical calculation of uniformity.

本発明の好ましい実施態様は、当業者に知られた他の構造形成前駆体を使用して堆積された他の多孔質low k誘電体フィルムと比較して、低い誘電率、及び改善された機械的特性、熱安定性、及び(酸素、酸化性材料に対する)耐薬品性を有する薄膜材料を提供する。式Iを有するアルキル-アルコキシサイクリック化合物を含む、本明細書中に記載された構造形成前駆体は、フィルム内へより多くの炭素取り込み量を(好ましくは大部分が有機炭素、-CH(xは1~3である)の形態で)提供する。この場合、フィルムを堆積するために、特定の前駆体又はネットワーク形成化学物質が使用される。ある特定の実施態様の場合、フィルム内の水素の大部分が炭素に結合される。 Preferred embodiments of the present invention have a lower dielectric constant and improved machine compared to other porous low k dielectric films deposited using other structure forming precursors known to those of skill in the art. Provided are thin film materials having physical properties, thermal stability, and chemical resistance (against oxygen and oxidizing materials). The structure-forming precursors described herein, including alkyl-alkoxycyclic compounds having formula I, have a higher carbon uptake into the film (preferably mostly organic carbon, -CH x (preferably organic carbon, -CH x). x is provided in the form of 1 to 3). In this case, certain precursors or network-forming chemicals are used to deposit the film. In certain embodiments, most of the hydrogen in the film is bonded to carbon.

本明細書中に記載された組成物及び方法に従って堆積されたlow 誘電体フィルムは、(a)約10~約35原子%、より好ましくは約20~約30原子%のケイ素、(b)約10~約65原子%、より好ましくは約20~約45原子%の酸素、(c)約10~約50原子%、より好ましくは約15~約40原子%の水素、(d)約5~約40原子%、より好ましくは約10~約45原子%の炭素を含む。フィルムは、材料特性のうちの1つ又は2つ以上を改善するために、約0.1~約15原子%、より好ましくは約0.5~約7.0原子%のフッ素を含有してもよい。本発明のある特定のフィルム内には、より僅かな比率の他の元素が存在していてもよい。OSG材料は、これらの誘電率が、業界内で伝統的に使用されている標準的な材料、すなわちシリカガラスの誘電率よりも低いので、low k材料であると考えられる。 The low dielectric film deposited according to the compositions and methods described herein is (a) about 10 to about 35 atomic%, more preferably about 20 to about 30 atomic% silicon, (b) about. 10 to about 65 atomic%, more preferably about 20 to about 45 atomic% oxygen, (c) about 10 to about 50 atomic%, more preferably about 15 to about 40 atomic% hydrogen, (d) about 5 to about 5 to. It contains about 40 atomic%, more preferably about 10 to about 45 atomic% carbon. The film contains from about 0.1 to about 15 atomic%, more preferably from about 0.5 to about 7.0 atomic% fluorine in order to improve one or more of the material properties. May be good. A smaller proportion of other elements may be present within a particular film of the invention. OSG materials are considered low k materials because their dielectric constants are lower than the standard materials traditionally used in the industry, namely silica glass.

フィルムの総有孔率は、プロセス条件及び所望の最終フィルム特性に応じて0~15%又は15%超であってよい。本発明のフィルムの密度は好ましくは2.3g/ml、或いは2.0g/ml未満又は1.8g/ml未満であることが好ましい。OSGフィルムの総有孔率には、熱硬化又はUV硬化用のプラズマ源に晒すことを含む堆積後処理によって影響を与えることができる。本発明の好ましい実施態様は、フィルム堆積中にポロゲンを添加することを含まないものの、堆積後処理、例えばUV硬化によって多孔性を誘発することができる。例えば、UV処理は、約15~約20%に近似する、好ましくは約5~約10%の有孔率をもたらすことができる。 The total porosity of the film may be 0-15% or more than 15% depending on the process conditions and the desired final film properties. The density of the film of the present invention is preferably 2.3 g / ml, or less than 2.0 g / ml or less than 1.8 g / ml. The total porosity of the OSG film can be affected by post-deposition treatment, including exposure to a plasma source for thermosetting or UV curing. A preferred embodiment of the invention does not involve the addition of pologene during film deposition, but can induce porosity by post-deposition treatment, such as UV curing. For example, UV treatment can result in a porosity of about 15 to about 20%, preferably about 5 to about 10%.

本発明のフィルムはフッ素を、無機フッ素(例えばSi-F)の形態で含有してもよい。フッ素はこれが存在するときには、約0.5~約7原子%の量で含有されることが好ましい。 The film of the present invention may contain fluorine in the form of inorganic fluorine (eg Si—F). Fluorine, when present, is preferably contained in an amount of about 0.5 to about 7 atomic%.

本発明のフィルムは熱安定性であるとともに良好な耐薬品性を有する。具体的には、アニール後の好ましいフィルムの平均重量損失は、N下で425℃の等温において1.0wt%/hr未満である。さらに、フィルムの平均重量損失は好ましくは、空気下で425℃の等温において1.0wt%/hr未満である。 The film of the present invention has good chemical resistance as well as thermal stability. Specifically, the preferred average weight loss of the film after annealing is less than 1.0 wt% / hr at an isothermal temperature of 425 ° C. under N2 . Moreover, the average weight loss of the film is preferably less than 1.0 wt% / hr at an isothermal temperature of 425 ° C. under air.

フィルムは種々の用途に適している。フィルムは半導体基板上の堆積に特に適しており、例えば絶縁層、層間誘電体層、及び/又は金属間誘電体層としての使用に特に適している。フィルムはコンフォーマルコーティングを形成することができる。これらのフィルムによって示される機械的特性はこれらのフィルムを、Alサブトラクティブ技術、及びCuダマシン又はデュアルダマシン技術における使用に特に適したものにする。 The film is suitable for various applications. The film is particularly suitable for deposition on semiconductor substrates and is particularly suitable for use as, for example, an insulating layer, an interlayer dielectric layer, and / or an intermetallic dielectric layer. The film can form a conformal coating. The mechanical properties exhibited by these films make them particularly suitable for use in Al subtractive technology and Cu damascene or dual damascene technology.

フィルムは化学機械平坦化(CMP)及び異方性エッチングと相容性を有し、そして種々の材料、例えばケイ素、SiO、Si、OSG、FSG、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、反射防止被膜、フォトレジスト、有機ポリマー、多孔質有機及び無機材料、銅及びアルミニウムのような金属、並びに拡散バリア層、一例としてはTiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN、又はW(C)Nに付着させることができる。フィルムは、コンベンショナルな引張試験、例えばASTM D3359-95aテープ引張試験に合格するのに充分に前記材料のうちの少なくとも1種に付着させ得ることが好ましい。フィルムの認識し得る剥がれがなければ、試料は試験に合格したと考えられる。 The film is compatible with chemical mechanical flattening (CMP) and anisotropic etching, and various materials such as silicon, SiO 2 , Si 3N 4 , OSG, FSG, silicon carbide, silicon nitride, silicon nitride, etc. Silicon nitride, silicon hydride, silicon nitride, silicon hydride, boron nitride, antireflection coating, photoresist, organic polymer, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers. As an example, it can be attached to TiN, Ti (C) N, TaN, Ta (C) N, Ta, W, WN, or W (C) N. It is preferable that the film can be sufficiently adhered to at least one of the above materials to pass a conventional tensile test, such as an ASTM D3359-95a tape tensile test. If there is no recognizable peeling of the film, the sample is considered to have passed the test.

このように、ある特定の実施態様では、フィルムは集積回路における絶縁層、層間誘電体層、及び/又は金属間誘電体層、キャッピング層、化学機械平坦化(CMP)又はエッチングストップ層、バリア層又は付着層である。 Thus, in certain embodiments, the film is an insulating layer, an interlayer dielectric layer, and / or an intermetallic dielectric layer, a capping layer, a chemical mechanical flattening (CMP) or etching stop layer, a barrier layer in an integrated circuit. Or it is an adhesive layer.

本明細書中に記載されたフィルムは均一に堆積された誘電体フィルムではあるものの、完全集積構造において使用されるようなフィルムは実際にはいくつかのサンドイッチ層であって、例えば、堆積されるポロゲンをほとんど又は全く含有しない薄層を底部又は上部に有するサンドイッチ層から成っていてよく、又は、より低いポロゲン前駆体流量比が存在する条件下で層を堆積してもよく、或いは、UV処理によって全てのポロゲン前駆体を除去し得るわけではないように、より高いプラズマ出力で層を堆積してもよい。これらのサンドイッチ層を利用して、二次集積化特性、例えば付着性能、エッチング選択性、又は電子移動性能を高めることができる。 Although the films described herein are uniformly deposited dielectric films, films such as those used in fully integrated structures are actually several sandwich layers, eg, deposited. It may consist of a sandwich layer with a thin layer at the bottom or top that contains little or no porogen, or the layer may be deposited under conditions where a lower porogen precursor flow ratio is present, or UV treatment. Layers may be deposited at higher plasma powers so that not all porogen precursors can be removed by. These sandwich layers can be utilized to enhance secondary integration properties such as adhesion performance, etching selectivity, or electron transfer performance.

本発明は、フィルムを提供するのに特に適しており、本発明の製品は主としてフィルムとして記載されてはいるものの、本発明はこれに限定されるものではない。本発明の製品は、CVDによって堆積することのできる任意の形態で、例えば被膜、多層状アセンブリ、及び必ずしも平坦ではなく又は薄くはない他のタイプの物体、並びに必ずしも集積回路内で使用されるわけではない数多くの物体の形態で提供することができる。好ましくは、基体は半導体である。 The present invention is particularly suitable for providing a film, and although the product of the present invention is mainly described as a film, the present invention is not limited thereto. The products of the invention are used in any form that can be deposited by CVD, such as coatings, multilayer assemblies, and other types of objects that are not necessarily flat or thin, as well as in integrated circuits. It can be provided in the form of many objects that are not. Preferably, the substrate is a semiconductor.

本発明のOSG製品に加えて、本開示は、製品を製造するプロセス、製品を使用する方法、並びに製品を調製するのに有用な化合物及び組成物を含む。例えば、半導体デバイス上に集積回路を形成するプロセスが米国特許第6,583,049号に開示されている。これは参照することにより本明細書中に援用される。 In addition to the OSG products of the invention, the present disclosure includes processes for making the products, methods of using the products, and compounds and compositions useful for preparing the products. For example, the process of forming an integrated circuit on a semiconductor device is disclosed in US Pat. No. 6,583,049. This is incorporated herein by reference.

本発明の組成物はさらに、例えば硬化添加剤、及び式Iを有するケイ素前駆体、例えばDESCAPのプロセス反応器への送達を可能にするために適宜の弁とフィッティングとを備えた少なくとも1つの加圧可能な(好ましくはステンレス鋼から成る)容器を含むことができる。容器の内容物は予混合することができる。或いは、硬化添加剤及び前駆体は別個の容器内で、又は硬化添加剤と前駆体とを貯蔵中に別々に維持するための分離手段を有する単一の容器内に維持することもできる。このような容器は所望の場合にはポロゲンと前駆体とを混合する手段を有することもできる。 The compositions of the invention further include at least one addition with a suitable valve and fitting to allow delivery of, for example, a curing additive and a silicon precursor having formula I, such as DESCAP, to a process reactor. It can include a pressure-capable (preferably made of stainless steel) container. The contents of the container can be premixed. Alternatively, the curing additive and precursor can be maintained in separate containers or in a single container with separation means for keeping the curing additive and precursor separately during storage. Such a container can also have a means of mixing the porogen with the precursor, if desired.

仮(又は堆積されたままの)フィルムは、硬化工程、すなわち付加的なエネルギー源をフィルムに印加する工程によって、さらに処理することができる。この硬化工程は、熱アニーリング、化学的処理、現場又は遠隔プラズマ処理、光硬化(例えばUV)及び/又はマイクロ波処理を含むことができる。他の現場処理又は堆積後処理を用いて、硬度、(収縮、空気暴露、エッチング、湿式エッチングなどに対する)安定性、完全性、均一性、及び付着力のような材料特性を向上させることができる。このように、本明細書中に使用される「後処理」という用語は、ポロゲンを除去し、そして任意には材料特性を向上させるために、エネルギー(例えば、熱、プラズマ、光子、電子、マイクロ波など)、又は化学薬品でフィルムを処理することを意味する。 Temporary (or as-deposited) films can be further treated by a curing step, i.e., a step of applying an additional energy source to the film. This curing step can include thermal annealing, chemical treatment, in-situ or remote plasma treatment, photocuring (eg UV) and / or microwave treatment. Other in-situ or post-deposition treatments can be used to improve material properties such as hardness, stability (against shrinkage, air exposure, etching, wet etching, etc.), integrity, uniformity, and adhesion. .. Thus, the term "post-treatment" as used herein refers to energy (eg, heat, plasma, photons, electrons, microwaves, etc.) to remove porogens and optionally improve material properties. It means treating the film with a wave, etc.) or chemicals.

後処理が行われる条件は大きく変化することができる。例えば後処理は高圧下又は真空雰囲気下で行うことができる。 The conditions under which post-processing is performed can vary significantly. For example, the post-treatment can be performed under high pressure or in a vacuum atmosphere.

UVアニーリングは好ましい硬化方法であり、典型的には以下の条件下で行われる。 UV annealing is the preferred curing method and is typically performed under the following conditions.

環境は不活性(例えば窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば酸素、空気、希薄酸素環境、富化酸素環境、オゾン、亜酸化窒素など)、又は還元性(例えば希薄又は濃縮水素、炭化水素(飽和、不飽和、直鎖状又は分枝状、芳香族)など)であってよい。圧力は好ましくは約1Torr~約1000Torr、より好ましくは大気圧である。しかしながら、熱アニーリング並びに任意の他の後処理手段のために、真空雰囲気も可能である。温度は好ましくは200~500℃であり、そして温度傾斜率は0.1~100deg℃/minである。総UVアニーリング時間は好ましくは0.01分~12時間である。 The environment is inert (eg, nitrogen, CO 2 , rare gas (He, Ar, Ne, Kr, Xe), etc.), oxidizing (eg, oxygen, air, dilute oxygen environment, enriched oxygen environment, ozone, hydrocarbon nitrogen, etc.) ), Or reducing (eg, dilute or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatic), etc.). The pressure is preferably from about 1 Torr to about 1000 Torr, more preferably atmospheric pressure. However, a vacuum atmosphere is also possible for thermal annealing and any other post-treatment means. The temperature is preferably 200-500 ° C, and the temperature gradient is 0.1-100 deg ° C / min. The total UV annealing time is preferably 0.01 minutes to 12 hours.

OSGフィルムの化学的処理が以下の条件下で行われる。 The chemical treatment of the OSG film is carried out under the following conditions.

フッ素化(HF、SIF、NF、F、COF、COなど)、酸素化(H、Oなど)、化学的乾燥、メチル化、又は最終材料の特性を向上させる他の化学的処理を用いる。このような処理に使用される化学薬品は固体、液体、気体、及び/又は超臨界状態であってよい。 Fluorination (HF, SIF 4 , NF 3 , F 2 , COF 2 , CO 2 F 2 , etc.), oxygenation (H 2 O 2 , O 3 , etc.), chemical drying, methylation, or the properties of the final material Use other chemical treatments to improve. The chemicals used in such treatments may be solid, liquid, gas, and / or supercritical.

有機ケイ酸塩フィルムからポロゲンを選択的に除去するための超臨界流体後処理が下記条件下で行われる。 A supercritical fluid post-treatment for selectively removing porogen from the organic silicate film is performed under the following conditions.

流体は二酸化炭素、水、亜酸化窒素、エチレン、SF、及び/又は他のタイプの化学薬品であってよい。プロセスを向上させるために、超臨界流体に他の化学薬品を添加することができる。化学薬品は不活性(例えば窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば酸素、オゾン、亜酸化窒素など)、又は還元性(例えば希薄又は濃縮炭化水素、水素、水素を含むプラズマなど)であってよい。温度は好ましくは周囲温度~500℃である。化学薬品はより大きい化学種、例えば界面活性剤を含むこともできる。総暴露時間は好ましくは0.01分~12時間である。 The fluid may be carbon dioxide, water, nitrous oxide, ethylene, SF 6 , and / or other types of chemicals. Other chemicals can be added to the supercritical fluid to improve the process. Chemicals are inert (eg nitrogen, CO 2 , rare gases (He, Ar, Ne, Kr, Xe), etc.), oxidizing (eg oxygen, ozone, hydrocarbon nitrogen, etc.), or reducing (eg, dilute or concentrated). It may be a hydrocarbon, hydrogen, a plasma containing hydrogen, etc.). The temperature is preferably an ambient temperature to 500 ° C. Chemicals can also contain larger species, such as surfactants. The total exposure time is preferably 0.01 minutes to 12 hours.

不安定な基を選択的に除去し、そしてOSGフィルムに可能な化学的改変を施すためのプラズマ処理が、下記条件下で行われる。 Plasma treatment is performed under the following conditions to selectively remove unstable groups and to make possible chemical modifications to the OSG film.

環境は不活性(例えば窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば酸素、空気、希薄酸素環境、富化酸素環境、オゾン、亜酸化窒素など)、又は還元性(例えば希薄又は濃縮水素、炭化水素(飽和、不飽和、直鎖状又は分枝状、芳香族)など)であってよい。プラズマ出力は好ましくは0~5000Wである。温度は好ましくはおよそ周囲温度~約500℃である。圧力は好ましくは10mtorr~大気圧である。総硬化時間は好ましくは0.01分~12時間である。 The environment is inert (eg, nitrogen, CO 2 , rare gas (He, Ar, Ne, Kr, Xe), etc.), oxidizing (eg, oxygen, air, dilute oxygen environment, enriched oxygen environment, ozone, hydrocarbon nitrogen, etc.) ), Or reducing (eg, dilute or concentrated hydrogen, hydrocarbons (saturated, unsaturated, linear or branched, aromatic), etc.). The plasma output is preferably 0 to 5000 W. The temperature is preferably from about ambient temperature to about 500 ° C. The pressure is preferably from 10 mtorr to atmospheric pressure. The total curing time is preferably 0.01 minutes to 12 hours.

有機ケイ酸塩フィルムの化学架橋のためのUV硬化が、典型的には下記条件下で行われる。 UV curing for chemical cross-linking of organic silicate films is typically performed under the following conditions:

環境は不活性(例えば窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば酸素、空気、希薄酸素環境、富化酸素環境、オゾン、亜酸化窒素など)、又は還元性(例えば希薄又は濃縮炭化水素、水素など)であってよい。温度は好ましくはおよそ周囲温度~約500℃である。出力は好ましくは0~約5000Wである。波長は好ましくはIR、可視、UV、又は深UV(波長<200nm)である。総硬化時間は好ましくは0.01分~12時間である。 The environment is inert (eg, nitrogen, CO 2 , rare gas (He, Ar, Ne, Kr, Xe), etc.), oxidizing (eg, oxygen, air, dilute oxygen environment, enriched oxygen environment, ozone, nitrogen peroxide, etc.) ), Or may be reducing (eg, dilute or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably from about ambient temperature to about 500 ° C. The output is preferably 0 to about 5000 W. The wavelength is preferably IR, visible, UV, or deep UV (wavelength <200 nm). The total curing time is preferably 0.01 minutes to 12 hours.

有機ケイ酸塩フィルムのマイクロ波後処理が、典型的には下記条件下で行われる。 Microwave post-treatment of the organic silicate film is typically performed under the following conditions.

環境は不活性(例えば窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば酸素、空気、希薄酸素環境、富化酸素環境、オゾン、亜酸化窒素など)、又は還元性(例えば希薄又は濃縮炭化水素、水素など)であってよい。温度は好ましくはおよそ周囲温度~約500℃である。出力及び波長は多様であり、具体的な結合に合わせて調和することができる。総硬化時間は好ましくは0.01分~12時間である。 The environment is inert (eg, nitrogen, CO 2 , rare gas (He, Ar, Ne, Kr, Xe), etc.), oxidizing (eg, oxygen, air, dilute oxygen environment, enriched oxygen environment, ozone, nitrogen peroxide, etc.) ), Or may be reducing (eg, dilute or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably from about ambient temperature to about 500 ° C. The outputs and wavelengths are diverse and can be harmonious with the specific coupling. The total curing time is preferably 0.01 minutes to 12 hours.

有機ケイ酸塩フィルムからポロゲン又は特定の化学種を選択的に除去し、且つ/又はフィルム特性を改善するための電子ビーム後処理が典型的には、以下の条件下で行われる。 Electron beam post-treatment to selectively remove porogens or specific chemical species from the organic silicate film and / or improve film properties is typically performed under the following conditions:

環境は真空、不活性(例えば窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば酸素、空気、希薄酸素環境、富化酸素環境、オゾン、亜酸化窒素など)、又は還元性(例えば希薄又は濃縮炭化水素、水素など)であってよい。温度は好ましくはおよそ周囲温度~約500℃である。電子密度及びエネルギーは多様であり、具体的な結合に合わせて調和することができる。総硬化時間は好ましくは0.001分~12時間であり、そして連続的又はパルス状であってよい。電子ビームの一般的な使用に関する付加的な指針は、刊行物、例えばChattopadhyay et al., Journal of Materials Science, 36 (2001) 4323-4330、G. Kloster et al., Proceedings of IITC, June 3-5, 2002, SF, CA,及び米国特許第6,207,555号、同第6,204,201号、及び6,132,814号において入手することができる。電子ビーム処理を利用することにより、ポロゲンを除去し、マトリックス内の結合形成プロセスを通してフィルム機械的特性を改善することができる。 The environment is vacuum, inert (eg nitrogen, CO 2 , rare gas (He, Ar, Ne, Kr, Xe), etc.), oxidizing (eg oxygen, air, dilute oxygen environment, enriched oxygen environment, ozone, suboxidation). It may be nitrogen, etc.) or reducible (eg, dilute or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably from about ambient temperature to about 500 ° C. Electron densities and energies are diverse and can be harmonized with specific bonds. The total curing time is preferably 0.001 minutes to 12 hours and may be continuous or pulsed. Additional guidance on the general use of electron beams can be found in publications such as Chattopadhyay et al., Journal of Materials Science, 36 (2001) 4323-4330, G. Kloster et al., Proceedings of IITC, June 3- 5, 2002, SF, CA, and US Pat. Nos. 6,207,555, 6,204,201, and 6,132,814. By utilizing electron beam processing, pologene can be removed and the film mechanical properties can be improved through the bond forming process in the matrix.

下記実施例を参照しながら本発明を詳述する。しかしながら、言うまでもなく、本発明はこれらの実施例に限定されるものとは見なされない。 The present invention will be described in detail with reference to the following examples. However, it goes without saying that the present invention is not considered to be limited to these examples.

作業実施例1
2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタンであって、反応式(1)においてR=Me、R=OEt、R=Et、R=R=Meであるものの合成
Work Example 1
It is 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane, and in the reaction formula (1), R 1 = Me, R 3 = OEt, R 4 = Et, R 5 = R. 6 = Synthesis of what is Me

内部サーモカップル及び還流凝縮器を備えた3首丸底フラスコ内で50℃まで加熱された741.0g(8.6mol)の2-メチル-3-ブテン-2-オール中の1.50mLのKarstedt触媒(キシレン中2%の白金)に、付加的な漏斗を介して1155.0g(8.6mol)のジエトキシメチルシランを液滴状に添加した。発熱があり、混合物の温度は85℃まで徐々に上昇した。85℃になったら加熱を止めた。DEMSを添加しながら温度を75~85℃に維持した。添加が完了したら、反応物を冷却して室温まで戻しておき、そして一晩にわたって攪拌させておいた。周囲圧力で蒸留し、そして蒸気温度153℃まで加熱することにより、エタノール副生成物を除去した。生成物を純度97%の1235gの量で105~108Torrの圧力下で、93~94℃で真空蒸留した。収率は82%であった。 1.50 mL Karstedt in 741.0 g (8.6 mol) of 2-methyl-3-buten-2-ol heated to 50 ° C. in a 3-neck round bottom flask equipped with an internal thermocouple and a reflux condenser. 1155.0 g (8.6 mol) of diethoxymethylsilane was added in droplet form to the catalyst (2% platinum in xylene) via an additional funnel. There was heat generation and the temperature of the mixture gradually increased to 85 ° C. The heating was stopped when the temperature reached 85 ° C. The temperature was maintained at 75-85 ° C. with the addition of DEMS. When the addition was complete, the reaction was cooled to room temperature and allowed to stir overnight. Ethanol by-products were removed by distillation at ambient pressure and heating to a steam temperature of 153 ° C. The product was vacuum distilled at 93-94 ° C. under a pressure of 105-108 Torr in an amount of 1235 g with a purity of 97%. The yield was 82%.

作業実施例2
2,2,5,5-テトラメチル-1-オキサ-2-シラシクロペンタンであって、反応式(1)においてR=R=Me、R=Et、R=R=Meであるものの合成
Work Example 2
It is 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, and in the reaction formula (1), R 1 = R 3 = Me, R 4 = Et, R 5 = R 6 = Me. Synthesis of what is

内部サーモカップル及び還流凝縮器を備えた3首丸底フラスコ内で50℃まで加熱された1731.0g(20.1mol)の2-メチル-3-ブテン-2-オール中の2.00mLのKarstedt触媒(キシレン中2%の白金)に、付加的な漏斗を介して2095.0g(20.1mol)のジメチルエトキシシランを液滴状に添加した。漸次的な発熱があり、混合物の温度は87℃に達した。その後、温度は60℃まで漸減した。DEMSの添加量を増大させると、温度は徐々に上昇し始め、次いで急な発熱があり、反応混合物は95℃で還流した。第2温度スパイクは第1温度スパイクよりも急激であった。
添加が完了した後、反応物を室温まで冷却し、そして一晩にわたって攪拌した。試料をGCで走行させ、生成物とジエトキシジメチルシランとの比3:1を示した。周囲圧力で蒸留を行うことにより、エタノール及び残留2-メチル-3-ブテン-2-オール出発材料を除去した。蒸気温度が107℃に達したら、除去を終了させた。生成物を周囲圧力下で純度97%の566gの量で蒸留した。収率は20%であった。
2.00 mL Karstedt in 1731.0 g (20.1 mol) 2-methyl-3-buten-2-ol heated to 50 ° C. in a 3-neck round bottom flask equipped with an internal thermocouple and a reflux condenser. 2095.0 g (20.1 mol) of dimethylethoxysilane was added in droplet form to the catalyst (2% platinum in xylene) via an additional funnel. There was gradual exotherm and the temperature of the mixture reached 87 ° C. After that, the temperature gradually decreased to 60 ° C. When the amount of DEMS added was increased, the temperature began to rise gradually, followed by a sudden exotherm, and the reaction mixture refluxed at 95 ° C. The second temperature spike was steeper than the first temperature spike.
After the addition was complete, the reaction was cooled to room temperature and stirred overnight. The sample was run on GC and showed a ratio of product to diethoxydimethylsilane 3: 1. Ethanol and residual 2-methyl-3-butene-2-ol starting material were removed by distillation at ambient pressure. When the steam temperature reached 107 ° C., the removal was completed. The product was distilled under ambient pressure in an amount of 566 g with 97% purity. The yield was 20%.

作業実施例3
2,5,5-トリメチル-2-イソプロピル-1-オキサ-2-シラシクロペンタンであって、反応式(1)においてR=Me、R=イソプロピル、R=Et、R=R=Meであるものの合成
Work Example 3
It is 2,5,5-trimethyl-2-isopropyl-1-oxa-2-silacyclopentane, and in the reaction formula (1), R 1 = Me, R 3 = isopropyl, R 4 = Et, R 5 = R. 6 = Synthesis of what is Me

ヘキサンとTHFとの350mL混合物中に、24.6g(186.0mmol)のイソプロピルエトキシメチルシランを含有する1首丸底フラスコに、16.0g(186.0mmol)の2-メチル-3-ブテン-2-オールを、続いて0.03mLのKarstedt触媒(キシレン中2%の白金)を添加した。反応物を一晩にわたって攪拌した。GC-MSはm/z172で所望の生成物のエビデンスを示した。 16.0 g (186.0 mmol) of 2-methyl-3-butene-in a single-necked round-bottom flask containing 24.6 g (186.0 mmol) of isopropylethoxymethylsilane in a 350 mL mixture of hexane and THF. 2-ol was subsequently added with 0.03 mL of Karstedt catalyst (2% platinum in xylene). The reaction was stirred overnight. GC-MS showed evidence of the desired product at m / z 172.

作業実施例4(フィルム実施例)
誘電体2,2,5,5-テトラメチル-1-オキサ-2-シラシクロペンタンを使用したケイ素含有誘電体フィルムのPECVD
Work Example 4 (Film Example)
PECVD of silicon-containing dielectric film using dielectric 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane

2つのウエハー上にフィルムを同時に堆積するApplied Materials Producer SEシステムを使用して、プラズマ支援型CVD(PECVD)を介して、300mmウエハー処理のための模範的フィルムを形成した。従って、前駆体及び気体の流量は、2つのウエハー上にフィルムを同時に堆積するのに必要な流量に相当する。それぞれのウエハー処理ステーションがそれ自体の独立したRF出力供給部を有しているので、1ウエハー当たりの規定PF出力は正確である。異なるプロセス条件下で2つの異なる化学的前駆体から得られたフィルムを堆積した。PECVDプロセスは大まかに言えば、下記の基礎工程、すなわちガス流の初期設定及び安定化、シリコンウエハー基体上へのフィルムの堆積、及び基体取り出し前のチャンバのパージ/排気を伴った。p型Siウエハー上で試験を実施した(抵抗率=8~12オーム-cm)。 An Applied Materials Producer SE system, which simultaneously deposits films on two wafers, was used to form an exemplary film for processing 300 mm wafers via plasma-assisted CVD (PECVD). Therefore, the flow rates of the precursor and the gas correspond to the flow rates required to simultaneously deposit the film on the two wafers. Since each wafer processing station has its own independent RF output feeder, the defined PF output per wafer is accurate. Films obtained from two different chemical precursors were deposited under different process conditions. Roughly speaking, the PECVD process involved the following basic steps: initializing and stabilizing the gas flow, depositing the film on a silicon wafer substrate, and purging / exhausting the chamber prior to substrate removal. The test was carried out on a p-type Si wafer (resistivity = 8 to 12 ohm-cm).

SCI FilmTek 2000反射率計上で厚さ及び屈折率を測定した。中度抵抗率p型ウエハー(8~12オーム-cmの範囲)上でHgプローブ技術を用いて誘電率を判定した。ナノインデンテーション技術を用いて機械的特性(弾性率及び硬度、GPa)を判定し、X線光電子分光法によって炭素含量(原子%)を判定し、そして赤外線分光法によって、SiOネットワーク内部の種の組成を判定した。後者は、Si(CHに帰属するケイ素メチル密度と、ジシリルメチレン架橋密度(SiCHSi/SiO*1E4)とを含んだ。 Thickness and index of refraction were measured with SCI FilmTek 2000 reflectance. Dielectric constants were determined using Hg probe technology on medium resistivity p-type wafers (range 8-12 ohm-cm). The mechanical properties (modulus and hardness, GPa) are determined using nanoindentation technology, the carbon content (atomic%) is determined by X-ray photoelectron spectroscopy, and the seeds inside the SiO X network are determined by infrared spectroscopy. The composition of was determined. The latter included the silicon methyl density attributable to Si (CH 3 ) 1 and the disilyl methylene cross-linking density (SiCH 2 Si / SiO x * 1 E4).

作業実施例5(フィルム実施例) Work Example 5 (Film Example)

下記条件下で、2,2,5,5-テトラメチル-1-オキサ-2-シラシクロペンタン前駆体を使用して低誘電率フィルムを堆積した。総前駆体流量は2000mg/minであり、酸素流量は15sccmであり、堆積温度は390℃で維持し、RF出力は230~500Wで変化させ、圧力は7.5torrで維持し、電極間隔は380ミルで維持し、前駆体をプロセスチャンバへ送達するために使用されるHeキャリア流量は1500sccmであった。下記表1は、3つの異なるRF出力で2,2,5,5-テトラメチル-1-オキサ-2-シラシクロペンタン前駆体から得られたフィルム特性を示す。堆積されたフィルムは、より高い機械強度、より高い誘電率、及びFTIRスペクトルにおける積分Si-CH-Siバンドと積分Si-Oバンドとの比から得られるSi-CH-Si/SiOx比の増大によって示される、より高いネットワーク炭素量を示した。Si-CH-Siのようなより高いネットワーク炭素密度を取り込むことは、続いて行われる集積工程、例えばエッチング、アッシング、平坦化、及び金属化の工程中に発生するフィルム損傷の深さを低減するので、望ましい。

Figure 0006993394000012
Under the following conditions, a low dielectric constant film was deposited using the 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane precursor. The total precursor flow rate is 2000 mg / min, the oxygen flow rate is 15 sccm, the deposition temperature is maintained at 390 ° C, the RF output is varied from 230 to 500 W, the pressure is maintained at 7.5 torr, and the electrode spacing is 380. The He carrier flow rate maintained on the mill and used to deliver the precursor to the process chamber was 1500 sccm. Table 1 below shows the film properties obtained from the 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane precursor at three different RF outputs. The deposited film has a higher mechanical strength, a higher permittivity, and a Si-CH 2 -Si / SiOx ratio obtained from the ratio of the integrated Si-CH 2 -Si band to the integrated Si-O band in the FTIR spectrum. It showed a higher network carbon content, as indicated by the increase. Incorporating higher network carbon densities such as Si-CH 2 -Si reduces the depth of film damage that occurs during subsequent integration steps such as etching, ashing, flattening, and metallization. It is desirable because it does.
Figure 0006993394000012

作業実施例6(フィルム実施例)
2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタンを使用した誘電体を用いたケイ素含有誘電体フィルムのPECVD
Work Example 6 (Film Example)
PECVD of a silicon-containing dielectric film using a dielectric using 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane

下記条件下で、2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタン前駆体を使用して低誘電率フィルムを堆積した。総前駆体流量は2000~2500mg/minで変化させ、酸素流量は25~50sccmであり、堆積温度は390℃で維持し、RF出力は315~515Wで変化させ、圧力は7.5torrで維持し、電極間隔は380ミルで維持し、前駆体をプロセスチャンバへ送達するために使用されるHeキャリア流量は1500sccmであった。下記表2は、3つの異なるプロセス条件で2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタン前駆体から得られたフィルム特性を示す。堆積されたフィルムは、2,2,5,5-テトラメチル-1-オキサ-2-シラシクロペンタンに対して同様の機械的強度及び誘電率を示しはしたが、しかし、FTIRスペクトルにおける積分Si-CH-Siバンドと積分Si-Oバンドとの比から得られるSi-CH-Si/SiOx比の減少によって示される、より低いネットワーク炭素量を示した。エトキシ基によるメチル基の置換は、フィルム内に取り込むことができるネットワーク炭素量を低減した。 Under the following conditions, a low dielectric constant film was deposited using the 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane precursor. The total precursor flow rate is varied from 2000 to 2500 mg / min, the oxygen flow rate is 25 to 50 sccm, the deposition temperature is maintained at 390 ° C, the RF output is varied from 315 to 515 W, and the pressure is maintained at 7.5 torr. The electrode spacing was maintained at 380 mils and the He carrier flow rate used to deliver the precursor to the process chamber was 1500 sccm. Table 2 below shows the film properties obtained from the 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane precursor under three different process conditions. The deposited film showed similar mechanical strength and dielectric constant for 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, but integrated Si in the FTIR spectrum. It showed a lower network carbon content, as indicated by a decrease in the Si—CH 2 -Si / SiOx ratio obtained from the ratio of the −CH2 -Si band to the integrated Si—O band. Substitution of the methyl group with an ethoxy group reduced the amount of network carbon that could be incorporated into the film.

Figure 0006993394000013
Figure 0006993394000013

ある特定の実施態様及び実施例に関連して上に例示し説明してきたが、本発明は示された詳細に限定されるものでは決してない。むしろ、本発明の思想を逸脱することなしに、請求項と同等のものの領域及び範囲内で、詳細に種々の変更を加えてよい。例えば、本文書に幅広く示されたあらゆる範囲が、これらの領域内で、より広い範囲内にあらゆるより狭い範囲を含むことが明示的に意図される。
本発明は、以下の態様を含んでいる。
(1)誘電体フィルムを製造するための化学蒸着方法であって、前記方法が、
体が中に提供された反応チャンバ内へ気体状試薬を導入し、前記気体状試薬が下記式I、すなわち、

Figure 0006993394000014
を有するケイ素化合物を含むケイ素前駆体を含み、
は水素、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、直鎖状又は分枝状C ~C 10 アルキニル基、C ~C 10 環式アルキル基、C ~C 10 ヘテロ環式アルキル基、C ~C 10 アリール基、及びC ~C 10 ヘテロアリール基から成る群から選択され、R は、Si原子及び酸素原子とともに4員、5員、又は6員飽和環式リングを、任意のC ~C アルキル置換基を有する状態で形成するC ~C アルキルジラジカルであり、R は、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、直鎖状又は分枝状C ~C 10 アルキニル基、C ~C 10 環式アルキル基、C ~C 10 ヘテロ環式アルキル基、C ~C 10 アリール基、及びC ~C 10 ヘテロアリール基、及びアルコキシOR から成る群から選択され、R は、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、及び直鎖状又は分枝状C ~C 10 アルキニル基から成る群から選択され、そして、
前記基体上にフィルムを堆積するために前記気体状試薬の反応を誘発するように、前記反応チャンバ内の前記気体状試薬にエネルギーを印加する
ことを含む、
誘電体フィルムを製造するための化学蒸着方法。
(2)前記ケイ素前駆体がさらに硬化添加剤を含む、(1)に記載の方法。
(3)前記ケイ素化合物が、2,2,5,5-テトラメチル-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-メトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロペンタン、2,2-ジメチル-1-オキサ-2-シラシクロヘキサン、2,2,6,6-テトラメチル-1-オキサ-2-シラシクロヘキサン、2-メチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,5,5-トリメチル-2-イソ-プロピル-1-オキサ-2-シラシクロペンタン、2-メチル-2-イソ-プロピル-1-オキサ-2-シラシクロペンタン、2-メチル-2-イソ-プロピル-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロピル-1-オキサ-2-シラシクロヘキサン、及びこれらの組み合わせから成る群から選択された少なくとも1種を含む、(1)に記載の方法。
(4)前記硬化添加剤がテトラエトキシシランを含む、(2)に記載の方法。
(5)前記硬化添加剤がテトラメトキシシランを含む、(2)に記載の方法。
(6)プラズマ支援型化学蒸着方法である、(1)に記載の方法。
(7)前記気体状試薬がさらに、O 、N O、NO、NO 、CO 、CO、水、H 、オゾン、及びこれらの組み合わせから成る群から選択された少なくとも1種の酸素源を含む、(1)に記載の方法。
(8)前記印加工程の前記反応チャンバが、He、Ar、N 、Kr、Xe、NH 、H 、CO 、及びCOから成る群から選択された少なくとも1種の気体を含む、(1)に記載の方法。
(9)さらに前記フィルムに付加的なエネルギーを印加する工程を含む、(1)に記載の方法。
(10)前記付加的なエネルギーが、熱処理、紫外線(UV)処理、電子ビーム処理、及びガンマ線処理から成る群から選択された少なくとも1種である、(9)に記載の方法。
(11)前記UV処理が、前記熱処理の少なくとも一部が実施されている間に行われる、(10)に記載の方法。
(12)前記気体状試薬がさらにポロゲン前駆体を含み、そして、
フィルムを堆積するために前記気体状試薬にエネルギーを印加する工程が、前記基体上に犠牲ポロゲンを共堆積することを含む、
(1)に記載の方法。
(13)誘電体フィルムを製造する堆積プロセスに際して使用するための組成物であって、前記組成物が下記式I、すなわち
Figure 0006993394000015
を有するケイ素化合物を含み、
は水素、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、直鎖状又は分枝状C ~C 10 アルキニル基、C ~C 10 環式アルキル基、C ~C 10 ヘテロ環式アルキル基、C ~C 10 アリール基、及びC ~C 10 ヘテロアリール基から成る群から選択され、R は、Si原子及び酸素原子とともに4員、5員、又は6員飽和環式リングを、任意のC ~C アルキル置換基を有する状態で形成するC ~C アルキルジラジカルであり、R は、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、直鎖状又は分枝状C ~C 10 アルキニル基、C ~C 10 環式アルキル基、C ~C 10 ヘテロ環式アルキル基、C ~C 10 アリール基、及びC ~C 10 ヘテロアリール基、及びアルコキシOR から成る群から選択され、R は、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、及び直鎖状又は分枝状C ~C 10 アルキニル基から成る群から選択される、
誘電体フィルムを製造する堆積プロセスに際して使用するための組成物。
(14)前記ケイ素化合物が、2,2,5,5-テトラメチル-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-メトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロペンタン、2,2-ジメチル-1-オキサ-2-シラシクロヘキサン、2,2,6,6-テトラメチル-1-オキサ-2-シラシクロヘキサン、2-メチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,5,5-トリメチル-2-イソ-プロピル-1-オキサ-2-シラシクロペンタン、2-メチル-2-イソ-プロピル-1-オキサ-2-シラシクロペンタン、2-メチル-2-イソ-プロピル-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロピル-1-オキサ-2-シラシクロヘキサン、及びこれらの組み合わせから成る群から選択された少なくとも1種である、(13)に記載の組成物。
(15)式I、すなわち
Figure 0006993394000016
によって表されるケイ素化合物を製造する方法であって、
前記方法が、
触媒の存在において不飽和アルコールでアルコキシシランのヒドロシリル化を実施し、これに続いて70%以上の収率で、反応式(1)又は(2)、すなわち、
Figure 0006993394000017
に従って、溶媒がある状態又はない状態で環化を行うことを含み、
は水素、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、直鎖状又は分枝状C ~C 10 アルキニル基、C ~C 10 環式アルキル基、C ~C 10 ヘテロ環式アルキル基、C ~C 10 アリール基、及びC ~C 10 ヘテロアリール基から成る群から選択され、R は、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、直鎖状又は分枝状C ~C 10 アルキニル基、C ~C 10 環式アルキル基、C ~C 10 ヘテロ環式アルキル基、C ~C 10 アリール基、及びC ~C 10 ヘテロアリール基、及びアルコキシOR から成る群から選択され、R は、直鎖状又は分枝状C ~C 10 アルキル基、及び直鎖状又は分枝状C ~C 10 アルケニル基、直鎖状又は分枝状C ~C 10 アルキニル基から成る群から選択され、そしてR 5-8 は、水素、直鎖状又は分枝状C ~C 10 アルキル基から成る群から独立して選択される、
ケイ素化合物を製造する方法。
(16)式Iによって表される化合物が、2,2,5,5-テトラメチル-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-メトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロペンタン、2,2-ジメチル-1-オキサ-2-シラシクロヘキサン、2,2,6,6-テトラメチル-1-オキサ-2-シラシクロヘキサン、2-メチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,5,5-トリメチル-2-イソ-プロピル-1-オキサ-2-シラシクロペンタン、2-メチル-2-イソ-プロピル-1-オキサ-2-シラシクロペンタン、2-メチル-2-イソ-プロピル-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロピル-1-オキサ-2-シラシクロヘキサン、及びこれらの組み合わせから成る群から選択される、(15)に記載の方法。
(17)誘電体フィルムの蒸着のための組成物であって、下記式I、すなわち、
Figure 0006993394000018
を有するケイ素化合物を含み、
は水素、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、直鎖状又は分枝状C ~C 10 アルキニル基、C ~C 10 環式アルキル基、C ~C 10 ヘテロ環式アルキル基、C ~C 10 アリール基、及びC ~C 10 ヘテロアリール基から成る群から選択され、R は、Si原子及び酸素原子とともに4員、5員、又は6員飽和環式リングを、任意のC ~C アルキル置換基を有する状態で形成するC ~C アルキルジラジカルであり、R は、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、直鎖状又は分枝状C ~C 10 アルキニル基、C ~C 10 環式アルキル基、C ~C 10 ヘテロ環式アルキル基、C ~C 10 アリール基、及びC ~C 10 ヘテロアリール基、及びアルコキシOR から成る群から選択され、R は、直鎖状又は分枝状C ~C 10 アルキル基、直鎖状又は分枝状C ~C 10 アルケニル基、及び直鎖状又は分枝状C ~C 10 アルキニル基から成る群から選択され、そして前記化合物が、ハロゲン化物、有機シラン不純物、及び水から成る群から選択された少なくとも1種の不純物をほとんど含まない、
誘電体フィルムの蒸着のための組成物。
(18)前記ケイ素化合物が、2,2,5,5-テトラメチル-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-メトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロペンタン、2,2-ジメチル-1-オキサ-2-シラシクロヘキサン、2,2,6,6-テトラメチル-1-オキサ-2-シラシクロヘキサン、2-メチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,5,5-トリメチル-2-イソ-プロピル-1-オキサ-2-シラシクロペンタン、2-メチル-2-イソ-プロピル-1-オキサ-2-シラシクロペンタン、2-メチル-2-イソ-プロピル-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロピル-1-オキサ-2-シラシクロヘキサン、及びこれらの組み合わせから成る群から選択された少なくとも1種である、(17)に記載の組成物。
(19)前記ハロゲン化物が塩化物イオンを含む、(17)に記載の組成物。
(20)前記塩化物イオンが、存在するならば、50ppm以下の濃度で存在する、(19)に記載の組成物。
(21)前記塩化物イオンが、存在するならば、10ppm以下の濃度で存在する、(19)に記載の組成物。
(22)前記塩化物イオンが、存在するならば、5ppm以下の濃度で存在する、(19)に記載の組成物。
(23)前記組成物が0ppmの塩化物イオンを有する、(19)に記載の組成物。
(24)GCに基づく全ての有機シラン不純物の合計が1.0wt%以下である、(17)に記載の組成物。
(25)GCに基づく全ての有機シラン不純物の合計が0.5wt%以下である、(17に記載の組成物。 Although exemplified and described above in connection with certain embodiments and examples, the invention is by no means limited to the details presented. Rather, various changes may be made in detail within the scope and scope of the claims without departing from the ideas of the present invention. For example, any range broadly shown in this document is expressly intended to include any narrower range within these areas.
The present invention includes the following aspects.
(1) A chemical vapor deposition method for producing a dielectric film, wherein the method is
A gaseous reagent is introduced into the reaction chamber provided in which the substrate is provided, and the gaseous reagent is the following formula I, i.e.
Figure 0006993394000014
Contains silicon precursors, including silicon compounds with
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, R 2 is Si. A C2 - C4 alkyl diradyl that forms a 4 -membered, 5-membered, or 6 -membered saturated cyclic ring with an atom and an oxygen atom with any C1 -C6 alkyl substituents , where R3 is Linear or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, linear or branched C 2 to C 10 alkynyl groups, C 3 to C 10 Selected from the group consisting of a cyclic alkyl group, a C 3 to C 10 heterocyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 heteroaryl group, and an alkoxy OR 4 , where R 4 is direct. Selected from the group consisting of chain or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, and linear or branched C 2 to C 10 alkynyl groups. ,and,
Energy is applied to the gaseous reagent in the reaction chamber to induce the reaction of the gaseous reagent to deposit the film on the substrate.
Including that
A chemical vapor deposition method for producing a dielectric film.
(2) The method according to (1), wherein the silicon precursor further contains a curing additive.
(3) The silicon compound is 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane. , 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane, 2,2 -Dimethyl-1-oxa-2-silacyclohexane, 2,2,6,6-tetramethyl-1-oxa-2-silacyclohexane, 2-methyl-2-ethoxy-1-oxa-2-silacyclohexane, 2 , 6,6-trimethyl-2-ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-methoxy-1 -Oxa-2-silacyclohexane, 2-methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2-Methyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,5,5-trimethyl- 2-Iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa- 2 (1), which comprises at least one selected from the group consisting of 2-silacyclohexane, 2,6,6-trimethyl-2-iso-propyl-1-oxa-2-silacyclohexane, and combinations thereof. the method of.
(4) The method according to (2), wherein the curing additive contains tetraethoxysilane.
(5) The method according to (2), wherein the curing additive contains tetramethoxysilane.
(6) The method according to (1), which is a plasma-assisted chemical vapor deposition method.
(7) The gaseous reagent is further selected from the group consisting of O 2 , N 2 O, NO, NO 2 , CO 2 , CO, water, H 2 O 2 , ozone, and combinations thereof. The method according to (1), which comprises an oxygen source of.
(8) The reaction chamber of the application step comprises at least one gas selected from the group consisting of He, Ar, N 2 , Kr, Xe, NH 3 , H 2 , CO 2 and CO ((8). The method described in 1).
(9) The method according to (1), further comprising a step of applying additional energy to the film.
(10) The method according to (9), wherein the additional energy is at least one selected from the group consisting of heat treatment, ultraviolet (UV) treatment, electron beam treatment, and gamma ray treatment.
(11) The method according to (10), wherein the UV treatment is performed while at least a part of the heat treatment is performed.
(12) The gaseous reagent further comprises a pologene precursor and
The step of applying energy to the gaseous reagent to deposit the film comprises co-depositing the sacrificial porogen on the substrate.
The method according to (1).
(13) A composition for use in a deposition process for producing a dielectric film, wherein the composition is the following formula I, that is,
Figure 0006993394000015
Contains silicon compounds with
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, R 2 is Si. A C2 - C4 alkyl diradyl that forms a 4 -membered, 5-membered, or 6 -membered saturated cyclic ring with an atom and an oxygen atom with any C1 -C6 alkyl substituents , where R3 is Linear or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, linear or branched C 2 to C 10 alkynyl groups, C 3 to C 10 Selected from the group consisting of a cyclic alkyl group, a C 3 to C 10 heterocyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 heteroaryl group, and an alkoxy OR 4 , where R 4 is direct. Selected from the group consisting of chain or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, and linear or branched C 2 to C 10 alkynyl groups. ,
A composition for use in the deposition process of producing dielectric films.
(14) The silicon compound is 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane. , 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane, 2,2 -Dimethyl-1-oxa-2-silacyclohexane, 2,2,6,6-tetramethyl-1-oxa-2-silacyclohexane, 2-methyl-2-ethoxy-1-oxa-2-silacyclohexane, 2 , 6,6-trimethyl-2-ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-methoxy-1 -Oxa-2-silacyclohexane, 2-methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2-Methyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,5,5-trimethyl- 2-Iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa- 2-Silacyclohexane, 2,6,6-trimethyl-2-iso-propyl-1-oxa-2-silacyclohexane, and at least one selected from the group consisting of combinations thereof, according to (13). Composition.
(15) Equation I, that is,
Figure 0006993394000016
A method for producing a silicon compound represented by
The above method
Hydrosilylation of the alkoxysilane with unsaturated alcohols was carried out in the presence of the catalyst, followed by reaction equation (1) or (2), ie in yields of 70% or greater.
Figure 0006993394000017
Including cyclization with or without solvent according to
R 1 is hydrogen, linear or branched C 1 to C 10 alkyl group, linear or branched C 2 to C 10 alkenyl group, linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, R 3 is direct. Chained or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, linear or branched C 2 to C 10 alkynyl groups, C 3 to C 10 rings Selected from the group consisting of the formula alkyl group, the C 3 to C 10 heterocyclic alkyl group, the C 5 to C 10 aryl group, and the C 3 to C 10 heteroaryl group, and the alkoxy OR 4 , R 4 is a straight chain. Selected from the group consisting of linear or branched C 1 to C 10 alkyl groups and linear or branched C 2 to C 10 alkenyl groups, linear or branched C 2 to C 10 alkynyl groups. And R 5-8 is independently selected from the group consisting of hydrogen, linear or branched C1 to C10 alkyl groups.
A method for producing a silicon compound.
The compound represented by the formula (I) is 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-ethoxy-1-oxa-2. -Silacyclopentane, 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane , 2,2-dimethyl-1-oxa-2-silacyclohexane, 2,2,6,6-tetramethyl-1-oxa-2-silacyclohexane, 2-methyl-2-ethoxy-1-oxa-2- Silacyclohexane, 2,6,6-trimethyl-2-ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2 -Methoxy-1-oxa-2-silacyclohexane, 2-methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2 -Silacyclohexane, 2-methyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,5 5-trimethyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl- 1-Oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propyl-1-oxa-2-silacyclohexane, which is selected from the group consisting of, and combinations thereof, according to (15). Method.
(17) A composition for vapor deposition of a dielectric film, wherein the following formula I, that is,
Figure 0006993394000018
Contains silicon compounds with
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, R 2 is Si. A C2 - C4 alkyl diradyl that forms a 4 -membered, 5-membered, or 6 -membered saturated cyclic ring with an atom and an oxygen atom with any C1 -C6 alkyl substituents , where R3 is Linear or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, linear or branched C 2 to C 10 alkynyl groups, C 3 to C 10 Selected from the group consisting of a cyclic alkyl group, a C 3 to C 10 heterocyclic alkyl group, a C 5 to C 10 aryl group, and a C 3 to C 10 heteroaryl group, and an alkoxy OR 4 , where R 4 is direct. Selected from the group consisting of chain or branched C 1 to C 10 alkyl groups, linear or branched C 2 to C 10 alkenyl groups, and linear or branched C 2 to C 10 alkynyl groups. And the compound contains very little of at least one impurity selected from the group consisting of halides, organic silane impurities, and water.
A composition for the deposition of dielectric films.
(18) The silicon compound is 2,2,5,5-tetramethyl-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-ethoxy-1-oxa-2-silacyclopentane. , 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2,5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane, 2,2 -Dimethyl-1-oxa-2-silacyclohexane, 2,2,6,6-tetramethyl-1-oxa-2-silacyclohexane, 2-methyl-2-ethoxy-1-oxa-2-silacyclohexane, 2 , 6,6-trimethyl-2-ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-methoxy-1 -Oxa-2-silacyclohexane, 2-methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2-Methyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane, 2,5,5-trimethyl- 2-Iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa-2-silacyclopentane, 2-methyl-2-iso-propyl-1-oxa- 2-Silacyclohexane, 2,6,6-trimethyl-2-iso-propyl-1-oxa-2-silacyclohexane, and at least one selected from the group consisting of combinations thereof, according to (17). Composition.
(19) The composition according to (17), wherein the halide contains chloride ions.
(20) The composition according to (19), wherein the chloride ion is present at a concentration of 50 ppm or less, if present.
(21) The composition according to (19), wherein the chloride ion is present at a concentration of 10 ppm or less, if present.
(22) The composition according to (19), wherein the chloride ion is present at a concentration of 5 ppm or less, if present.
(23) The composition according to (19), wherein the composition has 0 ppm chloride ion.
(24) The composition according to (17), wherein the total of all organic silane impurities based on GC is 1.0 wt% or less.
(25) The composition according to (17), wherein the total of all organic silane impurities based on GC is 0.5 wt% or less.

Claims (23)

誘電体フィルムを製造するための化学蒸着方法であって、前記方法が、
基体が中に提供された反応チャンバ内へ気体状試薬を導入し、前記気体状試薬が下記式I、すなわち、
Figure 0006993394000019
を有するケイ素化合物を含むケイ素前駆体を含み、
は水素、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基から成る群から選択され、Rは、Si原子及び酸素原子とともに4員、5員、又は6員飽和環式リングを、任意のC~Cアルキル置換基を有する状態で形成するC~Cアルキルジラジカルであり、R、アルコキシOR であり、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、及び直鎖状又は分枝状C~C10アルキニル基から成る群から選択され、そして、
前記基体上にフィルムを堆積するために前記気体状試薬の反応を誘発するように、前記反応チャンバ内の前記気体状試薬にエネルギーを印加する
ことを含む、
誘電体フィルムを製造するための化学蒸着方法。
A chemical vapor deposition method for producing a dielectric film, wherein the method is
A gaseous reagent is introduced into the reaction chamber provided in which the substrate is provided, and the gaseous reagent is the following formula I, i.e.
Figure 0006993394000019
Contains silicon precursors, including silicon compounds with
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, R 2 is Si. A C2 - C4 alkyl diradyl that forms a 4-membered, 5-membered, or 6 -membered saturated cyclic ring with an atom and an oxygen atom with any C1-C6 alkyl substituents , where R3 is Aalkoxy OR 4 , where R 4 is a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, and a linear or branched C. Selected from the group consisting of 2 to C10 alkynyl groups, and
It comprises applying energy to the gaseous reagent in the reaction chamber to induce a reaction of the gaseous reagent to deposit a film on the substrate.
A chemical vapor deposition method for producing a dielectric film.
前記ケイ素前駆体がさらに硬化添加剤を含む、請求項1に記載の方法。 The method of claim 1, wherein the silicon precursor further comprises a curing additive. 前記ケイ素化合物が、2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-メトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロペンタン、2-メチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、及びこれらの組み合わせから成る群から選択された少なくとも1種を含む、請求項1に記載の方法。 The silicon compound is 2,5,5-trimethyl-2-ethoxy-1-oxa- 2 -silacyclopentane, 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2 , 5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane , 2 -methyl-2-ethoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2- Ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-methoxy-1-oxa-2-silacyclohexane, 2 -Methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2-methyl-2-iso-propoxy Claimed to include at least one selected from the group consisting of -1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane , and combinations thereof. Item 1. The method according to Item 1. 前記硬化添加剤がテトラエトキシシランを含む、請求項2に記載の方法。 The method of claim 2, wherein the curing additive comprises tetraethoxysilane. 前記硬化添加剤がテトラメトキシシランを含む、請求項2に記載の方法。 The method of claim 2, wherein the curing additive comprises tetramethoxysilane. プラズマ支援型化学蒸着方法である、請求項1に記載の方法。 The method according to claim 1, which is a plasma-assisted chemical vapor deposition method. 前記気体状試薬がさらに、O、NO、NO、NO、CO、CO、水、H、オゾン、及びこれらの組み合わせから成る群から選択された少なくとも1種の酸素源を含む、請求項1に記載の方法。 The gaseous reagent further comprises at least one oxygen source selected from the group consisting of O 2 , N 2 O, NO, NO 2 , CO 2 , CO, water, H 2 O 2 , ozone, and combinations thereof. The method according to claim 1, comprising the above. 前記印加工程の前記反応チャンバが、He、Ar、N、Kr、Xe、NH、H、CO、及びCOから成る群から選択された少なくとも1種の気体を含む、請求項1に記載の方法。 1. The reaction chamber of the application step comprises at least one gas selected from the group consisting of He, Ar, N 2 , Kr, Xe, NH 3 , H 2 , CO 2 and CO. The method described. さらに前記フィルムに付加的なエネルギーを印加する工程を含む、請求項1に記載の方法。 The method according to claim 1, further comprising a step of applying additional energy to the film. 前記付加的なエネルギーが、熱処理、紫外線(UV)処理、電子ビーム処理、及びガンマ線処理から成る群から選択された少なくとも1種である、請求項9に記載の方法。 9. The method of claim 9, wherein the additional energy is at least one selected from the group consisting of heat treatment, ultraviolet (UV) treatment, electron beam treatment, and gamma ray treatment. 前記UV処理が、前記熱処理の少なくとも一部が実施されている間に行われる、請求項10に記載の方法。 10. The method of claim 10, wherein the UV treatment is performed while at least a portion of the heat treatment is being performed. 前記気体状試薬がさらにポロゲン前駆体を含み、そして、
フィルムを堆積するために前記気体状試薬にエネルギーを印加する工程が、前記基体上に犠牲ポロゲンを共堆積することを含む、
請求項1に記載の方法。
The gaseous reagent further comprises a pologene precursor, and
The step of applying energy to the gaseous reagent to deposit the film comprises co-depositing the sacrificial porogen on the substrate.
The method according to claim 1.
誘電体フィルムを製造する堆積プロセスに際して使用するための組成物であって、前記組成物が下記式I、すなわち
Figure 0006993394000020
を有するケイ素化合物を含み、
は水素、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基から成る群から選択され、Rは、Si原子及び酸素原子とともに4員、5員、又は6員飽和環式リングを、任意のC~Cアルキル置換基を有する状態で形成するC~Cアルキルジラジカルであり、R、アルコキシOR であり、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、及び直鎖状又は分枝状C~C10アルキニル基から成る群から選択される、
誘電体フィルムを製造する堆積プロセスに際して使用するための組成物。
A composition for use in a deposition process for producing a dielectric film, wherein the composition is the following formula I, i.e.
Figure 0006993394000020
Contains silicon compounds with
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, R 2 is Si. A C2 - C4 alkyl diradyl that forms a 4-membered, 5-membered, or 6 -membered saturated cyclic ring with an atom and an oxygen atom with any C1-C6 alkyl substituents , where R3 is Aalkoxy OR 4 , where R 4 is a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, and a linear or branched C. Selected from the group consisting of 2 to C10 alkynyl groups,
A composition for use in the deposition process of producing dielectric films.
前記ケイ素化合物が、2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-メトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロペンタン、2-メチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、及びこれらの組み合わせから成る群から選択された少なくとも1種である、請求項13に記載の組成物。 The silicon compound is 2,5,5-trimethyl-2-ethoxy-1-oxa- 2 -silacyclopentane, 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2 , 5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane , 2 -methyl-2-ethoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2- Ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-methoxy-1-oxa-2-silacyclohexane, 2 -Methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2-methyl-2-iso-propoxy At least one selected from the group consisting of -1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane , and combinations thereof, claim. Item 3. The composition according to Item 13. 誘電体フィルムの蒸着のための組成物であって、下記式I、すなわち、
Figure 0006993394000021
を有するケイ素化合物を含み、
は水素、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、直鎖状又は分枝状C~C10アルキニル基、C~C10環式アルキル基、C~C10ヘテロ環式アルキル基、C~C10アリール基、及びC~C10ヘテロアリール基から成る群から選択され、Rは、Si原子及び酸素原子とともに4員、5員、又は6員飽和環式リングを、任意のC~Cアルキル置換基を有する状態で形成するC~Cアルキルジラジカルであり、R、アルコキシOR であり、Rは、直鎖状又は分枝状C~C10アルキル基、直鎖状又は分枝状C~C10アルケニル基、及び直鎖状又は分枝状C~C10アルキニル基から成る群から選択され、そして前記化合物が、ハロゲン化物、有機シラン不純物、及び水から成る群から選択された少なくとも1種の不純物をほとんど含まない、
誘電体フィルムの蒸着のための組成物。
A composition for vapor deposition of a dielectric film, wherein the following formula I, that is,
Figure 0006993394000021
Contains silicon compounds with
R 1 is hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, a linear or branched C 2 to C 10 alkynyl group, Selected from the group consisting of C 3 to C 10 cyclic alkyl groups, C 3 to C 10 heterocyclic alkyl groups, C 5 to C 10 aryl groups, and C 3 to C 10 heteroaryl groups, R 2 is Si. A C2 - C4 alkyl diradyl that forms a 4-membered, 5-membered, or 6 -membered saturated cyclic ring with an atom and an oxygen atom with any C1-C6 alkyl substituents , where R3 is Aalkoxy OR 4 , where R 4 is a linear or branched C 1 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, and a linear or branched C. Selected from the group consisting of 2 to C10 alkynyl groups, and said compound contains very little of at least one impurity selected from the group consisting of halides, organic silane impurities, and water.
A composition for the deposition of dielectric films.
前記ケイ素化合物が、2,5,5-トリメチル-2-エトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-メトキシ-1-オキサ-2-シラシクロペンタン、2,5,5-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロペンタン、2-メチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-エトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-メトキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-n-プロポキシ-1-オキサ-2-シラシクロヘキサン、2-メチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、2,6,6-トリメチル-2-イソ-プロポキシ-1-オキサ-2-シラシクロヘキサン、及びこれらの組み合わせから成る群から選択された少なくとも1種である、請求項15に記載の組成物。 The silicon compound is 2,5,5-trimethyl-2-ethoxy-1-oxa- 2 -silacyclopentane, 2,5,5-trimethyl-2-methoxy-1-oxa-2-silacyclopentane, 2 , 5,5-trimethyl-2-iso-propoxy-1-oxa-2-silacyclopentane , 2 -methyl-2-ethoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2- Ethoxy-1-oxa-2-silacyclohexane, 2-methyl-2-methoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-methoxy-1-oxa-2-silacyclohexane, 2 -Methyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-n-propoxy-1-oxa-2-silacyclohexane, 2-methyl-2-iso-propoxy At least one selected from the group consisting of -1-oxa-2-silacyclohexane, 2,6,6-trimethyl-2-iso-propoxy-1-oxa-2-silacyclohexane , and combinations thereof, claim. Item 5. The composition according to Item 15 . 前記ハロゲン化物が塩化物イオンを含む、請求項15に記載の組成物。 The composition according to claim 15 , wherein the halide contains chloride ions. 前記塩化物イオンが、存在するならば、50ppm以下の濃度で存在する、請求項17に記載の組成物。 17. The composition of claim 17 , wherein the chloride ion, if present, is present at a concentration of 50 ppm or less. 前記塩化物イオンが、存在するならば、10ppm以下の濃度で存在する、請求項17に記載の組成物。 17. The composition of claim 17 , wherein the chloride ion, if present, is present at a concentration of 10 ppm or less. 前記塩化物イオンが、存在するならば、5ppm以下の濃度で存在する、請求項17に記載の組成物。 17. The composition of claim 17 , wherein the chloride ion, if present, is present at a concentration of 5 ppm or less. 前記組成物が0ppmの塩化物イオンを有する、請求項17に記載の組成物。 17. The composition of claim 17 , wherein the composition has 0 ppm chloride ion. GCに基づく全ての有機シラン不純物の合計が1.0wt%以下である、請求項15に記載の組成物。 The composition according to claim 15 , wherein the total of all organic silane impurities based on GC is 1.0 wt% or less. GCに基づく全ての有機シラン不純物の合計が0.5wt%以下である、請求項15に記載の組成物。 The composition according to claim 15 , wherein the total of all organic silane impurities based on GC is 0.5 wt% or less.
JP2019199602A 2019-08-06 2019-11-01 Silicon compounds and methods of depositing films using silicon compounds Active JP6993394B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201916532657A 2019-08-06 2019-08-06
US16/532,657 2019-08-06
WOPCT/US2019/045877 2019-08-09
USPCT/US2019/045877 2019-08-09

Publications (2)

Publication Number Publication Date
JP2021025124A JP2021025124A (en) 2021-02-22
JP6993394B2 true JP6993394B2 (en) 2022-02-21

Family

ID=74662192

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019199602A Active JP6993394B2 (en) 2019-08-06 2019-11-01 Silicon compounds and methods of depositing films using silicon compounds

Country Status (1)

Country Link
JP (1) JP6993394B2 (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020072220A1 (en) 2000-10-10 2002-06-13 Wang Qing Min Low-k dielectric CVD precursors and uses thereof
JP2004190033A (en) 2002-12-12 2004-07-08 Air Products & Chemicals Inc Low dielectric constant material and process for treatment with chemical vapor deposition (cvd)
JP2002256434A5 (en) 2002-01-17 2004-08-05
JP2011111399A (en) 2009-11-25 2011-06-09 Tosoh Corp Spiro type cyclotrisiloxane derivative, method for producing the same, film production method using the same and film
JP2011171559A (en) 2010-02-19 2011-09-01 Tokyo Electron Ltd Manganese-containing low-dielectric-constant film and method of forming the same, method of manufacturing semiconductor device, and film-forming apparatus
JP2016005001A (en) 2014-06-16 2016-01-12 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Alkyl-alkoxysilacyclic compound and method for depositing films using the same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE272582T1 (en) * 1991-12-26 2004-08-15 Atofina Chem Inc COATING COMPOSITION FOR GLASS
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020072220A1 (en) 2000-10-10 2002-06-13 Wang Qing Min Low-k dielectric CVD precursors and uses thereof
JP2002256434A5 (en) 2002-01-17 2004-08-05
JP2004190033A (en) 2002-12-12 2004-07-08 Air Products & Chemicals Inc Low dielectric constant material and process for treatment with chemical vapor deposition (cvd)
JP2011111399A (en) 2009-11-25 2011-06-09 Tosoh Corp Spiro type cyclotrisiloxane derivative, method for producing the same, film production method using the same and film
JP2011171559A (en) 2010-02-19 2011-09-01 Tokyo Electron Ltd Manganese-containing low-dielectric-constant film and method of forming the same, method of manufacturing semiconductor device, and film-forming apparatus
JP2016005001A (en) 2014-06-16 2016-01-12 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Alkyl-alkoxysilacyclic compound and method for depositing films using the same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
WROBEL, A. M. et al.,Chem. Mater.,2001年,13,pp.1884-1895
WROBEL, A. M. et al.,Chem. Vap. Deposition,1996年,2(6),pp.285-291

Also Published As

Publication number Publication date
JP2021025124A (en) 2021-02-22

Similar Documents

Publication Publication Date Title
JP7032266B2 (en) Alkoxyalkoxysila cyclic compound and film deposition method using it
TWI729417B (en) Silicon compounds and methods for depositing films using same
JP2022153428A (en) Alkoxysilacyclic or acyloxysilacyclic compound and method for depositing film using the same
JP6813551B2 (en) Shira cyclic compound and method for depositing silicon-containing film using it
TWI772883B (en) Monoalkoxysilanes and dense organosilica films made therefrom
JP6993394B2 (en) Silicon compounds and methods of depositing films using silicon compounds
JP2022547588A (en) Monoalkoxysilanes and dialkoxysilanes, and high-density organic silica films made therefrom
CN110952074B (en) Silicon compound and method for depositing film using silicon compound
KR102373339B1 (en) Silicon compound and method for depositing film using same
TWI821645B (en) New precursors and method for depositing films with high elastic modulus
US11043374B2 (en) Silacycloalkane compounds and methods for depositing silicon containing films using same
US20200048286A1 (en) Silicon compounds and methods for depositing films using same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210430

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210730

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211209

R150 Certificate of patent or registration of utility model

Ref document number: 6993394

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150