JP2022541735A - 誘電体材料を硬化させる方法及び装置 - Google Patents

誘電体材料を硬化させる方法及び装置 Download PDF

Info

Publication number
JP2022541735A
JP2022541735A JP2022500016A JP2022500016A JP2022541735A JP 2022541735 A JP2022541735 A JP 2022541735A JP 2022500016 A JP2022500016 A JP 2022500016A JP 2022500016 A JP2022500016 A JP 2022500016A JP 2022541735 A JP2022541735 A JP 2022541735A
Authority
JP
Japan
Prior art keywords
dielectric material
chamber
electrode
curing
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022500016A
Other languages
English (en)
Other versions
JP7331236B2 (ja
Inventor
バーガブ エス. シトラ,
ジョシュア ルブニツ,
ジェスロ タノス,
シュリニヴァス ディ. ネマニ,
カーティク ラーマスワーミ,
ヤン ヤン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022541735A publication Critical patent/JP2022541735A/ja
Application granted granted Critical
Publication of JP7331236B2 publication Critical patent/JP7331236B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Figure 2022541735000001
プロセスチャンバのプロセス領域にプロセスガスを供給することと、プロセス領域に配置された高二次電子放出係数材料から形成された電極に低周波RF電力を印加することと、プロセス領域にイオンを含むプラズマを生成することと、電極に電子を放出させて電子ビームを形成するために、電極にイオンを衝突させることと、誘電体材料を硬化させるために、誘電体材料を電子ビームと接触させることとを含む、集積回路構造を形成する方法及び装置であり、誘電体材料は流動性化学気相堆積の生成物である。実施形態では、硬化は、誘電体材料の酸素含有量を減少させ、窒素含有量を増加させることによって、誘電体材料を安定化させる。
【選択図】図5

Description

[0001]本開示の実施形態は、概して、基板を硬化させてインタコネクトデバイスを形成する方法及び装置に関する。より具体的には、本明細書に記載の実施形態は、誘電体材料の電子ビーム反応性プラズマ硬化のための方法及び装置に関する。
[0002]サブハーフミクロン以下の特徴を確実に製造することは、次世代半導体デバイスの超大規模集積(VLSI)及び極超大規模集積(ULSI)における重要な技術課題の1つである。しかし、回路技術の限界が高められる一方で、VLSI及びULSIの配線技術の寸法微細化により、処理能力に対する要求が更に高まっている。基板上のゲート構造を確実に形成することは、VLSI及びULSIの成功にとって、また個々の基板及びダイの回路密度と品質を高める努力を続ける上で重要である。
[0003]次世代デバイス及び構造の製造を実現するため、半導体チップを3次元(3D)に積層して、トランジスタの性能を向上させることがよく行われている。トランジスタを従来の2次元の代わりに3次元に配置することで、集積回路(IC)で複数のトランジスタを互いに密接に配置することができる。半導体チップを3次元(3D)に積層することで、配線の長さが短くなり、配線の遅延が低く抑えられる。トレンチ等の特徴の幅が小さくなるにつれ、半導体チップを積層する際のアスペクト比(深さを幅で割った値)が増大し続ける。トレンチ等の高アスペクト比の特徴の製造に関する1つの課題は、トレンチでの誘電体材料の堆積中のボイドの形成を避けることである。
[0004]トレンチを充填するために、酸化ケイ素等の誘電体材料の層が堆積される。誘電体層は通常、フィールドだけでなく、トレンチ等の特徴の壁や底部も覆う。もし、特徴が広く浅ければ、特徴を完全に充填することは難しいことではない。しかし、特徴のアスペクト比が大きくなると、トレンチの開口部が「ピンチオフ」して、特徴内にボイド又は欠陥が形成される可能性が高くなる。
[0005]トレンチ内に継ぎ目が形成される等、特徴内にボイド又は欠陥が形成される可能性を低減するために、欠陥を最小限に抑えて誘電体材料で特徴を充填するための多くの異なるプロセス技法が開発されてきた。堆積プロセス中のプロセス制御が悪いと、不規則な構造プロファイルになる、又はトレンチ等の特徴が早期に閉じてしまい、トレンチを誘電体材料で充填している間にトレンチにボイド又は空隙ができる。
[0006]流動性化学気相堆積(FCVD)は、ボイド又は欠陥の形成を避けながら、トレンチ等の特徴をボトムアップで充填する1つの方法である。しかし、FCVD法から形成された誘電体材料は不安定である場合があり、空気と反応する反応種を含み、例えば高い酸素/窒素比のような高い酸素含有量を有する材料の層を形成する問題があり得る。また、FCVD法で形成された膜をアニールしてもまだ不十分であり、それによって形成された誘電体膜材料の全体的な安定性及び品質が改善されず、デバイスの抵抗が増加する可能性がある。
[0007]従って、本発明者らは、FCVD法から形成される材料を改善するのに適した、改良された硬化装置及び方法を提供した。
[0008]基板を硬化させる及び/又はインタコネクトデバイスを形成する方法及び装置が本明細書に提供される。幾つかの実施形態では、集積回路構造を形成する方法は、プロセスチャンバのプロセス領域にプロセスガスを供給することと、プロセス領域に配置された高二次電子放出係数材料から形成された電極にRF電力を印加することと、プロセス領域にイオンを含むプラズマを生成することと、電極に電子を放出させて電子ビームを形成するために、電極にイオンを衝突させることと、誘電体材料を硬化させるために、誘電体材料を電子ビームと接触させることとを含み、誘電体材料は流動性化学気相堆積の生成物である。
[0009]幾つかの実施形態では、統合システムは、真空基板移送チャンバと、流動性化学気相堆積用に構成され、真空基板移送チャンバに結合された堆積チャンバと、真空基板移送チャンバに結合された硬化チャンバであって、電子ビーム放射源を用いて誘電体材料を硬化させるように構成された硬化チャンバとを含む。
[0010]幾つかの実施形態では、コンピュータ可読媒体は、実行されると、プロセスチャンバに、集積回路構造を形成する方法を実行させる記憶された命令を有し、本方法は、プロセスチャンバのプロセス領域にプロセスガスを供給することと、プロセス領域に配置された高二次電子放出係数材料から形成された電極にRF電力を印加することと、プロセス領域にイオンを含むプラズマを生成することと、電極に電子を放出させて電子ビームを形成するために、電極にイオンを衝突させることと、誘電体材料を硬化させるために、誘電体材料を電子ビームと接触させることとを含み、誘電体材料は流動性化学気相堆積の生成物である。
[0011]本開示の他の及び更なる実施形態について以下に説明する。
[0012]添付の図面に示す本開示の例示の実施形態を参照することで、上記に要約し、以下により詳細に説明する本開示の実施形態を理解することができる。ただし、添付の図面は本開示の典型的な実施形態を単に示すものであり、したがって、範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実施形態も許容しうる。
本開示の幾つかの実施形態に係る堆積プロセスを実行するのに適した装置を示す図である。 本開示の幾つかの実施形態に係る誘電体層を硬化させるのに適した装置を示す図である。 図2の装置とは異なるが、本開示の幾つかの実施形態に係る誘電体層を硬化させるのに適した装置を示す図である。 本開示の幾つかの実施形態に係る図1の装置及び図2又は図3の装置を含む加工ツールの上面図である。 本開示の幾つかの実施形態に係る誘電体材料を形成する方法を示すプロセスフロー図である。 本開示の幾つかの実施形態に係る誘電体材料を硬化させる方法を示すプロセスフロー図である。 本開示の幾つかの実施形態に従って形成された構造を示す断面図である。
[0020]理解を容易にするために、可能な限り、図面に共通の同一要素を示すのに同一の参照番号を使用している。図面は縮尺どおりに描かれておらず、わかりやすくするために簡略化されている場合がある。一実施形態の要素及び特徴は、更に詳述することなく、他の実施形態に有益に組み込まれ得る。
[0021]基板を硬化させる、及び/又はインタコネクトデバイスを形成する方法及び装置が本明細書に提供される。幾つかの実施形態では、集積回路構造を形成する方法は、誘電体材料を硬化させるのに適した条件下で誘電体材料を電子ビームと接触させることを含む。本開示の方法及び装置は、FCVD法により形成又は堆積された誘電体材料等の誘電体材料を有利に安定化又は改善する。実施形態では、本開示の方法及び装置は、誘電体材料の構成が変化するように、電子ビームを使用して誘電体材料を硬化させること等により、堆積された誘電体材料の変種を形成する。例えば、幾つかの実施形態では、窒化ケイ素材料に組み込まれた反応性水素を有する誘電体材料の窒化ケイ素層が堆積され得る。硬化させない限り、水素は問題なことにその中の空気及び水分と反応して、酸窒化ケイ素(SiO)等の誘電体材料の酸窒化物層を形成し得る。幾つかの実施形態では、本開示に係る硬化は、誘電体材料の原子酸素割合を減少させ、材料の原子窒素割合を増加させる。例えば、FCVDによって形成された誘電体材料が、その中に水素が組み込まれた窒化ケイ素である幾つかの実施形態では、本開示に従って誘電体材料を硬化させると、xとyの比が1より大きいSiO等の不安定な材料形成が低減する。
[0022]したがって、本開示は、半導体デバイス、特に半導体チップの3次元(3D)積層用の高アスペクト比のトレンチ等の特徴に誘電体材料を堆積させて、基板からトレンチに充填する誘電体材料の良好な間隙充填能力を提供することを含む。図1は、本開示に係る半導体用途のための誘電体材料を堆積させ得る堆積プロセスを実行するための堆積処理チャンバ100の断面図である。本明細書での使用に適合させることができる非限定的な好適な処理チャンバは、例えば、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手できるHDP-PRODUCER(登録商標)又はC3(登録商標)処理チャンバを含む。他の製造業者から入手可能なものを含む他のプロセスチャンバも、本明細書で提供される教示に関連して好適に使用可能である。
[0023]図1を参照すると、実施形態では、堆積処理チャンバ100は、処理チャンバ本体102と、処理チャンバ本体102に結合された遠隔プラズマ源104とを含む。遠隔プラズマ源104は、ラジカルを生成し得る任意の適切な源であってよい。遠隔プラズマ源104は、高周波(RF)又は超高周波(VHRF)容量結合プラズマ(CCP)源、誘導結合プラズマ(ICP)源、マイクロ波誘導(MW)プラズマ源、電子サイクロトロン共鳴(ECR)チャンバ、又は高密度プラズマ(HDP)チャンバ等の遠隔プラズマ源であってよい。遠隔プラズマ源104は、1又は複数のガス源106を含んでいてよく、遠隔プラズマ源104は、ラジカル導管108によって堆積処理チャンバ100に結合され得る。ラジカル形成ガスであり得る1又は複数のプロセスガスは、1又は複数のガス源106を介して遠隔プラズマ源104に進入し得る。実施形態では、1又は複数のプロセスガスは、塩素含有ガス、フッ素含有ガス、不活性ガス、酸素含有ガス、窒素含有ガス、水素含有ガス、又はそれらの任意の組み合わせを含み得る。遠隔プラズマ源104で生成されたラジカルは、堆積処理チャンバ100に結合するラジカル導管108を介して堆積処理チャンバ100に移動し、堆積処理チャンバ100内に画定された内部処理領域151に到達する。
[0024]ラジカル導管108は、ラジカルキャビティ110、上部プレート114、リッドリム116、及びシャワーヘッド118も含むリッドアセンブリ112の一部である。ラジカル導管108は、ラジカルに対して実質的に非反応性である材料を含み得る。例えば、ラジカル導管108は、窒化アルミニウム(AIN)、二酸化ケイ素(SiO)、酸化イットリウム(Y)、酸化マグネシウム(MgO)、陽極酸化アルミニウム(Al)、サファイア等の酸化鉱物、酸化アルミニウム(Al)の1又は複数を含むセラミック、窒化アルミニウム(AIN)、酸化イットリウム(Y)、酸化マグネシウム(MgO)、又はプラスチックを含み得る。好適な二酸化ケイ素(SiO)材料の代表例としては、石英が挙げられる。ラジカル導管108は、ラジカル導管支持部材120内に配置され、それによって支持され得る。ラジカル導管支持部材120は、リッドリム116に載っている上部プレート114に配置され得る。
[0025]実施形態では、ラジカルキャビティ110は、ラジカル導管108の下に配置され、ラジカル導管108に結合され、遠隔プラズマ源104で生成されたラジカルが、ラジカル導管108を通ってラジカルキャビティ110に移動する。実施形態では、ラジカルキャビティ110は、上部プレート114、リッドリム116、及びシャワーヘッド118を含む。オプションとして、ラジカルキャビティ110は、ライナ122を含み得る。ライナ122は、ラジカルキャビティ110に露出する上部プレート114及びリッドリム116の表面を覆い得る。遠隔プラズマ源104からのラジカルは、シャワーヘッド118に配置された複数のチューブ124を通過して、内部処理領域151に入る。シャワーヘッド118は、複数のチューブ124より直径が小さい複数の開口部126を更に含む。複数の開口部126は、複数のチューブ124と流体連結していない内部領域(図示せず)に接続される。堆積処理チャンバ100の内部処理領域151内に流体混合物を導入するために、1又は複数の流体源119がシャワーヘッド118に結合され得る。流体混合物は、前駆体、ポロゲン、及び/又はキャリア流体を含み得る。流体混合物は、気体及び液体の混合物であり得る。
[0026]堆積処理チャンバ100は、リッドアセンブリ112と、チャンバ本体130と、基板支持アセンブリ132とを含み得る。基板支持アセンブリ132は、少なくとも部分的にチャンバ本体130内に配置され得る。チャンバ本体130は、堆積処理チャンバ100の内部へのアクセスを提供するためのスリットバルブ135を含み得る。チャンバ本体130は、チャンバ本体130の内面を覆うライナ134を含み得る。ライナ134は、1又は複数の開口136と、そこに形成された、真空システム140と流体連結しているポンピングチャネル138とを含み得る。開口136は、堆積処理チャンバ100内の気体の出口を提供するポンピングチャネル138内への気体の流路を提供する。
[0027]真空システム140は、真空ポート142と、バルブ144と、真空ポンプ146とを含み得る。真空ポンプ146は、真空ポート142を介してポンピングチャネル138と流体連結している。開口136は、ポンピングチャネル138が、チャンバ本体130内の内部処理領域151と流体連結することを可能にする。内部処理領域151は、シャワーヘッド118の下面148と基板支持アセンブリ132の上面150とを含み、内部処理領域151はライナ134に囲まれている。
[0028]基板支持アセンブリ132は、チャンバ本体130内で処理するための基板(図示せず)を支持する基板支持部材152を含み得る。基板は、例えば、300mm等の任意の標準的なウエハサイズであり得る。あるいは、基板は、300mmより大きくてよく、例えば、450mm以上であり得る。基板支持部材152は、動作温度に応じて、窒化アルミニウム(AIN)又はアルミニウムを含み得る。基板支持部材152は、基板を基板支持部材152にチャックするように構成され得る。例えば、基板支持部材152。
[0029]基板支持部材152は、チャンバ本体130の底面に形成された中央に位置する開口部158を通って延びるシャフト156を通してリフト機構154に結合され得る。リフト機構154は、シャフト156の周囲からの真空漏れを防止するベローズ160によってチャンバ本体130に柔軟に密閉され得る。リフト機構154は、基板支持部材152を、チャンバ本体130内のプロセス位置と下方の移送位置との間で垂直に移動させることができる。移送位置は、スリットバルブ135の開口部よりやや下方にある。動作中、基板301とシャワーヘッド118との間の間隔は、基板表面におけるラジカルフラックスを最大化するために最小化され得る。例えば、間隔は、約100mmと約5000mmとの間であり得る。リフト機構154は、動作中にシャフト156を回転させ、それによって基板支持部材152を回転させて、基板支持部材152に配置された基板を回転させることができ得る。
[0030]1又は複数の加熱要素162及び冷却チャネル164が基板支持部材152に埋め込まれていてよい。動作中に加熱要素162及び冷却チャネル164を使用して、基板の温度が制御され得る。加熱要素162は、1又は複数の抵抗加熱要素等、任意の適切な加熱要素であり得る。加熱要素162は、1又は複数の電源(図示せず)に接続され得る。加熱要素162は、マルチゾーン加熱又は冷却において独立した加熱及び/又は冷却制御を有するように、個別に制御され得る。マルチゾーン加熱及び冷却における独立した制御を有する能力により、基板温度プロファイルを、いかなる所定のプロセス条件においても向上させることができる。基板を冷却するために冷却チャネル164を通して冷却剤が流され得る。基板支持部材152は、基板の裏側に冷却ガスを流すために、上面150に延びるガス通路を更に含み得る。
[0031]RF源電力143は、RF源電力整合ボックス147を通してシャワーヘッド118に結合され得る。RF源電力143は、低周波、高周波、又は超高周波であってよい。幾つかの実施形態では、RF源電力143は、高密度膜層を堆積させるための高密度プラズマを生成し得る高周波RFジェネレータである。一例では、RF源電力143は、基板支持部材152の上の内部処理領域351で生成される誘導結合プラズマ(ICP)を生成及び制御できる誘導結合RFエネルギー送信デバイスとして機能し得る。誘導結合プラズマ(ICP)を生成する際に、RF源電力マッチングボックス147からの動的なインピーダンスマッチングが提供され得る。
[0032]RF源電力143に加えて、RFバイアス電源145が基板支持部材152に結合され得る。基板支持部材152は、カソードとして構成され、RFバイアス電源145に結合される電極163を含む。RFバイアス電源145は、基板支持部材152に配置された電極163と、チャンバ本体130のシャワーヘッド118又は上部プレート114等の天井等の別の電極との間に結合される。RFバイアス電源145から発生するRFバイアス電力は、チャンバ本体130の内部処理領域151に配置されたガスから形成されるプラズマ放電を励起し持続させる。
[0033]ある動作モードでは、基板301は、堆積処理チャンバ100の基板支持部材152に配置される。プロセスガス及び/又はガス混合物が、ガス源106からシャワーヘッド118を通してチャンバ本体130内に導入される。真空ポンプ146は、堆積副生成物を除去しながら、チャンバ本体130内の圧力を維持する。
[0034]コントローラ170は、堆積処理チャンバ100に結合され、堆積処理チャンバ100の動作を制御する。コントローラ170は、プロセスシーケンスを制御し、ガス源106からのガス流を調節するために利用される中央処理装置(CPU)172、メモリ174、及び支援回路176を含む。中央処理装置(CPU)172は、産業環境で使用され得る任意の形態の汎用コンピュータプロセッサであってよい。ソフトウェアルーチンは、ランダムアクセスメモリ、読み取り専用メモリ、フロッピー、又はハードディスクドライブ、又は他の形態のデジタルストレージ等のメモリ174に記憶され得る。支援回路176は、従来、中央処理装置(CPU)172に結合され、キャッシュ、クロック回路、入力/出力システム、電源等を含み得る。コントローラ170と堆積処理チャンバ100の様々な構成要素との間の双方向通信は、多数の信号ケーブルを通して処理される。
[0035]本開示の方法及び装置は、半導体デバイス用の高アスペクト比のトレンチ等の特徴において誘電体材料を硬化させること、特に誘電体材料を安定化又は変化させることを含む。ここで、本開示の誘電体材料を硬化させるのに適した電子ビーム処理チャンバ200を示す断面図である図2を参照する。実施形態では、電子ビーム処理チャンバ200は、プロセス領域201を画定するチャンバ本体202を有する。一実施形態では、チャンバ本体202は、実質的に円筒形の形状を有し、例えばアルミニウム又はステンレス鋼等の金属材料等、その中に真空圧力環境を維持するのに適した材料から製造され得る。
[0036]実施形態では、天井206は、チャンバ本体202に結合され、プロセス領域201を形成する。一実施形態では、天井206は、チャンバ本体202を製造するために利用される材料等の導電性材料から形成される。天井206は、電極208に結合され、電極208をその上に支持する。一実施形態では、電極208は、電極208がプロセス領域201に隣接して配置されるように、天井206に結合される。一実施形態では、電極208は、シリコン、炭素、シリコン炭素材料、又はシリコン酸化物材料等の高二次電子放出係数を有するプロセス適合性材料から形成される。あるいは、電極208は、酸化アルミニウム(Al)、酸化イットリウム(Y)、又は酸化ジルコニウム(ZrO)等の金属酸化物材料から形成される。電気絶縁材料から形成される誘電体リング209は、チャンバ本体202に結合され、電極208を取り囲む。図示したように、誘電体リング209は、チャンバ本体202と天井206との間に配置され、その上に電極208を支持する。
[0037]プロセス領域201には、ペデスタル210が配置される。ペデスタル210は、その上に基板211を支持し、電極208に平行に配向された基板支持面210aを有する。一実施形態では、ペデスタル210は、リフトサーボ212によって軸方向に移動可能である。動作中、基板支持面210aは、電極208等の上部電極から約1インチと約30インチとの間の距離に維持される。一実施形態では、ペデスタル210は、基板支持面210aを形成する絶縁パック242と、絶縁パック242の内部に配置された電極244と、電極244に接続されたチャック電圧供給部248とを含む。更に、絶縁パック242の下にあるベース層246は、循環供給部245から熱伝達媒体(例えば、液体)を循環させるための内部通路249を有する。一実施形態では、循環供給部245は、ヒートシンクとして機能する。別の実施形態では、循環供給部245は、熱源として機能する。一実施形態では、ペデスタル210の温度は、約-20℃と約1000℃との間に維持される。
[0038]VHF周波数(例えば、160MHz)を有するRF電力ジェネレータ220と、VHF範囲以下又はHF範囲以下(例えば、MF又はLF範囲、例えば、約100kHzと約60MHzとの間、例えば、約2MHz)の周波数を有するRF電力ジェネレータ222等の低周波RF電力ジェネレータは、RF給電導体223を介してインピーダンスマッチ224を通して電極208に結合される。一実施形態では、インピーダンスマッチ224は、RF電力ジェネレータ220及び222の異なる周波数でインピーダンスマッチ、並びに電力ジェネレータを互いに分離するためのフィルタリングを提供するように適合される。RF電力ジェネレータ220、222の出力電力レベルは、コントローラ226によって独立して制御される。以下に詳細に説明するように、RF電力ジェネレータ220、222からの電力は、電極208に結合される。
[0039]一実施形態では、天井206は導電性であり、電極208と電気的に接触している。インピーダンスマッチ224からの電力は、天井206を通って電極208に伝導される。一実施形態では、チャンバ本体202は、接地電位に維持される。一実施形態では、電子ビーム処理チャンバ200内の接地された内面(すなわちチャンバ本体202)は、シリコン、炭素、シリコン炭素材料、又はシリコン酸化物材料等のプロセス適合性材料でコーティングされる。代替の実施形態では、電子ビーム処理チャンバ200の内部の接地された内面は、酸化アルミニウム(Al)、酸化イットリウム(Y)、又は酸化ジルコニウム(ZrO)等の材料でコーティングされる。
[0040]一実施形態では、RF電力ジェネレータ220は、別々に制御される2つのVHF電力ジェネレータ220a及び220bに置き換えられる。VHF電力ジェネレータ220aは、VHF帯の下部(例えば、30MHzから150MHz)の出力周波数を有し、VHF電力ジェネレータ220bは、VHF帯の上部(例えば、150MHzから300MHz)の出力周波数を有する。コントローラ226は、VHF電力ジェネレータ220a及び220bの出力電力レベル間の比率を選択することによって、プラズマイオン密度を管理する。
[0041]2つのVHF電力ジェネレータ220a及び220bを用いると、電極208とペデスタル210との間の距離を選択することによって、プロセス領域201における放射状のプラズマ均一性を制御することができる。幾つかの実施形態では、低いVHF周波数により、プロセス領域201におけるプラズマイオン密度はエッジが高い半径方向分布となり、高いVHF周波数により、プラズマイオン密度は中心が高い半径方向分布となる。このような選択により、2つのVHF電力ジェネレータ220a、220bの電力レベルは、実質的に均一な半径方向のプラズマイオン密度を有するプラズマを生成することが可能である。
[0042]一実施形態では、天井206は、電極208の支持体であり、電極208に面するチャック電極252を含む絶縁層250を含む。DCチャック電圧供給部254は、電極208を天井206に静電的にクランプするために、供給導体255を介してチャック電極252に結合される。DCブロッキングキャパシタ256は、インピーダンスマッチ224の出力部と直列接続される。コントローラ226は、DCチャック電圧供給部254を制御するように機能する。一実施形態では、インピーダンスマッチ224からのRF給電導体223は、電極208に直接接続されるのではなく、電極支持体又は天井206に接続される。上記実施形態では、RF給電導体223からのRF電力は、電極支持体から電極208に容量結合される。
[0043]一実施形態では、天井206の内部に熱伝導性の液体又は媒体を導通するための内部通路278が熱媒体循環供給部280に接続される。熱媒体循環供給部280は、ヒートシンク又は熱源として機能する。電極208と天井206との間の機械的接触は、電極208と天井206との間の高い熱コンダクタンスを維持するのに十分である。図2の実施形態では、機械的接触の力は、DCチャック電圧供給部254によって提供される静電クランプ力によって調節される。
[0044]一実施形態では、上部ガスインジェクタ230は、第1のバルブ232を通してプロセス領域201内にプロセスガスを供給する。一実施形態では、下部ガスインジェクタ234は、第2のバルブ236を通してプロセス領域201内にプロセスガスを供給する。上部ガスインジェクタ230及び下部ガスインジェクタ234は、チャンバ本体202の側壁に配置される。ガスは、第1のバルブ232及び第2のバルブ236を含み得るバルブ240のアレイを通して、供給部238等のプロセスガス供給部のアレイから供給される。一実施形態では、プロセス領域201内に供給されるガス種及びガス流量は、独立して制御可能である。例えば、上部ガスインジェクタ230を通るガス流は、下部ガスインジェクタ234を通るガス流と異なっていてよい。コントローラ226は、バルブ240のアレイを管理する。
[0045]一実施形態では、アルゴン又はヘリウム等の不活性ガスが上部ガスインジェクタ230を通してプロセス領域201内に供給され、プロセスガスが下部ガスインジェクタ234を通してプロセス領域201内に供給される。幾つかの実施形態では、電極208に隣接してプロセス領域201に供給される不活性ガスは、プロセス領域201に形成される反応性プラズマから電極208を守るように機能し、したがって、電極208の耐用年数を増加させることができる。別の実施形態では、プロセスガスは、上部ガスインジェクタ230及び下部ガスインジェクタ234の両方を通してプロセス領域201に供給される。
[0046]幾つかの実施形態では、プラズマは、様々なバルク及び表面プロセス、例えば、容量結合によってプロセス領域201に生成される。一実施形態では、プラズマの生成は、電極208等の上部電子放出電極の内面の高エネルギーイオン衝撃によっても促進される。一実施例では、電極208は、DCチャック電圧供給部254からの電圧の印加等による、実質的に負の電荷でバイアスされる。一実施形態では、電極208に印加されるバイアス電力は、約400kHzから約200MHzの周波数で、約1KWから約10KWである。本発明者らは、容量結合プラズマによって生成されたイオンが電界の影響を受けることで、プラズマから生成されたイオンによる電極208の衝撃が促されると考える。
[0047]電極208のイオン衝撃エネルギーとプラズマ密度は、RF電力ジェネレータ220、222両方の関数である。電極208のイオン衝撃エネルギーは、RF電力ジェネレータ222からの低周波電力によって実質的に制御され、プロセス領域201のプラズマ密度は、RF電力ジェネレータ220からのVHF電力によって実質的に制御(増強)される。幾つかの実施形態では、電極208のイオン衝撃は、電極に二次電子を放出させる。負の電荷を有する高エネルギー二次電子が電極208の内面から放出され、電極208の負バイアスにより電極から離れるように加速される。
[0048]電極208の放出面からの高エネルギー電子のフラックスは電子ビームであると考えられ、電極208の内面に対して実質的に垂直に配向し得る。電子ビームのビームエネルギーは、電極208のイオン衝撃エネルギーにほぼ等しく、典型的には約10eVから20000eVの範囲であり得る。幾つかの実施形態では、本開示による硬化に適したイオン衝撃エネルギーは、約50eVから4000eVである。一実施形態では、プラズマ電位は、電極208の電位よりも大きく、電極208から放出された高エネルギー二次電子は、二次電子がプラズマを通過する際にプラズマのシース電圧により更に加速される。
[0049]電極表面への高エネルギーイオン衝撃により電極208から放出された二次電子フラックスで構成される電子ビームの少なくとも一部は、プロセス領域201を通って伝播し、基板211近傍のプロセスガスと反応する。適切なプロセスガス、例えば、ヘリウム(He)、アルゴン(Ar)、水素(H)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)、三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、トリフルオロメタン(CHF)、塩素(Cl)、臭化水素(HBr)、窒素(N)、酸素(O)、それらの組み合わせの利用により、電子ビームは基板211に硬化反応を誘発する。幾つかの実施形態では、電子ビームは、容量的に生成されたプラズマに加えて、化学反応性のラジカル及びイオンを生成し、これらが基板の表面に吸着して、基板211の表面の化学反応性層を形成する。化学反応性層への電子ビーム衝撃により生成物が発生し、その結果、基板211が硬化する。幾つかの実施形態では、化学反応性ポリマー層は、還元(酸素の低減又は除去)又は窒素の添加等の基板の変質を引き起こす。幾つかの実施形態では、プロセスガスは、基板の所望の変質を引き起こすように予め選択される。例えば、誘電体材料等の基板の窒素含有量を増加させるために、窒素含有プロセスガスが予め選択され得る。
[0050]従って、電子ビームは、化学反応を誘発して、酸素等の基板中の特定の種を遊離させる、又は誘電体材料に窒素等の1又は複数の種を添加して基板211を硬化させる。基板211の硬化は、圧力、ウエハ又は基板温度、電子ビームエネルギー、Eビームプラズマ電力、及び必要に応じてバイアス電力等の他の要因にも影響される。一実施形態では、基板211の電子ビーム硬化中、プロセス領域201は約3.0mTorrから約100mTorrの圧力に維持される。この圧力は、プロセス領域201と流体連結している真空ポンプ268によって生成される。圧力は、プロセス領域201と真空ポンプ268との間に配置されるゲートバルブ266によって調節される。基板211の硬化は、電子ビーム硬化中の基板温度にも影響される。本明細書で使用するのに適した硬化温度は、0から500℃を含む。基板211の硬化はまた、幾つかの実施形態では50から約4000eVのピーク値を含む電子ビームエネルギーによって影響される。幾つかの実施形態では、Eビームプラズマ電力は、約100Wから約5000Wであり得る。幾つかの実施形態では、電子ビーム処理チャンバは、約30Wから約5000Wのバイアス電力を基板に提供するように構成される。
[0051]幾つかの実施形態では、RFバイアス電力ジェネレータ262は、インピーダンスマッチ264を通してペデスタル210の電極244に結合される。更なる実施形態では、波形テーラリングプロセッサ247が、インピーダンスマッチ264の出力部と電極244との間に接続され得る。波形テーラリングプロセッサ247は、RFバイアス電力ジェネレータ262によって生成される波形を所望の波形に変化させる。基板211近傍のプラズマのイオンエネルギーは、波形テーラリングプロセッサ247によって制御される。幾つかの実施形態では、波形テーラリングプロセッサ247は、各RFサイクルの特定部分の間、振幅が所望のイオンエネルギーレベルに対応するレベルに保持される波形を生成する。コントローラ226は、波形テーラリングプロセッサ247を制御する。
[0052]図3は、電子ビーム処理チャンバ200’の他の実施形態を示す概略図である。図2の幾つかの実施形態では、(RF電力ジェネレータ220からの)VHF電力及び(RF電力ジェネレータ222からの)低周波RF電力は、別々の経路を通して電極208に供給される。図3の実施形態では、RF電力ジェネレータ220は、電極208のエッジに重なる折り曲げられた共振器295を介して電極208に結合される。低周波RF電力ジェネレータ222は、RFインピーダンスマッチ294を通してRF給電導体223を介して電極208に結合される。DCチャック電圧供給部254は、天井206の通路を通って延びる給電導体255を通してチャック電極252に結合される。
[0053]図4は、統合システムに組み込まれ統合された図1に示す堆積処理チャンバ100及び/又は図2又は図3に示す電子ビーム処理チャンバ200のうちの1又は複数を含む例示的な統合システム400の概略上面図である。一実施形態では、統合システム400は、カリフォルニア州サンタクララに所在するアプライドマテリアルズ社から市販されているCENTURA(登録商標)統合処理システムであってよい。他の処理システム(他の製造業者からのものを含む)を、本開示から利益を得るように適合させることが可能である。
[0054]幾つかの実施形態では、統合システム400は、処理プラットフォーム404等の真空気密処理プラットフォームと、ファクトリインターフェース402と、システムコントローラ444とを含む。処理プラットフォーム404は、図1に示す堆積処理チャンバ100のうちの1つ等の少なくとも1つの堆積処理チャンバ100と、図2に示す電子ビーム処理チャンバ200のうちの1つ等の少なくとも1つの電子ビーム処理チャンバ200と、複数の処理チャンバ428、420、410と、移送チャンバ436等の真空基板移送チャンバに結合される少なくとも1つのロードロックチャンバ422とを含む。図4に、2つのロードロックチャンバ422を示す。ファクトリインターフェース402は、ロードロックチャンバ422によって移送チャンバ436に結合される。
[0055]一実施形態では、ファクトリインターフェース402は、少なくとも1つのドッキングステーション408と、基板の移送を容易にするための少なくとも1つのファクトリインターフェースロボット414とを備える。ドッキングステーション408は、1又は複数の前方開口型統一ポッド(FOUP)を受け入れるように構成される。図4の実施形態では、2つのFOUP406A~Bを示す。ファクトリインターフェースロボット414の一方の端部に配置されたブレード416を有するファクトリインターフェースロボット414は、処理のためにロードロックチャンバ422を通してファクトリインターフェース402から処理プラットフォーム404に基板を移送するように構成される。オプションとして、1又は複数の処理チャンバ410、420、428、堆積処理チャンバ100、電子ビーム処理チャンバ200は、FOUP406A~Bからの基板の処理を促進するために、ファクトリインターフェース402の端子426に接続され得る。
[0056]ロードロックチャンバ422の各々は、ファクトリインターフェース402に結合された第1のポートと、移送チャンバ436に結合された第2のポートとを有する。ロードロックチャンバ422は、ロードロックチャンバ422をポンプダウンして排気し、移送チャンバ436の真空環境とファクトリインターフェース402の実質的な周囲(例えば、大気)環境との間で基板を通過させることを容易にする圧力制御システム(図示せず)に結合される。
[0057]移送チャンバ436は、その中に配置された真空ロボット430を有する。真空ロボット430は、ロードロックチャンバ422、堆積処理チャンバ100、電子ビーム処理チャンバ200、並びに処理チャンバ410、420、及び428の間で基板401を移送することができるブレード434を有する。
[0058]統合システム400の幾つかの実施形態では、統合システム400は、図1に示す堆積処理チャンバ100、他の処理チャンバ410、420、428、電子ビーム処理チャンバ200、及び/又は電子ビーム処理チャンバ200’を含み得る。幾つかの実施形態では、処理チャンバ410、420、428は、堆積チャンバ、エッチングチャンバ、熱処理チャンバ、又は他の同様の種類の半導体処理チャンバであり得る。
[0059]システムコントローラ444は、処理システム400に結合される。計算機器441を含み得る、又は計算機器441内に含まれ得るシステムコントローラ444は、統合システム400の処理チャンバ410、420、428、堆積処理チャンバ100、電子ビーム処理チャンバ200の直接制御を用いて処理システム400の動作を制御する。あるいは、システムコントローラ444は、処理チャンバ410、420、428、堆積処理チャンバ100、電子ビーム処理チャンバ200及び統合システム400に関連するコンピュータ(又はコントローラ)を制御し得る。工程中、システムコントローラ444は、統合システム400の性能を最適化するために、それぞれのチャンバ、及び堆積処理チャンバ100、及び/又は電子ビーム処理チャンバ200等の処理チャンバからのデータ収集及びフィードバックも可能にする。
[0060]システムコントローラ444は、一般に、中央処理装置(CPU)438、メモリ440、及び支援回路442を含む。CPU438は、産業環境で使用可能な任意の形態の汎用コンピュータプロセッサの1つであってよい。支援回路442は、従来、CPU438に結合され、キャッシュ、クロック回路、入出力サブシステム、電源等を備え得る。ソフトウェアルーチンは、CPU438を特定目的のコンピュータ(コントローラ)444に変換する。また、ソフトウェアルーチンは、統合システム400から遠隔に位置する第2のコントローラ(図示せず)により記憶及び/又は実行され得る。
[0061]幾つかの実施形態では、本開示は、真空基板移送チャンバと、流動性化学気相堆積用に構成され、真空基板移送チャンバに結合された堆積チャンバと、真空基板移送チャンバに結合された硬化チャンバであって、電子ビーム放射源を用いて誘電体材料を硬化させるように構成された硬化チャンバとを含む統合システムに関する。幾つかの実施形態では、統合システムの硬化チャンバは、誘電体材料を0℃から500℃の温度に維持するように構成される。幾つかの実施形態では、硬化チャンバは、誘電体材料を3mTorrから100mTorrの圧力に維持するように構成される。幾つかの実施形態では、硬化チャンバは、誘電体材料に30Wから5000Wの量のバイアス電力を印加するように構成される。幾つかの実施形態では、硬化チャンバは、100Wから約5000Wのプラズマ電力を供給するように構成される。幾つかの実施形態では、硬化チャンバは、ヘリウム(He)、アルゴン(Ar)、水素(H)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)、三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、トリフルオロメタン(CHF)、塩素(Cl)、臭化水素(HBr)、窒素(N)、酸素(O)の1又は複数及びそれらの組み合わせを含むプロセスガスを含む反応から電子ビームを形成するように構成される。幾つかの実施形態では、堆積チャンバは、誘電体材料を0℃から100℃の温度で形成するように構成される。幾つかの実施形態では、堆積チャンバは、誘電体材料を100mTorrから5Torrの圧力で形成するように構成される。幾つかの実施形態では、堆積チャンバは、誘電体材料に30Wから5000Wの量のバイアス電力を印加しながら、誘電体材料を形成するように構成される。
[0062]図5は、本明細書に記載の実施形態に係る集積回路構造を形成する方法500の工程を示すフロー図である。工程510において、図2の電子ビーム処理チャンバ200のプロセス領域201等の電子ビーム処理チャンバのプロセス領域にプロセスガスが供給される。様々なプロセスガス、例えば、ヘリウム(He)、アルゴン(Ar)、水素(H)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)、三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、トリフルオロメタン(CHF)、塩素(Cl)、臭化水素(HBr)、窒素(N)、酸素(O)の1又は複数及びそれらの組み合わせを含むプロセスガスが、供給部238から上部ガスインジェクタ230、234を通してプロセス領域201に供給される。一実施形態では、不活性ガスもプロセス領域201に供給される。幾つかの実施形態では、不活性ガスは、上部ガスインジェクタ230を通して供給される。図2に関しては図示していないが、別の実施形態では、不活性ガスは、電極208等の上部電極を通して供給される。
[0063]工程520において、プロセス領域に配置された電極に、低周波RF電力等のRF電力が供給される。実施形態では、電極は、プロセス領域に配置された高二次電子放出係数材料から形成される。一実施形態では、約2MHz又は約13.56MHzの周波数を有する低周波RF電力が、電極208等の上部電極に印加される。幾つかの実施形態では、RF電力は、パルス方式で電極208等の上部電極に印加される。幾つかの実施形態では、RF電力は、電極208等の上部電極に連続的に印加される。電極208等の上部電極に印加されるRF電力は、工程530において、プロセス領域201のプロセスガスに通電し、プラズマを形成するように機能する。低周波RF電力を利用することにより、高いシース電圧を有するプラズマを生成することが可能となり、その後、電極208等の上部電極から放出される電子を加速しやすくなる。
[0064]幾つかの実施形態では、ペデスタル210は、接地電位に維持される。幾つかの実施形態では、ペデスタル210に低周波RF電力が印加される。幾つかの実施形態では、ペデスタル210に印加されるRF電力は、電極208に印加されるRF電力と並行である。あるいは、ペデスタル210に印加されるRF電力は、電極208にRF電力が実質的に印加されていないときに供給される。RF電力がペデスタル210に印加される幾つかの実施形態では、RF電力は、電子ビームが基板211に到達するのを遅延させないように、プラズマシース電位への悪影響を低減するように制御される。
[0065]幾つかの実施形態では、電極208等の上部電極に印加されるRF電力は、上部電極のRF電力とペデスタル210のRF電力のデューティサイクルが重ならないようにパルス化してペデスタル210に印加されるRF電力と同期させることが可能である。その結果、プロセス領域201内の電気的バイアスは実質的に制限されず、プラズマシースバイアス電位による電子ビームの遅延が実質的に緩和される。
[0066]工程540において、電極にプラズマからのイオンが衝突する。幾つかの実施形態では、プラズマは、主に正の電荷を有し、電極208等の上部電極は、主に負の電荷を有する。プラズマからのイオンは、プロセス領域201で発生する電界の影響を受け、電極208等の上部電極に向かって加速されるイオンは、電極208等の上部電極を加熱する。上部電極は高二次電子放出係数を有する材料から形成されているため、電極208等の上部電極へのイオン衝撃により、電極208等の上部電極から電子が放出される。
[0067]工程550において、電極から放出された電子は、基板に向かって加速される。例えば、電極208等の上部電極から放出された電子は、基板211に向かって加速される。電極208等の上部電極の実質的に負の電荷に起因して、負に帯電した電子は、電極208等の上部電極によって、基板211に向かってはじき出される。幾つかの実施形態では、プラズマシース電圧電位は、更に、基板211に向かって電子を加速させるように機能する。電子がプラズマシース電圧によって加速されると、電子は、プラズマに入る際に約50電子ボルト(eV)から約4000eVのエネルギーを獲得する。電極208等の上部電極から放出された電子は、大面積の二次電子ビームを発生させる。電子ビームは、基板211の直径にわたって実質的に均一であるエネルギー分布を有する。幾つかの実施形態では、電子ビームは、誘電体材料等の基板に接触して、誘電体材料を硬化させる。例えば、基板の誘電体材料等の材料は、電子ビームと接触すると硬化する。
[0068]幾つかの実施形態では、電子ビーム中の電子は、プロセスガスと反応して、基板211の表面に吸着する追加のラジカル及びイオンを更に発生させる。幾つかの実施形態では、吸着した材料は、基板の表面に化学反応性層を形成する。幾つかの実施形態では、電子ビームは、基板211の組成を変化させる。例えば、幾つかの実施形態では、基板211は、空気との接触により酸窒化物層を形成し得る誘電体材料を含む。例えば、水素含有窒化ケイ素は、問題として、酸窒化ケイ素等の酸窒化物層を形成する可能性があり、酸窒化ケイ素は、SiO等のSiO;1≦x≦2;及び1≦y≦2として特徴付けられる。幾つかの実施形態では、x(=O/Si)、及びy(=N/Si)である。実施形態では、酸窒化ケイ素は、SiOとして特徴付けられ、誘電体材料を硬化させる又は電子ビームと接触させると、xが減少しyが増加する。例えば、硬化時に、xとyの比は、本明細書に記載の硬化又は電子ビームとの接触前の酸窒化ケイ素と比較して1よりも大きくなるように変化する。幾つかの実施形態では、yとxの原子比は、本開示によれば、硬化又は電子ビームとの接触時に1より大きくなるように変化する。幾つかの実施形態では、酸窒化ケイ素は、(SiO)として特徴付けられ、誘電体材料を硬化させる、又は電子ビームと接触させることにより、酸素の原子濃度が低くなる、及び/又は窒素の原子濃度が高くなる。幾つかの実施形態では、(SiO;x>y)は、本開示による硬化又は電子ビームとの接触により(SiO;y>x)に変化する。幾つかの実施形態では、硬化中、誘電体材料は、0から500℃、例えば100から400℃、又は200から300℃の温度に維持される。幾つかの実施形態では、硬化中、誘電体材料は、プロセスチャンバで約3から約100mTorr、例えば10mTorrから75mTorrの圧力に維持される。幾つかの実施形態では、硬化中に、誘電体材料は、30から5000Wの量のバイアス電力を受ける。幾つかの実施形態では、本開示による硬化により、酸窒化ケイ素等の酸窒化物の形成が防止される。
[0069]幾つかの実施形態では、誘電体層を所望のように変化させるために、プロセスガスが予め選択される。例えば、プロセスガスは、ヘリウム(He)、アルゴン(Ar)、水素(H)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)、三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、トリフルオロメタン(CHF)、塩素(Cl)、臭化水素(HBr)、窒素(N)、酸素(O)の1又は複数、及びこれらの組合せから選択され得る。実施形態では、誘電体層の窒素含有量を増加させるために、アンモニア(NH)が使用される。実施形態では、誘電体層のシリコン含有量を増加させるために、ジシラン(Si)が使用される。
[0070]実施形態では、基板211は、酸窒化物層等の誘電体材料層又は膜であり、酸素と窒素を含み得る酸窒化ケイ素を含み得る。本発明者らは、酸素の量が、FCVDにより形成された膜等の堆積膜の安定性に悪影響を及ぼすことを見出した。幾つかの実施形態では、酸素と窒素の比が、半導体デバイス内の抵抗に影響を与える可能性がある。例えば、誘電体層中の窒素と比較して少量の酸素は、誘電体層の安定性を促進し、抵抗を低下させる。例えば、硬化時の所望の目標誘電体層材料は、シリコン及び窒素を含み得る、又はこれらを主成分とし得る。
[0071]幾つかの実施形態では、本明細書に記載の硬化プロセスは、インタコネクトデバイスを形成するのに有用であり、基板上に誘電体材料を堆積させることが流動性化学気相堆積(FCVD)プロセスにより実施される場合に有益である。したがって、本開示は、半導体デバイス、特に半導体チップの3次元(3D)積層用の高アスペクト比のトレンチ等の特徴に誘電体材料を堆積させることを含む。一実施形態では、堆積プロセスは、基板が配置された基板支持アセンブリに供給されるパルスモードのRFバイアス電力とともに、遠隔プラズマを使用し得る。幾つかの実施形態では、堆積プロセスは、チャンバ内RF源電力生成なしで実行される。遠隔で生成された遠隔プラズマ及びパルスRFバイアス電力は、基板からトレンチ内に充填される誘電体材料に対して良好な間隙充填能力を提供するために、必要に応じて同時に、交互に又は順次、処理チャンバの内部処理領域へ供給され得る。実施形態では、FCVDを含む堆積プロセスは、図1の基板301等の基板を、図1に示す堆積処理チャンバ100等の堆積プロセスチャンバに移送することによって開始される。基板301は、実質的に平面的な表面、凹凸のある表面、又はその上に形成された構造を有する実質的に平面的な表面を有し得る。図7Aに示す実施形態では、基板301は、界面層702に配置されたパターニングされた材料層704を有する。一実施形態では、パターニングされた材料層704は、その中に形成された開口部708を含む。パターニングされた材料層704は、フロントエンドプロセス又はバックエンドプロセスにおけるゲート構造、コンタクト構造、相互接続構造、又は必要に応じて任意の適切な構造を形成するために利用され得る。一実施形態では、FCVD等の堆積プロセスをパターニングされた材料層704で実行して、そこにコンタクト構造を形成し得る。基板301は、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化ケイ素、歪みシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ及びパターニングされた又はパターニングされていないウエハ、シリコンオンインシュレータ(SOI)、炭素ドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイヤ等の材料であり得る。基板301は、200mm、300mm、450mm又は他の直径のウエハ等の様々な寸法、ならびに、長方形又は正方形のパネルを有し得る。特に断らない限り、本明細書に記載の実施形態及び例は、200mmの直径、300mmの直径、又は450mmの直径を有する基板で実施される。基板301にSOI構造が利用される実施形態では、基板301は、シリコン結晶基板に配置された埋込み型誘電体層を含み得る。本明細書に記載の実施形態では、基板301は、結晶シリコン基板であり得る。
[0072]一実施形態では、界面層702は、誘電体層であってよい。パターニングされた材料層704は、そこに誘電体材料を堆積させるために界面層702の部分710を露出させる開口部708を有する。本明細書に記載の開口部708は、トレンチ、ビア、開口部等を含み得る。一実施形態では、パターニングされた材料層704は、金属含有材料、シリコン含有材料、炭素含有材料、又は他の適切な材料であってよい。金属含有材料の好適な例としては、銅含有材料、アルミニウム含有材料、ニッケル含有材料、タングステン含有材料、又は他の金属含有材料が挙げられる。好適なシリコン含有材料には、シリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、及びそれらの組み合わせが含まれる。好適な炭素含有材料には、炭化ケイ素、アモルファスカーボン等が含まれる。本明細書に記載の例示的な実施形態では、パターニングされた材料層704は、シリコン層である。
[0073]界面層702は、必要に応じて、誘電体酸化物層、又は誘電体窒化物層等の誘電体層であってよい。界面層702は、複数層、複合層、又は単層を含み得る。誘電体層に適した他の材料としては、酸化ケイ素又はTEOS等のドープされていないシリコンガラス(USG)、ホウ素-ケイ酸塩ガラス(BSG)、リン-ケイ酸塩ガラス(PSG)、ホウ素-リン-ケイ酸塩ガラス(BPSG)、窒化ケイ素、アモルファスシリコン及びそれらの組合せが挙げられる。
[0074]一実施形態では、図7Aに示すように、パターニングされた材料層704は、界面層702の部分710を露出させるために所定の深さにパターニングされ得る。材料層704は、任意の適切なパターニングプロセスでエッチング又はパターニングされ得る。パターニングされた材料層704は、処理チャンバに印加される所定のRF電力レベルとともに処理チャンバにガス混合物を供給することによってパターニングされ得る。
[0075]実施形態では、図1の堆積処理チャンバ100等の処理チャンバに堆積ガス混合物を供給して、開口部708に誘電体材料706(図7Bに示す)を形成する堆積プロセスを実行する。堆積処理チャンバ100に供給されるガス混合物は、開口部708に形成され充填される異なる種類の材料に基づいて変更され得る。一例では、形成されるべき誘電体材料706が窒化ケイ素層であることが所望される場合、供給される堆積ガス混合物は、少なくとも1つのシリコン含有ガス、窒素含有ガス、又はオプションの不活性ガスを含む。別の例では、形成されるべき誘電体材料706が酸化ケイ素層であることが所望される場合、供給される堆積ガス混合物は、少なくとも1つのシリコン含有ガス、酸素含有ガス、又はオプションの不活性ガスを含む。別の例では、形成されるべき誘電体材料706が炭化ケイ素層であることが所望される場合、供給される堆積ガス混合物は、少なくとも1つのシリコン含有ガス、炭素含有ガス、又はオプションの不活性ガスを含む。更に別の例では、形成されるべき誘電体材料706が炭化物層であることが所望される場合、供給される堆積ガス混合物は、少なくとも1つの炭素含有ガス、又はオプションの不活性ガスを含む。
[0076]シリコン含有ガスの好適な例としては、シラン(SiH)、テトラエチルオルトケイ酸塩(TEOS)、ジシラン(Si)等が挙げられる。窒素含有ガスの好適な例としては、窒素(N)、アンモニア(NH)、亜酸化窒素(NO)、二酸化窒素(NO)等が挙げられる。酸素含有ガスの好適な例としては、過酸化水素(H)、水(HO)、酸素(O)、オゾン(O)等が挙げられる。炭素含有ガスの好適な例としては、CO、炭化水素ガス(メタン(CH)及びエタン(C)等)等が挙げられる。不活性ガスの好適な例としては、ヘリウム(He)、アルゴン(Ar)等が挙げられる。
[0077]幾つかの例では、窒素(N)、水素(H)等のキャリアガスも、堆積ガス混合物中に必要に応じて供給することができる。
[0078]一例では、堆積ガス混合物は、シリコン含有ガス、窒素又は炭素含有ガス、及びアルゴン(Ar)、又は水素(H)ガスを含む。一具体例では、堆積ガス混合物は、誘電体材料706として窒化ケイ素層を堆積させるためのシラン(SiH)、アルゴン(Ar)又はヘリウム(He)、アンモニア(NH)、水素(H)又は窒素(N)、又はそれらの組み合わせを含む。別の具体例では、堆積ガス混合物は、誘電体材料706として炭化ケイ素層を堆積させるために、SiH、Ar又はHe、CH又はCO、H又はNを含む。更に別の具体例では、堆積ガス混合物は、誘電体材料706として酸化ケイ素層を堆積させるために、SiH、Ar又はHe、O又はNOを含む。
[0079]一実施形態では、シリコン含有ガスは、容量で約30sccmから約500sccmの流量に制御される。酸素、炭素、又は窒素含有ガスは、容量で約50sccmから約2000sccmの流量に制御される。アルゴン(Ar)又はヘリウム(He)ガスは、容量で約250sccmから約2000sccmの流量に制御される。
[0080]一実施形態では、堆積ガス混合物からのガスの一部は、ガス源106から遠隔プラズマ源104を通って内部処理領域151に供給され、ガスの一部は、シャワーヘッド118の周りに横方向に形成された流体源119等の堆積処理チャンバ100の側面を通って、内部処理領域151に供給され得る。幾つかの例では、シリコン含有ガス等の堆積ガス混合物からの第1のガス(例えば、反応性前駆体)が堆積処理チャンバ100の側面(例えば、流体源119)から供給され、堆積ガス混合物からの第2のガス(例えば、キャリアガス、不活性ガス、炭素又は窒素含有ガス又は他のガス)がガス源106から遠隔プラズマ源104を通って内部処理領域151へ供給される。一実施例では、第1のガスは流体源119から処理チャンバに供給されるSiHガスであり、第2のガスはガス源106から遠隔プラズマ源104を通って内部処理領域151に供給されるAr、He、NH、H、N、又はそれらの組み合わせのうちの少なくとも1つである。
[0081]実施形態では、堆積ガス混合物が図1の処理チャンバに供給された後、遠隔プラズマ源104に遠隔プラズマ源電力が印加されて、遠隔プラズマ源104から内部処理領域151に送達されるべき遠隔プラズマが生成される。幾つかの実施形態では、遠隔解離ガス及び/又は他のガスは、高エネルギーであるが比較的低密度のガスラジカルを提供し得るシャワーヘッドに印加される従来のチャンバ内RF源電力と比較して、高密度及び低エネルギーの原子種を提供する。したがって、特定の活性ガス種を有する遠隔プラズマ源を、側面、例えば流体源119から供給されるガスと共に利用することによって、比較的低エネルギーの原子種を有する高密度ガス種が、次に、図7Bに示すように、基板301に誘電体材料706を形成するために内部処理領域151に供給される。幾つかの実施形態では、遠隔プラズマ源からの原子ガス種は、より高度な反応性を有し、側面から、例えば、流体源119から供給される反応ガス前駆体と、より効率的に、ゆっくりと、かつ徹底的に反応し得るので、パターニングされた材料層704に画定された開口部708に誘電体材料706を充填するための良好な間隙充填能力が得られる。
[0082]実施形態では、図1の処理チャンバに導入される各ガスの量は、例えば、開口部708に形成される誘電体材料706の厚さ(例えば、開口部708の高さ又は形状)に応じて変更及び調整され得る。1又は複数の実施形態では、遠隔プラズマ源104から供給されるガスは、ある比率を有し得る。例えば、窒素又は炭素含有ガスとArガスの比は、容量で約0.2:1から約2:1に制御され得る。
[0083]一例では、約1000ワットから約10000ワット、例えば約500ワットから約3000ワットの遠隔RF源電力が、遠隔プラズマ源104に供給され、内部処理領域151に送達されるべき遠隔プラズマを生成する。幾つかの実施形態では、マイクロ波エネルギーは、本明細書における使用に適している。電力が遠隔プラズマ源104へ印加される周波数は、400kHz前後である。周波数は、約50kHzから約2.45GHzの範囲であり得る。基板温度は、約-20℃から約200℃、例えば、約20℃から約90℃に維持される。
[0084]幾つかの堆積の実施形態では、遠隔プラズマ源104から内部処理領域151に遠隔プラズマを供給する間、パルスRFバイアス電力を基板支持部材152に印加して、基板支持部材152に配置された基板301にRFバイアス電力を生成し得る。幾つかの実施形態では、基板支持部材152に生成されたRFバイアス電力は、堆積プロセス中に内部処理領域151のプラズマに方向性を与える助けとして利用される。幾つかの実施形態では、RFバイアス電力は、必要に応じて60MHz及び/又は2MHzで提供され得る。ある特定の実施形態では、RFバイアス電力は、60MHzで、2MHzで、又は400KHzで提供される。
[0085]幾つかの実施形態では、RFバイアス電力は、パルスモードで基板支持部材152に供給され得る。幾つかの実施形態では、パルスモードで供給されるRFバイアス電力は、反応種が比較的穏やかなエネルギーレベルで基板301に向かって加速され得るように、基板支持アセンブリに配置された基板301に比較的穏やかなRF電力レベルを提供し得る。基板支持部材152に供給されるRFバイアス電力は、遠隔プラズマ源104から供給される遠隔プラズマ電力と同時、並行、別々、交互、又は順次であってよい。
[0086]誘電体材料706の形成の際に、誘電体材料706等の誘電体材料を安定させるために、ワークピースを図2又は図3のプロセスチャンバに移動して、硬化又は変質させ得る。ここで、図6を参照すると、図6は、工程610において、誘電体材料を硬化させるのに十分な条件下で誘電体材料を電子ビームに接触させることを含む、誘電体層を硬化させるための方法600の工程を示すフロー図である。幾つかの実施形態では、誘電体材料を硬化させるのに十分な条件は、図5に関して上述したものと同じ条件を含む。例えば、本開示の実施形態により誘電体層を硬化させるために、図2の電子ビーム処理チャンバ200のプロセス領域201等の電子ビーム処理チャンバのプロセス領域にプロセスガスが供給される。様々なプロセスガス、例えば、ヘリウム(He)、アルゴン(Ar)、水素(H)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)、三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、トリフルオロメタン(CHF)、塩素(Cl)、臭化水素(HBr)、窒素(N)、酸素(O)の1又は複数、及びそれらの組み合わせを含むプロセスガスが、供給部238から上部ガスインジェクタ230、234を通してプロセス領域201に供給される。一実施形態では、不活性ガスもプロセス領域201に供給される。幾つかの実施形態では、不活性ガスは、上部ガスインジェクタ230を通して供給される。図2に関しては図示していないが、別の実施形態では、不活性ガスは、電極208等の上部電極を通して供給される。不活性ガスを注入することによって、反応種の逆拡散の確率を低減することができ、プロセス領域に形成されるプラズマの完全性がより良好に維持され得る。
[0087]幾つかの硬化の実施形態では、プロセス領域に配置された電極に低周波RF電力が供給される。実施形態では、電極は、プロセス領域に配置された高二次電子放出係数材料から形成される。一実施形態では、約2MHz又は約13.56MHzの周波数を有するRF電力が、電極208等の上部電極に印加される。一実施形態では、RF電力は、パルス方式で電極208等の上部電極に印加される。低周波RF電力を利用することにより、高いシース電圧を有するプラズマを生成することができ、これにより、電極208等の上部電極から続いて放出される電子の加速が促進される。
[0088]一実施形態では、硬化中、ペデスタル210は、接地電位に維持される。別の実施形態では、低周波RF電力が、ペデスタル210に印加される。幾つかの実施形態では、ペデスタル210に印加されるRF電力は、電極208に印加されるRF電力と並行である。あるいは、ペデスタル210に印加されるRF電力は、電極208にRF電力が実質的に印加されていないときに供給される。RF電力がペデスタル210に印加される実施形態では、RF電力は、電子ビームが基板211に到達するのを遅延させないために、プラズマシース電位への悪影響を低減するように制御される。
[0089]幾つかの実施形態では、電極208等の上部電極に印加されるRF電力は、上部電極のRF電力とペデスタル210のRF電力のデューティサイクルが重ならないようにパルス化することによってペデスタル210に印加されるRF電力と同期させることが可能である。その結果、プロセス領域201内の電気的バイアスは実質的に制限されず、プラズマシースバイアス電位による電子ビームの遅延が実質的に緩和される。
[0090]幾つかの実施形態では、電極にプラズマからのイオンが衝突する。幾つかの実施形態では、プラズマは、主に正の電荷を有し、電極208等の上部電極は、主に負の電荷を有する。プラズマからのイオンは、プロセス領域201で発生する電界の影響を受け、電極208等の上部電極に向かって加速されるイオンは、電極208等の上部電極を加熱する。上部電極は高二次電子放出係数を有する材料から形成されているため、電極208等の上部電極へのイオン衝撃により、電極208等の上部電極から電子が放出される。
[0091]幾つかの実施形態では、電極から放出された電子は、基板に向かって加速される。例えば、電極208等の上部電極から放出された電子は、基板211に向かって加速される。電極208等の上部電極の実質的に負の電荷に起因して、負に帯電した電子は、電極208等の上部電極によって、基板211に向かってはじき出される。幾つかの実施形態では、プラズマシース電圧電位は、更に、基板211に向かって電子を加速させるように機能する。電子がプラズマシース電圧によって加速されると、電子は、プラズマに入る際に約50電子ボルト(eV)から約4,000eVのエネルギーを獲得する。電極208等の上部電極から放出された電子は、大面積の二次電子ビームを発生させる。電子ビームは、基板211の直径にわたって実質的に均一なエネルギー分布を有する。
[0092]幾つかの実施形態では、誘電体材料の温度は、本開示の硬化プロセスを通じて0から500℃である。幾つかの実施形態では、誘電体材料は、例えば3から100mTorr等のプロセスチャンバの圧力に維持される。幾つかの実施形態では、本開示による硬化中、30から5000Wの量のバイアス電力が誘電体材料に印加される。
[0093]幾つかの実施形態では、本開示は、誘電体材料を硬化させるのに十分な条件下で電子ビームと接触させることを含む誘電体層を硬化させる方法を含む。幾つかの実施形態では、誘電体材料は、酸窒化物層である。幾つかの実施形態では、酸窒化物層は、酸窒化ケイ素膜である。幾つかの実施形態では、誘電体材料を硬化させることにより、酸窒化ケイ素膜の酸素含有量を減少させ、酸窒化ケイ素膜の窒素含有量を増加させる。幾つかの実施形態では、硬化時に、窒素と酸素の比は1より大きい。幾つかの実施形態では、誘電体材料の温度は、0から500℃である。幾つかの実施形態では、誘電体材料は、3から100mTorrの圧力を有するプロセスチャンバ内に配置される。幾つかの実施形態では、反応から電子ビームを形成することは、ヘリウム(He)、アルゴン(Ar)、水素(H)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)、三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、トリフルオロメタン(CHF)、塩素(Cl)、臭化水素(HBr)、窒素(N)、酸素(O)の1又は複数、及びそれらの組み合わせを含むプロセスガスを含む。幾つかの実施形態では、硬化は、30から5000Wの量のバイアス電力を誘電体材料に供給することを更に含む。
[0094]幾つかの実施形態では、堆積後電子ビーム硬化は、例えば誘電体材料の膜組成及び安定性を改善する。幾つかの実施形態では、窒素雰囲気での堆積後電子ビーム硬化は、N:Si比を少なくとも2倍増加させ、酸素含有量を少なくとも2倍減少させ、誘電体材料の水分吸収を減少させ又は排除し、バルク膜組成物を変換するのに適している。
[0095]実施形態では、1又は複数のコントローラは、堆積処理チャンバ100、電子ビーム処理チャンバ200単独で又は統合システム400及び支援システムと組み合わせて、直接(図示せず)又は代替的に、処理チャンバ及び/又は支援システムに関連するコンピュータ(又はコントローラ)を介して結合され得る。実施形態では、コントローラは、様々なチャンバ及びサブプロセッサを制御するために産業環境で使用することができる任意の形式の汎用コンピュータプロセッサの1つであってよい。CPUのメモリ、又はコンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、読み取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又はデジタルストレージの任意の他の形態、ローカル又はリモート等の容易に利用できるメモリのうちの1又は複数を含み得る。実施形態では、支援回路は、従来の方法でプロセッサを支援するためにCPUに結合される。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路及びサブシステム等を含む。
[0096]幾つかの実施形態では、本開示は、実行されると、プロセスチャンバのプロセス領域にプロセスガスを供給することと、プロセス領域に配置された高二次電子放出係数材料から形成された電極にRF電力を印加することと、プロセス領域にイオンを含むプラズマを生成することと、電極に電子を放出させ電子ビームを形成するために、電極にイオンを衝突させることと、誘電体材料を硬化させるために、誘電体材料を電子ビームと接触させることとを含む、集積回路構造を形成する方法を実行させる記憶された命令を有する非一過性コンピュータ可読媒体に関する。
[0097]幾つかの実施形態では、本開示は、実行されると、プロセスチャンバのプロセス領域にプロセスガスを供給することと、プロセス領域に配置された高二次電子放出係数材料から形成された電極にRF電力を印加することと、プロセス領域にイオンを含むプラズマを生成することと、電極に電子を放出させ電子ビームを形成するために、電極にイオンを衝突させることと、誘電体材料を硬化させるために、誘電体材料を電子ビームと接触させることとを含む、誘電体材料を硬化させる方法を実行させる記憶された命令を有する非一過性コンピュータ可読媒体に関する。幾つかの実施形態では、誘電体材料は、SiN、又は化学気相堆積の生成物に水素を組み込んだSiN等の流動性化学気相堆積の生成物である。
[0098]幾つかの実施形態では、本開示は、実行されると、誘電体材料を硬化させるのに十分な条件下で誘電体材料を電子ビームと接触させることを含む、誘電体層を硬化させる方法を実行させる命令が記憶された非一過性コンピュータ可読媒体に関する。幾つかの実施形態では、誘電体材料は、SiN、又は化学気相堆積の生成物に水素を組み込んだSiN等の流動性化学気相堆積の生成物である。
[0099]以上は本開示の実施形態を対象としたものであるが、その基本的な範囲から逸脱することなく、本開示の他の及び更なる実施形態を考案することが可能である。

Claims (20)

  1. 集積回路構造を形成する方法であって、
    プロセスチャンバのプロセス領域にプロセスガスを供給することと、
    前記プロセス領域に配置された高二次電子放出係数材料から形成された電極にRF電力を印加することと、
    前記プロセス領域にイオンを含むプラズマを生成することと、
    前記電極に電子を放出させて電子ビームを形成するために、前記電極にイオンを衝突させることと、
    流動性化学気相堆積の生成物である誘電体材料を硬化させるために、前記誘電体材料を前記電子ビームと接触させることと
    を含む方法。
  2. 前記誘電体材料は酸窒化物層である、請求項1に記載の方法。
  3. 前記酸窒化物層は酸窒化ケイ素であり、酸窒化ケイ素はSiOとして特徴づけられ、xは1以上及び2以下の数であり、yは1以上及び2以下の数である、請求項2に記載の方法。
  4. 前記誘電体材料を硬化させることにより、xを減少させ、yを増加させる、請求項3に記載の方法。
  5. 硬化時に、yとxの比が1よりも大きい、請求項4に記載の方法。
  6. 前記誘電体材料の温度は0から500℃である、請求項1から4のいずれか一項に記載の方法。
  7. 前記プロセスチャンバの圧力は3から100mTorrである、請求項1から4のいずれか一項に記載の方法。
  8. 前記プロセスガスは、ヘリウム(He)、アルゴン(Ar)、水素(H)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)、三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、トリフルオロメタン(CHF)、塩素(Cl)、臭化水素(HBr)、窒素(N)又は酸素(O)の1又は複数を含む、請求項1から4のいずれか一項に記載の方法。
  9. 前記誘電体材料に30Wから5000Wの量のバイアス電力を供給することを更に含む、請求項1から4のいずれか一項に記載の方法。
  10. 流動性化学気相堆積を介して基板上に前記誘電体材料を堆積させることを更に含む、請求項1から4のいずれか一項に記載の方法。
  11. 統合システムであって、
    真空基板移送チャンバと、
    流動性化学気相堆積用に構成され、前記真空基板移送チャンバに結合された堆積チャンバと、
    前記真空基板移送チャンバに結合された硬化チャンバであって、電子ビーム放射源を用いて誘電体材料を硬化させるように構成された硬化チャンバと
    を備える、統合システム。
  12. 前記硬化チャンバは、前記誘電体材料を0℃から500℃の温度に維持するように構成される、請求項11に記載の統合システム。
  13. 前記硬化チャンバは、前記誘電体材料を3mTorrから100mTorrの圧力に維持するように構成される、請求項11に記載の統合システム。
  14. 前記硬化チャンバは、前記誘電体材料に30Wから5000Wの量のバイアス電力を印加するように構成される、請求項11に記載の統合システム。
  15. 前記硬化チャンバは、100Wから約5000Wのプラズマ電力を供給するように構成される、請求項11に記載の統合システム。
  16. 前記硬化チャンバは、ヘリウム(He)、アルゴン(Ar)、水素(H)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)、三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、トリフルオロメタン(CHF)、塩素(Cl)、臭化水素(HBr)、窒素(N)、酸素(O)の1又は複数、及びそれらの組み合わせを含むプロセスガスを含む反応から電子ビームを形成するよう構成される、請求項11から15のいずれか一項に記載の統合システム。
  17. 前記堆積チャンバは、0℃から100℃の温度で誘電体材料を形成するように構成される、請求項11から15のいずれか一項に記載の統合システム。
  18. 前記堆積チャンバは、100mTorrから5Torrの圧力で誘電体材料を形成するように構成される、請求項11から15のいずれか一項に記載の統合システム。
  19. 前記堆積チャンバは、誘電体材料に30Wから5000Wの量のバイアス電力を印加しながら前記誘電体材料を形成するように構成される、請求項11から15のいずれか一項に記載の統合システム。
  20. コンピュータ可読媒体であって、実行されると、プロセスチャンバに、請求項1から10のいずれか一項に記載の集積回路構造を形成する方法を実行させるように記憶された命令を有する、コンピュータ可読媒体。
JP2022500016A 2019-07-02 2020-06-19 誘電体材料を硬化させる方法及び装置 Active JP7331236B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962869833P 2019-07-02 2019-07-02
US62/869,833 2019-07-02
PCT/US2020/038718 WO2021003031A1 (en) 2019-07-02 2020-06-19 Methods and apparatus for curing dielectric material

Publications (2)

Publication Number Publication Date
JP2022541735A true JP2022541735A (ja) 2022-09-27
JP7331236B2 JP7331236B2 (ja) 2023-08-22

Family

ID=74101124

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022500016A Active JP7331236B2 (ja) 2019-07-02 2020-06-19 誘電体材料を硬化させる方法及び装置

Country Status (7)

Country Link
US (1) US20220351969A1 (ja)
EP (1) EP3994718A4 (ja)
JP (1) JP7331236B2 (ja)
KR (1) KR20220025057A (ja)
CN (1) CN114080661A (ja)
TW (1) TW202117802A (ja)
WO (1) WO2021003031A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11631589B2 (en) * 2021-05-04 2023-04-18 Applied Materials, Inc. Metal etch in high aspect-ratio features

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008153147A (ja) * 2006-12-20 2008-07-03 Seiko Epson Corp プラズマ処理装置
JP2013533639A (ja) * 2010-07-30 2013-08-22 アプライド マテリアルズ インコーポレイテッド 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
JP2013537706A (ja) * 2010-07-02 2013-10-03 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 誘電体基板のプラズマ浸漬イオン注入用制御装置
JP2014075567A (ja) * 2012-09-13 2014-04-24 Tokyo Electron Ltd 被処理基体を処理する方法、及びプラズマ処理装置
JP2015181143A (ja) * 2014-03-04 2015-10-15 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP2018503259A (ja) * 2015-01-07 2018-02-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質fcvd膜バックグラウンド用の先進的処理フロー
WO2018187429A1 (en) * 2017-04-04 2018-10-11 Applied Materials, Inc. Two-step process for silicon gapfill
WO2018212999A1 (en) * 2017-05-13 2018-11-22 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions
JP2019024080A (ja) * 2017-06-06 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 連続した堆積−エッチング−処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US8211510B1 (en) * 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US9368368B2 (en) 2014-07-21 2016-06-14 Tokyo Electron Limited Method for increasing oxide etch selectivity
US9362107B2 (en) * 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008153147A (ja) * 2006-12-20 2008-07-03 Seiko Epson Corp プラズマ処理装置
JP2013537706A (ja) * 2010-07-02 2013-10-03 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 誘電体基板のプラズマ浸漬イオン注入用制御装置
JP2013533639A (ja) * 2010-07-30 2013-08-22 アプライド マテリアルズ インコーポレイテッド 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
JP2014075567A (ja) * 2012-09-13 2014-04-24 Tokyo Electron Ltd 被処理基体を処理する方法、及びプラズマ処理装置
JP2015181143A (ja) * 2014-03-04 2015-10-15 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP2018503259A (ja) * 2015-01-07 2018-02-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質fcvd膜バックグラウンド用の先進的処理フロー
WO2018187429A1 (en) * 2017-04-04 2018-10-11 Applied Materials, Inc. Two-step process for silicon gapfill
WO2018212999A1 (en) * 2017-05-13 2018-11-22 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions
JP2019024080A (ja) * 2017-06-06 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 連続した堆積−エッチング−処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長

Also Published As

Publication number Publication date
CN114080661A (zh) 2022-02-22
EP3994718A1 (en) 2022-05-11
EP3994718A4 (en) 2023-08-16
WO2021003031A1 (en) 2021-01-07
JP7331236B2 (ja) 2023-08-22
TW202117802A (zh) 2021-05-01
US20220351969A1 (en) 2022-11-03
KR20220025057A (ko) 2022-03-03

Similar Documents

Publication Publication Date Title
TWI708322B (zh) 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法
US6867086B1 (en) Multi-step deposition and etch back gap fill process
KR102316186B1 (ko) 격리 구조를 위한 스케일링된 라이너 층
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
US7951683B1 (en) In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
JP7176106B2 (ja) 誘電体材料の堆積方法
KR20190037341A (ko) 원하는 피쳐를 얻기 위해 에칭 프로세싱 중에 ulk 물질을 손상으로부터 보호하기 위한 제조 방법
US20150079799A1 (en) Method for stabilizing an interface post etch to minimize queue time issues before next processing step
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
TW202119605A (zh) 用於記憶體應用的垂直電晶體製造
JP2023536422A (ja) 窒化ケイ素膜の多層堆積及び処理
JP7331236B2 (ja) 誘電体材料を硬化させる方法及び装置
JPWO2004017396A1 (ja) 半導体基体上の絶縁膜を形成する方法
US10818507B2 (en) Method of etching silicon nitride layers for the manufacture of microelectronic workpieces
TWI837174B (zh) 沉積介電材料之方法
TWI505360B (zh) 用於氟碳化物膜之金屬碳化物阻障層的形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220301

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230307

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230601

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230711

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230809

R150 Certificate of patent or registration of utility model

Ref document number: 7331236

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150