KR20220025057A - 유전체 재료를 경화시키기 위한 방법들 및 장치 - Google Patents

유전체 재료를 경화시키기 위한 방법들 및 장치 Download PDF

Info

Publication number
KR20220025057A
KR20220025057A KR1020227003280A KR20227003280A KR20220025057A KR 20220025057 A KR20220025057 A KR 20220025057A KR 1020227003280 A KR1020227003280 A KR 1020227003280A KR 20227003280 A KR20227003280 A KR 20227003280A KR 20220025057 A KR20220025057 A KR 20220025057A
Authority
KR
South Korea
Prior art keywords
dielectric material
electrode
chamber
curing
electron beam
Prior art date
Application number
KR1020227003280A
Other languages
English (en)
Inventor
바르가브 에스. 씨틀라
조슈아 루브니츠
제트로 타노스
스리니바스 디. 네마니
카르틱 라마스와미
양 양
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220025057A publication Critical patent/KR20220025057A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은, 프로세스 챔버의 프로세스 볼륨에 프로세스 가스를 전달하는 것; 프로세스 볼륨에 배치된 높은 2차 전자 방출 계수 재료로 형성된 전극에 저주파수 RF 전력을 인가하는 것; 프로세스 볼륨 내에서 이온들을 포함하는 플라즈마를 생성하는 것; 전극이 전자들을 방출하고 그리고 전자 빔을 형성하게 하기 위해, 이온들로 전극을 타격하는 것; 및 유전체 재료를 전자 빔과 접촉시켜 유전체 재료를 경화시키는 것을 포함하며, 여기서 유전체 재료는 유동성 화학 기상 증착 생성물인, 집적 회로 구조를 형성하기 위한 방법들 및 장치에 관한 것이다. 실시예들에서, 경화시키는 것은, 유전체 재료의 산소 함량을 감소시키고 질소 함량을 증가시킴으로써 유전체 재료를 안정화시킨다.

Description

유전체 재료를 경화시키기 위한 방법들 및 장치
[0001] 본 개시내용의 실시예들은 일반적으로, 기판을 경화시키고 상호연결 디바이스를 형성하기 위한 방법들 및 장치에 관한 것이다. 더 구체적으로, 본원에서 설명되는 실시예들은 유전체 재료의 전자 빔 반응성 플라즈마 경화를 위한 방법들 및 장치에 관한 것이다.
[0002] 1/2 미크론 이하의(sub-half micron) 그리고 더 작은 피처(feature)들을 신뢰할 수 있게 생성하는 것은 반도체 디바이스들의 차세대 VLSI(very large-scale integration) 및 ULSI(ultra large-scale integration)에 대한 핵심 기술 과제들 중 하나이다. 그러나, 회로 기술이 한계들에 부딪힘에 따라, VLSI 및 ULSI 상호연결(interconnect) 기술의 축소되는 치수들은, 프로세싱 능력들에 추가적인 요구들을 부과하였다. 기판 상의 게이트 구조들의 신뢰할 수 있는 형성은, VLSI 및 ULSI 성공, 및 개별적인 기판들 및 다이의 회로 밀도 및 품질을 증가시키기 위한 계속되는 노력에 중요하다.
[0003] 차세대 디바이스들 및 구조들의 제작을 가능하게 하기 위해, 트랜지스터들의 성능을 개선하기 위하여 반도체 칩들의 3차원(3D) 스택킹(stacking)이 대개 활용된다. 통상적인 2차원 대신에 3차원으로 트랜지스터들을 배열함으로써, 다수의 트랜지스터들은 IC(integrated circuit)들에서 서로에 대해 매우 가깝게 배치될 수 있다. 반도체 칩들의 3차원(3D) 스택킹은 와이어(wire) 길이들을 감소시키고, 와이어링 지연(wiring delay)을 낮게 유지한다. 피처들, 이를테면, 트렌치들의 폭이 계속 축소됨에 따라, 반도체 칩들의 스택킹을 위해 종횡비(aspect ratio)(깊이를 폭으로 나눈 값)가 계속 증가한다. 고종횡비 피처들, 이를테면, 트렌치들의 제조와 관련된 한 가지 과제는 트렌치들에 유전체 재료를 증착하는 동안 공극(void)들의 형성을 피하는 것이다.
[0004] 트렌치를 충전(fill)하기 위해, 실리콘 산화물과 같은 유전체 재료 층이 증착된다. 유전체 층은 전형적으로, 피처, 이를테면, 트렌치의 벽들과 최하부뿐만 아니라 필드를 커버한다. 피처가 넓고 얕다면, 피처를 완전히 충전하는 것은 어렵지 않다. 그러나, 피처 종횡비가 증가함에 따라, 트렌치의 개구가 "핀치 오프(pinch off)"되어 피처 내에 공극 또는 결손(defect)들을 형성할 가능성이 증가된다.
[0005] 피처 내에 공극 또는 결손을 형성할, 이를테면, 트렌치 내에 시임(seam)들을 형성할 가능성을 줄이기 위해, 최소 결손들로 유전체 재료들로 피처를 충전하도록 많은 상이한 프로세스 기법들이 개발되었다. 증착 프로세스 동안 불충분한 프로세스 제어는 불규칙한 구조 프로파일들 또는 피처, 이를테면, 트렌치의 조기 폐쇄를 초래하여, 트렌치를 유전체 재료들로 충전하는 동안 트렌치에 공극들 또는 에어 갭을 초래할 것이다.
[0006] FCVD(flowable chemical vapor deposition)는 공극 또는 결손 형성을 회피하면서 피처, 이를테면, 트렌치를 최하부로부터 위로 충전하기 위한 하나의 방법이다. 그러나, FCVD 방법들로 형성된 유전체 재료는 불안정할 수 있고, 공기와 반응하여, 문제가 되게, 높은 산소 함량, 예컨대 높은 산소 대 질소 비를 갖는 재료 층을 형성하는 반응성 종(reactive species)을 함유할 수 있다. FCVD 방법에 의해 형성된 막들을 어닐링하는 것은, 결함이 있는(deficient) 채로 유지되게 하며, 그로부터 형성된 유전체 막 재료의 전체적인 안정성 및 품질을 개선하지 않아서, 디바이스 저항 증가를 초래할 수 있다.
[0007] 따라서, 본 발명자들은 FCVD 방법들로부터 형성된 재료를 개선하기에 적합한 개선된 경화 장치 및 방법들을 제공하였다.
[0008] 기판을 경화시키고 그리고/또는 상호연결 디바이스를 형성하기 위한 방법들 및 장치가 본원에서 제공된다. 일부 실시예들에서, 집적 회로 구조를 형성하기 위한 방법은: 프로세스 챔버의 프로세스 볼륨에 프로세스 가스를 전달하는 단계; 프로세스 볼륨에 배치된 높은 2차 전자 방출 계수 재료로 형성된 전극에 RF 전력을 인가하는 단계; 프로세스 볼륨 내에서 이온들을 포함하는 플라즈마를 생성하는 단계; 전극이 전자들을 방출하고 그리고 전자 빔을 형성하게 하기 위해, 이온들로 전극을 타격하는 단계; 및 유전체 재료를 전자 빔과 접촉시켜 유전체 재료를 경화시키는 단계를 포함하며, 유전체 재료는 유동성 화학 기상 증착 생성물이다.
[0009] 일부 실시예들에서, 통합 시스템은: 진공 기판 이송 챔버; 진공 기판 이송 챔버에 커플링된 유동성 화학 기상 증착을 위해 구성된 증착 챔버; 및 진공 기판 이송 챔버에 커플링된 경화 챔버를 포함하며, 경화 챔버는 전자 빔 방사 소스를 사용하여 유전체 재료를 경화시키도록 구성된다.
[0010] 일부 실시예들에서, 명령들이 저장된 컴퓨터 판독가능 매체로서, 명령들은, 실행될 때, 프로세스 챔버로 하여금, 집적 회로 구조를 형성하는 방법을 수행하게 하며, 방법은: 프로세스 챔버의 프로세스 볼륨에 프로세스 가스를 전달하는 단계; 프로세스 볼륨에 배치된 높은 2차 전자 방출 계수 재료로 형성된 전극에 RF 전력을 인가하는 단계; 프로세스 볼륨 내에서 이온들을 포함하는 플라즈마를 생성하는 단계; 전극이 전자들을 방출하고 그리고 전자 빔을 형성하게 하기 위해, 이온들로 전극을 타격하는 단계; 및 유전체 재료를 전자 빔과 접촉시켜 유전체 재료를 경화시키는 단계를 포함하며, 유전체 재료는 유동성 화학 기상 증착 생성물이다.
[0011] 본 개시내용의 다른 그리고 추가적인 실시예들이 아래에서 설명된다.
[0012] 위에서 간략히 요약되고 아래에서 더 상세히 논의되는 본 개시내용의 실시예들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0013] 도 1은 본 개시내용의 일부 실시예들에 따른, 증착 프로세스를 수행하기에 적합한 장치를 묘사한다.
[0014] 도 2는 본 개시내용의 일부 실시예들에 따른, 유전체 층을 경화시키기에 적합한 장치를 묘사한다.
[0015] 도 3은 도 2의 장치와는 상이하지만, 본 개시내용의 일부 실시예들에 따른, 유전체 층을 경화시키기에 적합한 장치를 묘사한다.
[0016] 도 4는 본 개시내용의 일부 실시예들에 따른, 도 1의 장치 및 도 2 또는 도 3의 장치를 포함하는 프로세싱 툴의 평면도를 묘사한다.
[0017] 도 5는 본 개시내용의 일부 실시예들에 따른, 유전체 재료를 형성하기 위한 방법을 예시하는 프로세스 흐름도이다.
[0018] 도 6은 본 개시내용의 일부 실시예들에 따른, 유전체 재료를 경화시키기 위한 방법을 예시하는 프로세스 흐름도이다.
[0019] 도 7a - 도 7b는 본 개시내용의 일부 실시예들에 따라 형성된 구조들의 단면도들을 묘사한다.
[0020] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 도면들은 실척대로 그려지지 않으며, 명확성을 위해 단순화될 수 있다. 일 실시예의 엘리먼트들 및 특징들은 추가의 언급없이 다른 실시예들에 유익하게 통합될 수 있다.
[0021] 기판을 경화시키고 그리고/또는 상호연결 디바이스를 형성하기 위한 방법들 및 장치가 본원에서 제공된다. 일부 실시예들에서, 집적 회로 구조를 형성하기 위한 방법은: 유전체 재료를 경화시키기에 적합한 조건들 하에 유전체 재료를 전자 빔과 접촉시키는 단계를 포함한다. 본 개시내용의 방법들 및 장치는 유리하게, 유전체 재료, 이를테면, FCVD 방법들에 의해 형성되거나 증착되는 유전체 재료들을 안정화시키거나 개선한다. 실시예들에서, 본 개시내용의 방법들 및 장치는, 이를테면, 유전체 재료의 구성(make-up)을 변경하기 위해 전자 빔을 사용하여 유전체 재료를 경화시킴으로써, 증착된 유전체 재료의 변형들을 형성한다. 예컨대, 일부 실시예들에서, 유전체 재료의 실리콘 질화물 층은 반응성 수소가 실리콘 질화물 재료에 혼입된 채로 증착될 수 있다. 경화되지 않는 한, 수소는, 문제가 되게, 내부의 공기 및 수분과 반응하여, 실리콘 산질화물(SiOxNy)과 같은, 유전체 재료의 산질화물 층을 형성할 수 있다. 일부 실시예들에서, 본 개시내용에 따른 경화는 유전체 재료의 원자 산소 퍼센티지를 감소시키고 재료의 원자 질소 퍼센티지를 증가시킨다. 예컨대, FCVD에 의해 형성된 유전체 재료가, 내부에 수소가 혼입된 실리콘 질화물인 일부 실시예들에서, 본 개시내용에 따라 유전체 재료를 경화시키는 것은 SiOxNy와 같은 불안정한 재료 형성을 감소시키며, 여기서 x 대 y의 비는 1 초과이다.
[0022] 따라서, 본 개시내용은, 기판으로부터 트렌치를 충전하는 유전체 재료에 대한 양호한 갭-충전 능력을 제공하기 위하여, 반도체 디바이스들, 특히 반도체 칩들의 3차원(3D) 스택킹을 위해, 높은 종횡비들을 갖는 피처, 이를테면, 트렌치에 유전체 재료를 증착하는 것을 포함한다. 도 1은 본 개시내용에 따른, 반도체 애플리케이션들을 위한 유전체 재료를 증착할 수 있는 증착 프로세스를 수행하기 위한 증착 프로세싱 챔버(100)의 단면도이다. 본원에서 사용하기 위해 구성될 수 있는 비-제한적인 적합한 프로세싱 챔버들은, 예컨대, 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 입수가능한 HDP-PRODUCER® 또는 C3® 프로세싱 챔버를 포함한다. 다른 제조사들로부터 입수가능한 것들을 포함하는 다른 프로세스 챔버들이 또한, 본원에서 제공된 교시들과 관련하여 적합하게 사용될 수 있다.
[0023] 도 1을 참조하면, 실시예들에서, 증착 프로세싱 챔버(100)는 프로세싱 챔버 바디(102) 및 프로세싱 챔버 바디(102)에 커플링된 원격 플라즈마 소스(104)를 포함한다. 원격 플라즈마 소스(104)는 라디칼들을 생성할 수 있는 임의의 적합한 소스일 수 있다. 원격 플라즈마 소스(104)는 원격 플라즈마 소스, 이를테면, RF(radio frequency) 또는 VHRF(very high radio frequency) CCP(capacitively coupled plasma) 소스, ICP(inductively coupled plasma) 소스, MW(microwave induced) 플라즈마 소스, ECR(electron cyclotron resonance) 챔버, 또는 HDP(high density plasma) 챔버일 수 있다. 원격 플라즈마 소스(104)는 하나 이상의 가스 소스들(106)을 포함할 수 있고, 원격 플라즈마 소스(104)는 라디칼 도관(108)에 의해 증착 프로세싱 챔버(100)에 커플링될 수 있다. 라디칼-형성 가스들일 수 있는 하나 이상의 프로세스 가스들은 하나 이상의 가스 소스들(106)을 통해 원격 플라즈마 소스(104)에 진입할 수 있다. 실시예들에서, 하나 이상의 프로세스 가스들은 염소-함유 가스, 불소-함유 가스, 불활성 가스, 산소-함유 가스, 질소-함유 가스, 수소 함유 가스, 또는 이들의 임의의 조합을 포함할 수 있다. 원격 플라즈마 소스(104)에서 생성된 라디칼들은 증착 프로세싱 챔버(100)에 커플링된 라디칼 도관(108)을 통해 증착 프로세싱 챔버(100) 내로 이동하여, 증착 프로세싱 챔버(100) 내에 정의된 내부 프로세싱 영역(151)에 도달한다.
[0024] 라디칼 도관(108)은, 라디칼 공동(radical cavity)(110), 최상부 플레이트(114), 덮개 테두리(lid rim)(116) 및 샤워헤드(118)를 또한 포함하는 덮개 조립체(112)의 일부이다. 라디칼 도관(108)은, 실질적으로 라디칼들에 대해 비반응성인 재료를 포함할 수 있다. 예컨대, 라디칼 도관(108)은, 알루미늄 질화물(AlN), 실리콘 이산화물(SiO2), 이트륨 산화물(Y2O3), 마그네슘 산화물(MgO), 양극산화된 알루미늄 산화물(Al2O3), 산화물 광물(oxide mineral), 이를테면, 사파이어, 알루미늄 산화물(Al2O3), 산화물 광물, 이를테면 사파이어, 알루미늄 질화물(AlN), 이트륨 산화물(Y2O3), 마그네슘 산화물(MgO), 또는 플라스틱 중 하나 이상을 함유하는 세라믹들을 포함할 수 있다. 적합한 실리콘 이산화물(SiO2) 재료의 대표적인 예는 석영이다. 라디칼 도관(108)은 라디칼 도관 지지 부재(120) 내에 배치되며 라디칼 도관 지지 부재(120)에 의해 지지될 수 있다. 라디칼 도관 지지 부재(120)는 덮개 테두리(116) 상에 놓이는 최상부 플레이트(114) 상에 배치될 수 있다.
[0025] 실시예들에서, 라디칼 공동(110)은 라디칼 도관(108) 아래에 포지셔닝되어 라디칼 도관(108)에 커플링되고, 원격 플라즈마 소스(104)에서 생성된 라디칼들은 라디칼 도관(108)을 통해 라디칼 공동(110)으로 이동한다. 실시예들에서, 라디칼 공동(110)은 최상부 플레이트(114), 덮개 테두리(116) 및 샤워헤드(118)를 포함한다. 선택적으로, 라디칼 공동(110)은 라이너(liner)(122)를 포함할 수 있다. 라이너(122)는 라디칼 공동(110)에 노출되는 최상부 플레이트(114) 및 덮개 테두리(116)의 표면들을 커버할 수 있다. 원격 플라즈마 소스(104)로부터의 라디칼들은 샤워헤드(118)에 배치된 복수의 튜브들(124)을 통과하여 내부 프로세싱 영역(151) 내로 진입한다. 샤워헤드(118)는 복수의 튜브들(124)보다 직경이 더 작은 복수의 개구들(126)을 더 포함한다. 복수의 개구들(126)은 복수의 튜브들(124)과 유체 연통하지 않는 내부 볼륨(미도시)에 연결된다. 유체 혼합물을 증착 프로세싱 챔버(100)의 내부 프로세싱 영역(151) 내로 유입시키기 위해 하나 이상의 유체 소스들(119)이 샤워헤드(118)에 커플링될 수 있다. 유체 혼합물은 전구체, 포로젠(porogen), 및/또는 캐리어 유체들을 포함할 수 있다. 유체 혼합물은 가스들과 액체들의 혼합물일 수 있다.
[0026] 증착 프로세싱 챔버(100)는 덮개 조립체(112), 챔버 바디(130) 및 기판 지지 조립체(132)를 포함할 수 있다. 기판 지지 조립체(132)는 적어도 부분적으로는 챔버 바디(130) 내에 배치될 수 있다. 챔버 바디(130)는 증착 프로세싱 챔버(100)의 내부로의 접근을 제공하기 위한 슬릿 밸브(135)를 포함할 수 있다. 챔버 바디(130)는 챔버 바디(130)의 내부 표면들을 커버하는 라이너(134)를 포함할 수 있다. 라이너(134)는 라이너(134) 내에 형성된 하나 이상의 애퍼처들(136) 및 펌핑 채널(138)을 포함할 수 있으며, 펌핑 채널(138)은 진공 시스템(140)과 유체 연통한다. 애퍼처들(136)은 펌핑 채널(138) 내로의 가스들에 대한 유동 경로를 제공하며, 이는 증착 프로세싱 챔버(100) 내의 가스들에 대한 출구(egress)를 제공한다.
[0027] 진공 시스템(140)은 진공 포트(142), 밸브(144) 및 진공 펌프(146)를 포함할 수 있다. 진공 펌프(146)는 진공 포트(142)를 통해 펌핑 채널(138)과 유체 연통한다. 애퍼처들(136)은 펌핑 채널(138)이 챔버 바디(130) 내의 내부 프로세싱 영역(151)과 유체 연통할 수 있게 한다. 내부 프로세싱 영역(151)은 샤워헤드(118)의 하부 표면(148) 및 기판 지지 조립체(132)의 상부 표면(150)을 포함하고, 내부 프로세싱 영역(151)은 라이너(134)로 둘러싸인다.
[0028] 기판 지지 조립체(132)는 챔버 바디(130) 내에서 프로세싱하기 위해 기판(미도시)을 지지하기 위한 기판 지지 부재(152)를 포함할 수 있다. 기판은 임의의 표준 웨이퍼 크기, 이를테면, 예컨대, 300 ㎜일 수 있다. 대안적으로, 기판은 300 ㎜ 초과, 이를테면, 450 ㎜ 이상일 수 있다. 기판 지지 부재(152)는 동작 온도에 따라 알루미늄 질화물(AlN) 또는 알루미늄을 포함할 수 있다. 기판 지지 부재(152)는 기판을 기판 지지 부재(152)에 척킹하도록 구성될 수 있다. 예컨대, 기판 지지 부재(152).
[0029] 기판 지지 부재(152)는 챔버 바디(130)의 최하부 표면에 형성된 중앙에-위치된 개구(158)를 통해 연장되는 샤프트(156)를 통해 리프트 메커니즘(154)에 커플링될 수 있다. 리프트 메커니즘(154)은 샤프트(156) 주위로부터의 진공 누설을 방지하는 벨로우즈(bellows)(160)에 의해 챔버 바디(130)에 유연하게 밀봉될 수 있다. 리프트 메커니즘(154)은 기판 지지 부재(152)가 챔버 바디(130) 내에서 프로세스 포지션과 하부 이송 포지션 사이에서 수직으로 이동될 수 있게 한다. 이송 포지션은 슬릿 밸브(135)의 개구 약간 아래에 있다. 동작 동안, 기판 표면에서 라디칼 플럭스를 최대화하기 위해 기판(301)과 샤워헤드(118) 사이의 간격이 최소화될 수 있다. 예컨대, 간격은 약 100 ㎜ 내지 약 5,000 ㎜일 수 있다. 리프트 메커니즘(154)은 샤프트(156)를 회전시키는 것이 가능할 수 있으며, 이는 결국 기판 지지 부재(152)를 회전시켜, 기판 지지 부재(152) 상에 배치된 기판이 동작 동안 회전되게 한다.
[0030] 하나 이상의 가열 엘리먼트들(162) 및 냉각 채널(164)이 기판 지지 부재(152)에 임베딩될 수 있다. 가열 엘리먼트들(162) 및 냉각 채널(164)은 동작 동안 기판의 온도를 제어하는 데 사용될 수 있다. 가열 엘리먼트들(162)은 임의의 적합한 가열 엘리먼트들, 이를테면, 하나 이상의 저항성 가열 엘리먼트들일 수 있다. 가열 엘리먼트들(162)은 하나 이상의 전력 소스들(미도시)에 연결될 수 있다. 가열 엘리먼트들(162)은 다중-구역 가열 또는 냉각에 대해 독립적인 가열 및/또는 냉각 제어를 하도록 개별적으로 제어될 수 있다. 다중-구역 가열 및 냉각에 대해 독립적인 제어를 하는 능력을 통해, 임의의 주어진 프로세스 조건들에서 기판 온도 프로파일이 향상될 수 있다. 냉각제(coolant)가 냉각 채널(164)을 통해 유동하여 기판을 냉각시킬 수 있다. 기판 지지 부재(152)는 기판의 후면으로 냉각 가스를 유동시키기 위해 상부 표면(150)으로 연장되는 가스 통로들을 더 포함할 수 있다.
[0031] RF 소스 전력(143)이 RF 소스 전력 정합 박스(147)를 통해 샤워헤드(118)에 커플링될 수 있다. RF 소스 전력(143)은 저주파, 고주파, 또는 초고주파일 수 있다. 일부 실시예들에서, RF 소스 전력(143)은 고밀도 막 층들을 증착하기 위해 고밀도 플라즈마를 생성할 수 있는 고주파수 RF 생성기이다. 일 예에서, RF 소스 전력(143)은 기판 지지 부재(152) 위의 내부 프로세싱 영역(351)에서 생성되는 ICP(inductive coupled plasma)를 생성 및 제어할 수 있는 유도 결합 RF 에너지 송신 디바이스의 역할을 할 수 있다. ICP(inductive coupled plasma)를 생성할 때, RF 소스 전력 정합 박스(147)로부터의 동적 임피던스 정합이 제공될 수 있다.
[0032] RF 소스 전력(143)에 추가하여, RF 바이어스 전력 소스(145)가 기판 지지 부재(152)에 커플링될 수 있다. 기판 지지 부재(152)는 캐소드로서 구성되며, RF 바이어스 전력 소스(145)에 커플링된 전극(163)을 포함한다. RF 바이어스 전력 소스(145)는 기판 지지 부재(152)에 배치된 전극(163)과 다른 전극, 이를테면, 샤워헤드(118) 또는 천장(ceiling), 이를테면, 챔버 바디(130)의 최상부 플레이트(114) 사이에 커플링된다. RF 바이어스 전력 소스(145)로부터 생성된 RF 바이어스 전력은 챔버 바디(130)의 내부 프로세싱 영역(151)에 배치된 가스들로부터 형성된 플라즈마 방전을 여기시키고 유지한다.
[0033] 일 동작 모드에서, 기판(301)은 증착 프로세싱 챔버(100)에서 기판 지지 부재(152) 상에 배치된다. 프로세스 가스 및/또는 가스 혼합물이 가스 소스들(106)로부터 샤워헤드(118)를 통해 챔버 바디(130) 내로 유입된다. 진공 펌프(146)는 증착 부산물들을 제거하면서 챔버 바디(130) 내부의 압력을 유지한다.
[0034] 제어기(170)가 증착 프로세싱 챔버(100)의 동작을 제어하기 위해 증착 프로세싱 챔버(100)에 커플링된다. 제어기(170)는, 프로세스 시퀀스를 제어하고 가스 소스들(106)로부터의 가스 유동들을 조절하는 데 활용되는, CPU(central processing unit)(172), 메모리(174), 및 지원 회로(176)를 포함한다. CPU(central processing unit)(172)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은 메모리(174), 이를테면, 랜덤 액세스 메모리, 판독 전용 메모리, 플로피, 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로(176)는 통상적으로 CPU(central processing unit)(172)에 커플링되며, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(170)와 증착 프로세싱 챔버(100)의 다양한 컴포넌트들 사이의 양방향 통신들은 많은 신호 케이블들을 통해 핸들링된다.
[0035] 본 개시내용의 방법들 및 장치는 반도체 디바이스들을 위해, 특히 유전체 재료를 안정화시키거나 변경하기 위해, 고종횡비들을 갖는 트렌치와 같은 피처의 유전체 재료를 경화시키는 것을 포함한다. 이제 도 2를 참조하면, 본 개시내용의 유전체 재료를 경화시키기에 적합한 전자 빔 프로세싱 챔버(200)의 단면도가 도시된다. 실시예들에서, 전자 빔 프로세싱 챔버(200)는 프로세스 볼륨(201)을 정의하는 챔버 바디(202)를 갖는다. 일 실시예에서, 챔버 바디(202)는 실질적으로 원통형 형상을 가지며, 내부에 진공 압력 환경을 유지하기에 적합한 재료, 이를테면, 금속성 재료들, 예컨대 알루미늄 또는 스테인리스 강으로 제작될 수 있다.
[0036] 실시예들에서, 천장(206)이 챔버 바디(202)에 커플링되고, 프로세스 볼륨(201)을 형성한다. 일 실시예에서, 천장(206)은 전기 전도성 재료, 이를테면, 챔버 바디(202)를 제작하는 데 활용되는 재료로 형성된다. 천장(206)은 천장(206) 상의 전극(208)에 커플링되고 천장(206) 상에 전극(208)을 지지한다. 일 실시예에서, 전극(208)은, 전극(208)이 프로세스 볼륨(201) 근처에 배치되도록 천장(206)에 커플링된다. 일 실시예에서, 전극(208)은, 높은 2차 전자 방출 계수를 갖는 프로세스-호환가능 재료, 이를테면, 실리콘, 탄소, 실리콘 탄소 재료들, 또는 실리콘-산화물 재료들로 형성된다. 대안적으로, 전극(208)은 금속 산화물 재료, 이를테면, 알루미늄 산화물(Al2O3), 이트륨 산화물(Y2O3), 또는 지르코늄 산화물(ZrO2)로 형성된다. 전기 절연 재료로 형성된 유전체 링(209)이 챔버 바디(202)에 커플링되고 전극(208)을 둘러싼다. 예시된 바와 같이, 유전체 링(209)은 챔버 바디(202)와 천장(206) 사이에 배치되고, 천장(206) 상의 전극(208)을 지지한다.
[0037] 페디스털(210)이 프로세스 볼륨(201)에 배치된다. 페디스털(210)은 상부에 기판(211)을 지지하고, 전극(208)에 평행하게 배향된 기판 지지 표면(210a)을 갖는다. 일 실시예에서, 페디스털(210)은 리프트 서보(lift servo)(212)에 의해 축 방향으로 이동가능하다. 동작 동안, 기판 지지 표면(210a)은 최상부 전극, 이를테면, 전극(208)으로부터 약 1 인치 내지 약 30 인치의 거리에 유지된다. 일 실시예에서, 페디스털(210)은 기판 지지 표면(210a)을 형성하는 절연 퍽(insulating puck)(242), 절연 퍽(242) 내부에 배치된 전극(244), 및 전극(244)에 연결된 척킹 전압 공급부(248)를 포함한다. 추가적으로, 절연 퍽(242) 아래에 있는 베이스 층(246)은 순환 공급부(245)로부터 열 전달 매체(예컨대, 액체)를 순환시키기 위한 내부 통로들(249)을 갖는다. 일 실시예에서, 순환 공급부(245)는 히트 싱크(heat sink)로서 기능한다. 다른 실시예에서, 순환 공급부(245)는 히트 소스로서 기능한다. 일 실시예에서, 페디스털(210)의 온도는 약 -20℃ 내지 약 1000℃로 유지된다.
[0038] VHF 주파수(예컨대, 160 MHz)를 갖는 RF 전력 생성기(220), 및 VHF 범위 미만 또는 HF 범위 미만(예컨대, MF 또는 LF 범위, 예컨대, 약 100 kHz 내지 약 60 MHz, 이를테면 약 2 MHz)의 주파수를 갖는 RF 전력 생성기(222)와 같은 하위 주파수 RF 전력 생성기가 임피던스 정합부(224)를 통해 RF 피드 전도체(feed conductor)(223)를 거쳐 전극(208)에 커플링된다. 일 실시예에서, 임피던스 정합부(224)는, RF 전력 생성기들(220 및 222)의 상이한 주파수들에서 임피던스 정합을 제공할 뿐만 아니라 전력 생성기들을 서로 격리시키기 위한 필터링을 제공하도록 구성된다. RF 전력 생성기들(220, 222)의 출력 전력 레벨들은 제어기(226)에 의해 독립적으로 제어된다. 아래에서 상세히 설명될 바와 같이, RF 전력 생성기들(220, 222)로부터의 전력이 전극(208)에 커플링된다.
[0039] 일 실시예에서, 천장(206)은 전기 전도성이고 전극(208)과 전기 접촉한다. 임피던스 정합부(224)로부터의 전력은 천장(206)을 통해 전극(208)으로 전도된다. 일 실시예에서, 챔버 바디(202)는 접지 전위로 유지된다. 일 실시예에서, 전자 빔 프로세싱 챔버(200) 내부의 접지된 내부 표면들(즉, 챔버 바디(202))은 프로세스 호환가능 재료, 이를테면, 실리콘, 탄소, 실리콘 탄소 재료들, 또는 실리콘-산화물 재료들로 코팅된다. 대안적인 실시예에서, 전자 빔 프로세싱 챔버(200) 내부의 접지된 내부 표면들은 알루미늄 산화물(Al2O3), 이트륨 산화물(Y2O3), 또는 지르코늄 산화물(ZrO2)과 같은 재료로 코팅된다.
[0040] 일 실시예에서, RF 전력 생성기(220)는 별개로 제어되는 2개의 VHF 전력 생성기들(220a 및 220b)로 대체된다. VHF 전력 생성기(220a)는 VHF 대역의 하위 부분(예컨대, 30 MHz 내지 150 MHz)의 출력 주파수를 갖는 한편, VHF 전력 생성기(220b)는 VHF 대역의 상위 부분(예컨대, 150 MHz 내지 300 MHz)의 출력 주파수를 갖는다. 제어기(226)는 VHF 전력 생성기들(220a 및 220b)의 출력 전력 레벨들 사이의 비를 선택함으로써 플라즈마 이온 밀도를 관리한다.
[0041] 2개의 VHF 전력 생성기들(220a 및 220b)을 이용 시에, 전극(208)과 페디스털(210) 사이의 거리를 선택함으로써, 프로세스 볼륨(201) 내의 방사상 플라즈마 균일성이 제어될 수 있다. 일부 실시예들에서, 하위 VHF 주파수는 프로세스 볼륨(201)에서 플라즈마 이온 밀도의 에지-고 방사상 분포(edge-high radial distribution)를 생성하고, 상위 VHF 주파수는 플라즈마 이온 밀도의 중심-고 방사상 분포(center-high radial distribution)를 생성한다. 그러한 선택으로, 2개의 VHF 전력 생성기들(220a, 220b)의 전력 레벨들은, 실질적으로 균일한 방사상 플라즈마 이온 밀도를 갖는 플라즈마를 생성할 수 있다.
[0042] 일 실시예에서, 천장(206)은 전극(208)을 위한 지지부이고, 전극(208)을 향하는 척킹 전극(252)을 포함하는 절연 층(250)을 포함한다. 전극(208)을 천장(206)에 정전기적으로 클램핑하기 위해, DC 척킹 전압 공급부(254)가 피드 전도체(255)를 통해 척킹 전극(252)에 커플링된다. DC 차단 커패시터(256)가 임피던스 정합부(224)의 출력과 직렬로 연결된다. 제어기(226)는 DC 척킹 전압 공급부(254)를 제어하도록 기능한다. 일 실시예에서, 임피던스 정합부(224)로부터의 RF 피드 전도체(223)는 전극(208)에 직접 연결되기보다는 전극 지지부 또는 천장(206)에 연결된다. 그러한 실시예에서, RF 피드 전도체(223)로부터의 RF 전력은 전극 지지부로부터 전극(208)에 용량성 결합된다.
[0043] 일 실시예에서, 천장(206) 내부에 열 전도성 액체 또는 매체를 전도하기 위한 내부 통로들(278)이 열 매체 순환 공급부(280)에 연결된다. 열 매체 순환 공급부(280)는 히트 싱크 또는 히트 소스로서 작용한다. 전극(208)과 천장(206) 사이의 기계적 접촉은 전극(208)과 천장(206) 사이에 높은 열 전도도를 유지하기에 충분하다. 도 2의 실시예에서, 기계적 접촉력은 DC 척킹 전압 공급부(254)에 의해 제공되는 정전 클램핑력에 의해 조절된다.
[0044] 일 실시예에서, 상부 가스 주입기들(230)은 제1 밸브(232)를 통해 프로세스 볼륨(201) 내로 프로세스 가스를 제공한다. 일 실시예에서, 하부 가스 주입기들(234)은 제2 밸브(236)를 통해 프로세스 볼륨(201) 내로 프로세스 가스를 제공한다. 상부 가스 주입기들(230) 및 하부 가스 주입기들(234)은 챔버 바디(202)의 측벽들에 배치된다. 가스는 공급부들(238)과 같은 프로세스 가스 공급부들의 어레이로부터, 제1 밸브(232) 및 제2 밸브들(236)을 포함할 수 있는 밸브들(240)의 어레이를 통해 공급된다. 일 실시예에서, 프로세스 볼륨(201) 내로 전달되는 가스 종들 및 가스 유량들은 독립적으로 제어가능하다. 예컨대, 상부 가스 주입기들(230)을 통한 가스 유동은 하부 가스 주입기들(234)을 통한 가스 유동과 상이할 수 있다. 제어기(226)는 밸브들(240)의 어레이를 관리한다.
[0045] 일 실시예에서, 불활성 가스, 이를테면, 아르곤 또는 헬륨이 상부 가스 주입기들(230)을 통해 프로세스 볼륨(201) 내로 공급되고, 프로세스 가스가 하부 가스 주입기들(234)을 통해 프로세스 볼륨(201) 내로 공급된다. 일부 실시예들에서, 전극(208) 근처의 프로세스 볼륨(201)에 전달되는 불활성 가스는 프로세스 볼륨(201)에 형성된 반응성 플라즈마로부터 전극(208)을 버퍼링(buffer)하도록 기능하여서, 전극(208)의 유효 수명을 증가시킨다. 다른 실시예에서, 프로세스 가스는 상부 가스 주입기들(230) 및 하부 가스 주입기들(234) 둘 모두를 통해 프로세스 볼륨(201)에 공급된다.
[0046] 일부 실시예들에서, 플라즈마는 다양한 벌크 및 표면 프로세스들에 의해, 예컨대 용량성 결합에 의해 프로세스 볼륨(201)에서 생성된다. 일 실시예에서, 플라즈마 생성은 또한, 전극(208)과 같은 최상부 전자-방출 전극의 내부 표면의 에너제틱 이온 타격(energetic ion bombardment)에 의해 가능하게 된다. 일 예에서, 전극(208)은, 이를테면, DC 척킹 전압 공급부(254)로부터의 전압의 인가에 의해, 실질적으로 음의 전하로 바이어싱된다. 일 실시예에서, 전극(208)에 인가되는 바이어스 전력은 약 400 kHz 내지 약 200 MHz의 주파수에서 약 1 KW 내지 약 10 KW이다. 본 발명자들은, 용량성 결합 플라즈마에 의해 생성된 이온들이 플라즈마로부터 생성된 이온들에 의한 전극(208)의 타격을 조장(encourage)하는 전기장에 의해 영향을 받는다고 여긴다.
[0047] 전극(208)의 이온 타격 에너지 및 플라즈마 밀도는 RF 전력 생성기들(220 및 222) 둘 모두의 함수들이다. 전극(208)의 이온 타격 에너지는 RF 전력 생성기(222)로부터의 하위 주파수 전력에 의해 실질적으로 제어되고, 프로세스 볼륨(201) 내의 플라즈마 밀도는 RF 전력 생성기(220)로부터의 VHF 전력에 의해 실질적으로 제어(강화)된다. 일부 실시예들에서, 전극(208)의 이온 타격은 전극이 2차 전자들을 방출하게 한다. 음의 전하를 갖는 에너제틱 2차 전자들은 전극(208)의 내부 표면으로부터 방출되고 전극(208)의 음의 바이어스로 인해 전극으로부터 멀어지게 가속된다.
[0048] 전극(208)의 방출 표면으로부터의 에너제틱 전자들의 플럭스는 전자 빔인 것으로 여겨지며, 전극(208)의 내부 표면에 실질적으로 수직으로 배향될 수 있다. 전자 빔의 빔 에너지는 전극(208)의 이온 타격 에너지와 거의 동일하며, 이는 전형적으로 약 10 eV 내지 20,000 eV의 범위일 수 있다. 일부 실시예들에서, 본 개시내용에 따른 경화에 적합한 이온 타격 에너지는 약 50 eV 내지 4,000 eV이다. 일 실시예에서, 플라즈마 전위는 전극(208)의 전위보다 더 크고, 전극(208)으로부터 방출된 에너제틱 2차 전자들은 2차 전자들이 플라즈마를 통과할 때 플라즈마의 시스 전압에 의해 추가로 가속된다.
[0049] 전극 표면의 에너제틱 이온 타격으로 인해 전극(208)으로부터 방출된 2차 전자 플럭스로 구성된 전자 빔의 적어도 일부는 프로세스 볼륨(201)을 통해 전파되고 기판(211) 근처에서 프로세스 가스들과 반응한다. 적합한 프로세스 가스들, 이를테면, 헬륨(He), 아르곤(Ar), 수소(H2), 암모니아(NH3), 디실란(Si2H6), 메탄(CH4), 아세틸렌(C2H2), 질소 트리플루오라이드(NF3), 테트라플루오로메탄(CF4), 황 헥사플루오라이드(SF6), 일산화탄소(CO), 트리플루오로메탄(CHF3), 염소(Cl2), 브롬화수소(HBr), 질소(N2), 산소(O2), 이들의 조합들 등을 활용하여, 전자 빔은 기판(211) 상에서 경화 반응들을 유도한다. 일부 실시예들에서, 전자 빔들은, 용량성으로 생성된 플라즈마에 추가하여, 기판의 표면에 흡착되어 기판(211)의 표면의 화학적 반응성 층을 형성하는 화학적 반응성 라디칼들 및 이온들을 생성한다. 화학적 반응성 층의 전자 빔 타격은 기판(211)의 경화를 유발하는 생성물들의 생성을 야기한다. 일부 실시예들에서, 화학적 반응성 폴리머 층은 환원(산소 환원 또는 제거) 또는 질소의 첨가와 같은 기판의 변경을 야기한다. 일부 실시예들에서, 프로세스 가스들은 기판의 원하는 변경을 야기하도록 미리 선택된다. 예컨대, 유전체 재료와 같은 기판의 질소 함량을 증가시키기 위해 질소 함유 프로세스 가스가 미리 선택될 수 있다.
[0050] 따라서, 전자 빔은, 기판 내의 특정 종, 이를테면, 산소를 유리(liberate)시키거나 또는 기판에 하나 이상의 종을 첨가하고, 이를테면, 유전체 재료에 질소를 첨가하고 기판(211)을 경화시키기 위한 화학 반응들을 유도한다. 기판(211)의 경화는 또한, 다른 팩터들, 이를테면, 압력, 웨이퍼 또는 기판 온도, 전자 빔 에너지, e-빔 플라즈마 전력, 및 바이어스 전력(필요한 경우)에 의해 영향을 받는다. 일 실시예에서, 기판(211)의 전자 빔 경화 동안 프로세스 볼륨(201)에서 유지되는 압력은 약 3.0 mTorr 내지 약 100 mTorr이다. 압력은 프로세스 볼륨(201)과 유체 연통하는 진공 펌프(268)에 의해 생성된다. 압력은 프로세스 볼륨(201)과 진공 펌프(268) 사이에 배치된 게이트 밸브(266)에 의해 조절된다. 기판(211)의 경화는 또한, 전자 빔 경화 동안 기판 온도에 의해 영향을 받는다. 본원에서 사용하기에 적합한 경화 온도들은 0℃ 내지 500℃를 포함한다. 기판(211)의 경화는 또한, 전자 빔 에너지에 의해 영향을 받으며, 일부 실시예들에서, 전자 빔 에너지는 피크 값 50 eV 내지 약 4000 eV를 포함한다. 일부 실시예들에서, e-빔 플라즈마 전력은 약 100 W 내지 약 5000 W일 수 있다. 일부 실시예들에서, 전자 빔 프로세싱 챔버는 약 30 W 내지 약 5000 W의 바이어스 전력을 기판에 제공하도록 구성된다.
[0051] 일부 실시예들에서, RF 바이어스 전력 생성기(262)는 임피던스 정합부(264)를 통해 페디스털(210)의 전극(244)에 커플링된다. 추가의 실시예에서, 파형 맞춤 프로세서(waveform tailoring processor)(247)가 임피던스 정합부(264)의 출력과 전극(244) 사이에 연결될 수 있다. 파형 맞춤 프로세서(247)는 RF 바이어스 전력 생성기(262)에 의해 생성된 파형을 원하는 파형으로 변경한다. 기판(211) 근처의 플라즈마의 이온 에너지는 파형 맞춤 프로세서(247)에 의해 제어된다. 일부 실시예들에서, 파형 맞춤 프로세서(247)는, 진폭이 각각의 RF 사이클의 특정 부분 동안 원하는 이온 에너지 레벨에 대응하는 레벨로 유지되는 파형을 생성한다. 제어기(226)는 파형 맞춤 프로세서(247)를 제어한다.
[0052] 도 3은 전자 빔 프로세싱 챔버(200')의 다른 실시예를 개략적으로 예시한다. 도 2의 일부 실시예들에서, (RF 전력 생성기(220)로부터의) VHF 전력 및 (RF 전력 생성기(222)로부터의) 하위 주파수 RF 전력은 별개의 경로들을 통해 전극(208)에 전달된다. 도 3의 실시예에서, RF 전력 생성기(220)는 전극(208)의 에지 위에 놓인 폴딩된 공진기(folded resonator)(295)를 통해 전극(208)에 커플링된다. 하위 주파수 RF 전력 생성기(222)는 RF 임피던스 정합부(294)를 통해 RF 피드 전도체(223)를 거쳐 전극(208)에 커플링된다. DC 척킹 전압 공급부(254)는 천장(206) 내의 통로를 통해 연장되는 피드 전도체(255)를 통해 척킹 전극(252)에 커플링된다.
[0053] 도 4는 예시적인 통합 시스템(400)의 개략적인 상부 평면도이며, 예시적인 통합 시스템(400)은 예시적인 통합 시스템(400)에 포함되고 통합된, 도 1에 예시된 증착 프로세싱 챔버들(100) 및/또는 도 2 또는 도 3에 예시된 전자 빔 프로세싱 챔버(200) 중 하나 이상을 포함한다. 일 실시예에서, 통합 시스템(400)은 캘리포니아, 산타클라라에 소재하는 Applied Materials, Inc.로부터 상업적으로 입수가능한 CENTURA® 통합 프로세싱 시스템일 수 있다. 다른 프로세싱 시스템들(다른 제조사들로부터의 프로세싱 시스템들을 포함함)은 본 개시내용으로부터 이익을 얻도록 구성될 수 있다.
[0054] 일부 실시예들에서, 통합 시스템(400)은 진공-기밀(vacuum-tight) 프로세싱 플랫폼, 이를테면, 프로세싱 플랫폼(404), 팩토리 인터페이스(402), 및 시스템 제어기(444)를 포함한다. 프로세싱 플랫폼(404)은, 적어도 하나의 증착 프로세싱 챔버(100), 이를테면, 도 1로부터 묘사된 증착 프로세싱 챔버(100) 중 하나, 적어도 하나의 전자 빔 프로세싱 챔버(200), 이를테면, 도 2로부터 묘사된 전자 빔 프로세싱 챔버(200) 중 하나, 복수의 프로세싱 챔버들(428, 420, 410), 및 진공 기판 이송 챔버, 이를테면, 이송 챔버(436)에 커플링된 적어도 하나의 로드 록 챔버(422)를 포함한다. 2개의 로드 록 챔버들(422)이 도 4에 도시된다. 팩토리 인터페이스(402)는 로드 록 챔버들(422)에 의해 이송 챔버(436)에 커플링된다.
[0055] 일 실시예에서, 팩토리 인터페이스(402)는, 기판들의 이송을 가능하게 하기 위해 적어도 하나의 팩토리 인터페이스 로봇(414) 및 적어도 하나의 도킹 스테이션(docking station)(408)을 포함한다. 도킹 스테이션(408)은 하나 이상의 FOUP(front opening unified pod)를 수용하도록 구성된다. 도 4의 실시예에 2개의 FOUP들(406A-406B)이 도시된다. 팩토리 인터페이스 로봇(414)의 일 단부에 블레이드(416)가 배치된 팩토리 인터페이스 로봇(414)은, 로드 록 챔버들(422)을 통한 프로세싱을 위해 기판을 팩토리 인터페이스(402)로부터 프로세싱 플랫폼(404)으로 이송하도록 구성된다. 선택적으로, 하나 이상의 프로세싱 챔버들(410, 420, 428), 증착 프로세싱 챔버(100), 전자 빔 프로세싱 챔버(200)는 FOUPS(406A-406B)로부터의 기판의 프로세싱을 가능하게 하기 위해 팩토리 인터페이스(402)의 말단(426)에 연결될 수 있다.
[0056] 로드 록 챔버들(422) 각각은, 팩토리 인터페이스(402)에 커플링된 제1 포트 및 이송 챔버(436)에 커플링된 제2 포트를 갖는다. 로드 록 챔버들(422)은 압력 제어 시스템(미도시)에 커플링되며, 그 압력 제어 시스템은 팩토리 인터페이스(402)의 실질적인 주변(substantially ambient)(예컨대, 대기) 환경과 이송 챔버(436)의 진공 환경 사이의 기판의 통과를 가능하게 하기 위해, 로드 록 챔버들(422)을 펌프 다운(pump down)시키고 벤팅(vent)한다.
[0057] 이송 챔버(436)는 이송 챔버(436) 내에 배치된 진공 로봇(430)을 갖는다. 진공 로봇(430)은, 로드 록 챔버들(422), 증착 프로세싱 챔버(100), 전자 빔 프로세싱 챔버(200), 및 프로세싱 챔버들(410, 420, 및 428) 사이에서 기판들(401)을 이송할 수 있는 블레이드(434)를 갖는다.
[0058] 통합 시스템(400)의 일부 실시예들에서, 통합 시스템(400)은 도 1에 묘사된 증착 프로세싱 챔버(100), 및 다른 프로세싱 챔버들(410, 420, 428), 전자 빔 프로세싱 챔버(200), 및/또는 전자 빔 프로세싱 챔버(200')를 포함할 수 있다. 일부 실시예들에서, 프로세싱 챔버들(410, 420, 428)은 증착 챔버, 에칭 챔버, 열적 프로세싱 챔버 또는 다른 유사한 타입의 반도체 프로세싱 챔버일 수 있다.
[0059] 시스템 제어기(444)는 프로세싱 시스템(400)에 커플링된다. 컴퓨팅 디바이스(441)를 포함하거나 컴퓨팅 디바이스(441) 내에 포함될 수 있는 시스템 제어기(444)는, 통합 시스템(400)의 프로세싱 챔버들(410, 420, 428), 증착 프로세싱 챔버(100), 전자 빔 프로세싱 챔버(200)의 직접 제어를 사용하여 프로세싱 시스템(400)의 동작을 제어한다. 대안적으로, 시스템 제어기(444)는, 프로세싱 챔버들(410, 420, 428), 증착 프로세싱 챔버(100), 전자 빔 프로세싱 챔버(200) 및 통합 시스템(400)과 연관된 컴퓨터들(또는 제어기들)을 제어할 수 있다. 동작 시에, 시스템 제어기(444)는 또한, 통합 시스템(400)의 성능을 최적화하기 위해, 개개의 챔버들 및 프로세싱 챔버들, 이를테면, 증착 프로세싱 챔버(100) 및/또는 전자 빔 프로세싱 챔버(200)로부터의 데이터 수집 및 피드백을 가능하게 한다.
[0060] 시스템 제어기(444)는 일반적으로, CPU(central processing unit)(438), 메모리(440), 및 지원 회로들(442)을 포함한다. CPU(438)는, 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 지원 회로들(442)은 통상적으로 CPU(438)에 커플링되며, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들 등을 포함할 수 있다. 소프트웨어 루틴들은 CPU(438)를 특정 목적의 컴퓨터(제어기)(444)로 변환한다. 소프트웨어 루틴들은 또한, 통합 시스템(400)으로부터 원격으로 위치된 제2 제어기(미도시)에 의해 저장 및/또는 실행될 수 있다.
[0061] 일부 실시예들에서, 본 개시내용은 통합 시스템에 관한 것으로, 통합 시스템은: 진공 기판 이송 챔버; 진공 기판 이송 챔버에 커플링된 유동성 화학 기상 증착을 위해 구성된 증착 챔버; 및 진공 기판 이송 챔버에 커플링된 경화 챔버를 포함하며, 경화 챔버는 전자 빔 방사 소스를 사용하여 유전체 재료를 경화시키도록 구성된다. 일부 실시예들에서, 통합 시스템의 경화 챔버는 유전체 재료를 0℃ 내지 500℃의 온도로 유지하도록 구성된다. 일부 실시예들에서, 경화 챔버는 유전체 재료를 3 mTorr 내지 100 mTorr의 압력으로 유지하도록 구성된다. 일부 실시예들에서, 경화 챔버는 30 W 내지 5000 W의 양으로 바이어스 전력을 유전체 재료에 인가하도록 구성된다. 일부 실시예들에서, 경화 챔버는 100 W 내지 약 5000 W의 플라즈마 전력을 제공하도록 구성된다. 일부 실시예들에서, 경화 챔버는, 헬륨(He), 아르곤(Ar), 수소(H2), 암모니아(NH3), 디실란(Si2H6), 메탄(CH4), 아세틸렌(C2H2), 질소 트리플루오라이드(NF3), 테트라플루오로메탄(CF4), 황 헥사플루오라이드(SF6), 일산화탄소(CO), 트리플루오로메탄(CHF3), 염소(Cl2), 브롬화수소(HBr), 질소(N2), 산소(O2), 및 이들의 조합들 중 하나 이상을 포함하는 프로세스 가스를 포함하는 반응으로부터 전자 빔을 형성하도록 구성된다. 일부 실시예들에서, 증착 챔버는 0℃ 내지 100℃의 온도에서 유전체 재료를 형성하도록 구성된다. 일부 실시예들에서, 증착 챔버는 100 mTorr 내지 5 Torr의 압력에서 유전체 재료를 형성하도록 구성된다. 일부 실시예들에서, 증착 챔버는 30 W 내지 5000 W의 양으로 바이어스 전력을 유전체 재료에 인가하면서 유전체 재료를 형성하도록 구성된다.
[0062] 도 5는 본원에서 설명되는 일 실시예에 따른 집적 회로 구조를 형성하기 위한 방법(500)의 동작들을 묘사하는 흐름도이다. 동작(510)에서, 프로세스 가스가 전자 빔 프로세싱 챔버의 프로세스 볼륨, 이를테면, 도 2의 전자 빔 프로세싱 챔버(200)의 프로세스 볼륨(201)에 전달된다. 다양한 프로세스 가스들, 이를테면, 헬륨(He), 아르곤(Ar), 수소(H2), 암모니아(NH3), 디실란(Si2H6), 메탄(CH4), 아세틸렌(C2H2), 질소 트리플루오라이드(NF3), 테트라플루오로메탄(CF4), 황 헥사플루오라이드(SF6), 일산화탄소(CO), 트리플루오로메탄(CHF3), 염소(Cl2), 브롬화수소(HBr), 질소(N2), 산소(O2), 및 이들의 조합들 중 하나 이상을 포함하는 프로세스 가스가 공급부들(238)로부터 상부 가스 주입기들(230, 234)을 통해 프로세스 볼륨(201)에 전달된다. 일 실시예에서, 불활성 가스가 또한 프로세스 볼륨(201)에 전달된다. 일부 실시예들에서, 불활성 가스는 상부 가스 주입기들(230)을 통해 전달된다. 도 2와 관련하여 예시되지는 않았지만, 다른 실시예에서, 불활성 가스는 최상부 전극, 이를테면, 전극(208)을 통해 전달된다.
[0063] 동작(520)에서, RF 전력, 이를테면, 저주파수 RF 전력이 프로세스 볼륨에 배치된 전극에 전달된다. 실시예들에서, 전극은 프로세스 볼륨에 배치된 높은 2차 전자 방출 계수 재료로 형성된다. 일 실시예에서, 약 2 MHz 또는 약 13.56 MHz의 주파수를 갖는 저주파수 RF 전력이 최상부 전극, 이를테면, 전극(208)에 인가된다. 일부 실시예들에서, RF 전력은 펄스 방식으로 최상부 전극, 이를테면, 전극(208)에 인가된다. 일부 실시예들에서, RF 전력은 연속적으로 최상부 전극, 이를테면, 전극(208)에 인가된다. 동작(530)에서, 최상부 전극, 이를테면, 전극(208)에 인가되는 RF 전력은 프로세스 볼륨(201) 내의 프로세스 가스들을 에너자이징(energize)하고 플라즈마를 형성하도록 기능한다. 저주파수 RF 전력을 활용함으로써, 높은 시스 전압을 갖는 플라즈마를 생성하는 것이 가능하며, 이는 최상부 전극, 이를테면, 전극(208)으로부터 후속적으로 방출되는 전자들의 가속을 가능하게 한다.
[0064] 일부 실시예들에서, 페디스털(210)은 접지 전위로 유지된다. 일부 실시예들에서, 저주파수 RF 전력이 페디스털(210)에 인가된다. 일부 실시예들에서, 페디스털(210)에 인가되는 RF 전력은 전극(208)에 인가되는 RF 전력과 동시에 발생한다. 대안적으로, 페디스털(210)에 인가되는 RF 전력은, 전극(208)에 실질적으로 어떤 RF 전력도 인가되지 않을 때 전달된다. RF 전력이 페디스털(210)에 인가되는 일부 실시예들에서, RF 전력은, 전자 빔들의 리타데이션(retardation)이 기판(211)에 도달하는 것을 방지하기 위해 플라즈마 시스 전위에 대한 악영향을 감소시키도록 제어된다.
[0065] 일부 실시예들에서, 최상부 전극, 이를테면, 전극(208)에 인가되는 RF 전력은, 최상부 전극 RF 전력 및 페디스털(210) RF 전력의 듀티 사이클들이 중첩되지 않도록 펄싱함으로써, 페디스털(210)에 인가되는 RF 전력과 동기화될 수 있다. 그 결과, 프로세스 볼륨(201) 내의 전기 바이어싱은 실질적으로 제한되지 않으며, 플라즈마 시스 바이어스 전위로 인한 전자 빔들의 리타데이션이 실질적으로 완화된다.
[0066] 동작(540)에서, 전극은 플라즈마로부터의 이온들로 타격된다. 일부 실시예들에서, 플라즈마는 주로 양의 전하를 갖고, 최상부 전극, 이를테면, 전극(208)은 주로 음의 전하를 갖는다. 플라즈마로부터의 이온들은 프로세스 볼륨(201)에서 생성된 전기장에 의해 영향을 받고, 최상부 전극, 이를테면, 전극(208) 쪽으로 가속되는 이온들은 최상부 전극, 이를테면, 전극(208)을 가열한다. 최상부 전극이 높은 2차 전자 방출 계수를 갖는 재료로 형성되기 때문에, 최상부 전극, 이를테면, 전극(208)의 이온 타격은 최상부 전극, 이를테면, 전극(208)으로부터 전자들이 방출되게 한다.
[0067] 동작(550)에서, 전극으로부터 방출된 전자들은 기판 쪽으로 가속된다. 예컨대, 최상부 전극, 이를테면, 전극(208)으로부터 방출된 전자들은 기판(211) 쪽으로 가속된다. 최상부 전극, 이를테면, 전극(208)의 실질적으로 음의 전하로 인해, 음으로 대전된 전자들은 최상부 전극, 이를테면, 전극(208)에 의해 기판(211) 쪽으로 반발된다(repelled). 일부 실시예들에서, 플라즈마 시스 전압 전위는 추가로, 기판(211) 쪽으로 전자들을 가속하도록 기능한다. 전자들이 플라즈마 시스 전압에 의해 가속됨에 따라, 전자들은 플라즈마로의 진입 시에 약 50 eV(electron volt) 내지 약 4,000 eV의 에너지를 획득한다. 최상부 전극, 이를테면, 전극(208)으로부터 방출된 전자들은 대면적 2차 전자 빔을 생성한다. 전자 빔은 기판(211)의 직경에 걸쳐 실질적으로 균일한 에너지 분포를 갖는다. 일부 실시예들에서, 전자 빔은 기판, 이를테면, 유전체 재료와 접촉하여 유전체 재료를 경화시킨다. 예컨대, 기판의 유전체 재료와 같은 재료는 전자 빔과 접촉될 때 경화된다.
[0068] 일부 실시예들에서, 전자 빔 내의 전자들은 프로세스 가스들과 반응하여, 기판(211)의 표면들에 흡착되는 추가적인 라디칼들 및 이온들을 추가로 생성한다. 일부 실시예들에서, 흡착된 재료들은 기판의 표면들 상에 화학적 반응성 층을 형성한다. 일부 실시예들에서, 전자 빔은 기판(211)의 조성을 변경한다. 예컨대, 일부 실시예들에서, 기판(211)은 공기와의 접촉 시에 산질화물 층을 형성할 수 있는 유전체 재료를 포함한다. 예컨대, 수소를 함유하는 실리콘 질화물은, 문제가 되게, 산질화물 층, 이를테면, 실리콘 산질화물을 형성할 수 있으며, 여기서 실리콘 산질화물 질화물은 SiOxNy, 이를테면, SiOxNy; 1≤x≤2; 및 1≤y≤2로서 특징지어진다. 일부 실시예들에서, x(=O/Si), 및 y(=N/Si)이다. 실시예들에서, 실리콘 산질화물은 SiOxNy로서 특징지어지고, 유전체 재료를 경화시키거나 전자 빔과 접촉하는 것은 x를 감소시키고 y를 증가시킨다. 예컨대, 경화 시에, 본원에서 설명되는 바와 같은 전자 빔과의 접촉 또는 경화 이전의 실리콘 산질화물과 비교하여 y 대 x의 비가 1 초과가 되도록, y 대 x의 비가 변경된다. 일부 실시예들에서, y와 x의 원자 비는, 본 개시내용에 따른 전자 빔과의 접촉 또는 경화 시에 1 초과가 되도록 변경된다. 일부 실시예들에서, 실리콘 산질화물은 (SiOxNy)로서 특징지어지며, 유전체 재료를 경화시키거나 전자 빔과 접촉시키는 것은 산소의 원자 퍼센티지를 낮추고 그리고/또는 질소의 원자 퍼센티지를 증가시킨다. 일부 실시예들에서, 본 개시내용에 따른 전자 빔과의 접촉 또는 경화 시에, (SiOxNy; x>y)는 (SiOxNy; y>x)로 변경된다. 일부 실시예들에서, 경화 동안, 유전체 재료는 0℃ 내지 500℃, 이를테면 100℃ 내지 400℃, 또는 200℃ 내지 300℃의 온도로 유지된다. 일부 실시예들에서, 경화 동안, 유전체 재료는 프로세스 챔버에서 약 3 mTorr 내지 약 100 mTorr, 이를테면, 10 mTorr 내지 75 mTorr의 압력으로 유지된다. 일부 실시예들에서, 경화 동안, 유전체 재료는 30 W 내지 5000 W의 양으로 바이어스 전력을 받는다. 일부 실시예들에서, 본 개시내용에 따른 경화는 산질화물, 이를테면, 실리콘 산질화물의 형성을 방지한다.
[0069] 일부 실시예들에서, 원하는 대로 유전체 층을 변경하기 위해 프로세스 가스가 미리 선택된다. 예컨대, 프로세스 가스는, 헬륨(He), 아르곤(Ar), 수소(H2), 암모니아(NH3), 디실란(Si2H6), 메탄(CH4), 아세틸렌(C2H2), 질소 트리플루오라이드(NF3), 테트라플루오로메탄(CF4), 황 헥사플루오라이드(SF6), 일산화탄소(CO), 트리플루오로메탄(CHF3), 염소(Cl2), 브롬화수소(HBr), 질소(N2), 산소(O2), 및 이들의 조합들 중 하나 이상으로부터 선택될 수 있다. 실시예들에서, 유전체 층의 질소 함량을 증가시키기 위해, 암모니아(NH3)가 사용된다. 실시예들에서, 유전체 층의 실리콘 함량을 증가시키기 위해, 디실란(Si2H6)이 사용된다.
[0070] 실시예들에서, 기판(211)은 유전체 재료 층 또는 막, 이를테면, 산질화물 층이고, 산소 및 질소를 포함할 수 있는 실리콘 산질화물을 포함할 수 있다. 본 발명자들은, 산소의 양이, 증착된 막, 이를테면, FCVD에 의해 형성된 막의 안정성에 부정적으로 영향을 미친다는 것을 발견하였다. 일부 실시예들에서, 산소 대 질소의 비는 반도체 디바이스의 저항에 영향을 미칠 수 있다. 예컨대, 유전체 층 내의 질소와 비교하여 소량의 산소는 유전체 층의 안정성을 촉진하고 저항을 낮춘다. 예컨대, 경화 시에 원하는 타겟 유전체 층 재료는 실리콘 및 질소를 포함하거나 실리콘 및 질소를 필수적 요소로 하여 구성될(consist essentially of) 수 있다.
[0071] 일부 실시예들에서, 본원에서 설명되는 경화 프로세스는 상호연결 디바이스들을 형성하는 데 유용하고, 기판 상에 유전체 재료를 증착하는 것이 FCVD(flowable chemical vapor deposition) 프로세스에 의해 수행되는 경우에 유익하다. 따라서, 본 개시내용은, 반도체 디바이스들, 특히 반도체 칩들의 3차원(3D) 스택킹을 위해, 높은 종횡비들을 갖는 피처, 이를테면, 트렌치에 유전체 재료를 증착하는 것을 포함한다. 일 실시예들에서, 증착 프로세스는 기판이 배치되는 기판 지지 조립체에 공급되는 펄스 모드의 RF 바이어스 전력과 함께 원격 플라즈마를 사용할 수 있다. 일부 실시예들에서, 증착 프로세스는 챔버-내 RF 소스 전력 생성 없이 수행된다. 원격으로 생성된 원격 플라즈마 및 펄스형 RF 바이어스 전력은 기판으로부터 트렌치를 충전시키는 유전체 재료에 대해 양호한 갭-충전 능력을 제공하도록 필요에 따라, 동시에, 교번적으로 또는 순차적으로 프로세싱 챔버의 내부 프로세싱 영역에 공급될 수 있다. 실시예들에서, FCVD를 포함하는 증착 프로세스는, 기판, 이를테면, 도 1의 기판(301)을 증착 프로세스 챔버, 이를테면, 도 1에 묘사된 증착 프로세싱 챔버(100)로 이송함으로써 시작된다. 기판(301)은 실질적으로 평탄한 표면, 고르지 않은 표면, 또는 상부에 구조가 형성된 실질적으로 평탄한 표면을 가질 수 있다. 도 7a에 묘사된 실시예에서, 기판(301)은 인터페이스 층(702) 상에 배치된 패터닝된 재료 층(704)을 갖는다. 일 실시예에서, 패터닝된 재료 층(704)은 내부에 형성된 개구들(708)을 포함한다. 패터닝된 재료 층(704)은, 프론트 엔드 또는 백 엔드 프로세스들에서의 게이트 구조, 접촉 구조, 상호연결 구조, 또는 필요에 따라 임의의 적합한 구조들을 형성하는 데 활용될 수 있다. 일 실시예에서, 증착 프로세스, 이를테면, FCVD는 패터닝된 재료 층(704)에 대해 수행되어 내부에 접촉 구조를 형성할 수 있다. 기판(301)은, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드(strained) 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들 및 패터닝된 또는 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료일 수 있다. 기판(301)은 다양한 치수들, 이를테면, 200 ㎜, 300 ㎜, 450 ㎜ 또는 다른 직경의 웨이퍼들뿐만 아니라, 직사각형 또는 정사각형 패널(panel)들을 가질 수 있다. 다르게 언급되지 않는 한, 본원에서 설명된 실시예들 및 예들은, 200 ㎜의 직경을 갖는 기판, 300 ㎜의 직경을 갖는 기판, 또는 450 ㎜ 직경의 기판 상에서 실시된다. SOI 구조가 기판(301)에 대해 활용되는 실시예에서, 기판(301)은, 실리콘 결정질 기판 상에 배치되는 매립된(buried) 유전체 층을 포함할 수 있다. 본원에서 묘사된 실시예에서, 기판(301)은 결정질 실리콘 기판일 수 있다.
[0072] 일 실시예에서, 인터페이스 층(702)은 유전체 층일 수 있다. 패터닝된 재료 층(704)은 내부에 유전체 재료를 증착하기 위해 인터페이스 층(702)의 부분들(710)을 노출시키는 개구들(708)을 갖는다. 본원에서 설명되는 개구들(708)은 트렌치들, 비아들, 개구들 등을 포함할 수 있다. 일 실시예에서, 패터닝된 재료 층(704)은 금속 함유 재료, 실리콘 함유 재료, 탄소 함유 재료들, 또는 다른 적합한 재료들일 수 있다. 금속 함유 재료들의 적합한 예들은 구리 함유 재료, 알루미늄 함유 재료들, 니켈 함유 재료, 텅스텐 함유 재료, 또는 다른 금속 함유 재료들을 포함한다. 적합한 실리콘 함유 재료들은 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 및 이들의 조합들을 포함한다. 적합한 탄소 함유 재료들은 실리콘 탄화물, 비정질 탄소 등을 포함한다. 본원에서 묘사된 예시적인 실시예에서, 패터닝된 재료 층(704)은 실리콘 층이다.
[0073] 인터페이스 층(702)은 필요에 따라 유전체 산화물 층 또는 유전체 질화물 층과 같은 유전체 층일 수 있다. 인터페이스 층(702)은 다수의 층들, 복합 층들, 또는 단일 층을 포함할 수 있다. 유전체 층에 대한 다른 적합한 재료들은 도핑되지 않은 실리콘 유리(USG), 이를테면, 실리콘 산화물 또는 TEOS, 붕소-실리케이트 유리(BSG), 인-실리케이트 유리(PSG), 붕소-인-실리케이트 유리(BPSG), 실리콘 질화물, 비정질 실리콘, 및 이들의 조합들을 포함한다.
[0074] 일 실시예에서, 도 7a에 도시된 바와 같이, 패터닝된 재료 층(704)은 미리 결정된 깊이로 패터닝되어, 인터페이스 층(702)의 부분들(710)을 노출시킬 수 있다. 재료 층(704)은 임의의 적합한 패터닝 프로세스에서 에칭 또는 패터닝될 수 있다. 패터닝된 재료 층(704)은, 프로세싱 챔버에 인가되는 미리 결정된 RF 전력 레벨과 함께, 가스 혼합물을 프로세싱 챔버 내로 공급함으로써 패터닝될 수 있다.
[0075] 실시예들에서, 개구들(708)에 유전체 재료(706)(도 7b에 도시됨)를 형성하기 위한 증착 프로세스를 수행하기 위해, 프로세싱 챔버, 이를테면, 도 1의 증착 프로세싱 챔버(100)에 증착 가스 혼합물이 공급된다. 증착 프로세싱 챔버(100)에 공급되는 가스 혼합물은, 개구들(708)에 형성 및 충전될 상이한 타입들의 재료들에 기반하여 변화될 수 있다. 일 예에서, 형성될 유전체 재료(706)가 실리콘 질화물 층이기를 원하는 경우, 공급되는 증착 가스 혼합물은 적어도 하나의 실리콘 함유 가스, 질소 함유 가스, 또는 선택적으로 불활성 가스를 포함한다. 다른 예에서, 형성될 유전체 재료(706)가 실리콘 산화물 층이기를 원하는 경우, 공급되는 증착 가스 혼합물은 적어도 하나의 실리콘 함유 가스, 산소 함유 가스, 또는 선택적으로 불활성 가스를 포함한다. 다른 예에서, 형성될 유전체 재료(706)가 실리콘 탄화물 층이기를 원하는 경우, 공급되는 증착 가스 혼합물은 적어도 하나의 실리콘 함유 가스, 탄소 함유 가스, 또는 선택적으로 불활성 가스를 포함한다. 또 다른 예에서, 형성될 유전체 재료(706)가 탄화물 층이기를 원하는 경우, 공급되는 증착 가스 혼합물은 적어도 하나의 탄소 함유 가스, 또는 선택적으로 불활성 가스를 포함한다.
[0076] 실리콘 함유 가스의 적합한 예들은 실란(SiH4), 테트라에틸 오쏘실리케이트(TEOS), 디실란(Si2H6) 등을 포함한다. 질소 함유 가스의 적합한 예들은 질소(N2), 암모니아(NH3), 아산화질소(N2O), 이산화질소(NO2) 등을 포함한다. 산소 함유 가스의 적합한 예들은 과산화수소(H2O2), 물(H2O), 산소(O2), 오존(O3) 등을 포함한다. 탄소 함유 가스의 적합한 예들은 CO2, 탄화수소 가스들(이를테면, 메탄(CH4) 및 에탄(C2H6)) 등을 포함한다. 불활성 가스의 적합한 예들은 헬륨(He), 아르곤(Ar) 등을 포함한다.
[0077] 일부 예들에서, 캐리어 가스들, 이를테면, 질소(N2), 수소(H2) 등이 또한 필요에 따라 증착 가스 혼합물에 공급될 수 있다.
[0078] 일 예에서, 증착 가스 혼합물은 실리콘 함유 가스, 질소 또는 탄소 함유 가스, 및 아르곤(Ar) 또는 수소(H2) 가스를 포함한다. 일 특정 예에서, 증착 가스 혼합물은, 유전체 재료(706)로서 실리콘 질화물 층을 증착하기 위해, 실란(SiH4), 아르곤(Ar) 또는 헬륨(He), 암모니아(NH3), 수소(H2) 또는 질소(N2), 또는 이들의 조합들을 포함한다. 다른 특정 예에서, 증착 가스 혼합물은, 유전체 재료(706)로서 실리콘 탄화물 층을 증착하기 위해, SiH4, Ar 또는 He, CH4 또는 CO2, H2 또는 N2를 포함한다. 또 다른 특정 예에서, 증착 가스 혼합물은, 유전체 재료(706)로서 실리콘 산화물 층을 증착하기 위해, SiH4, Ar 또는 He, O2 또는 NO2를 포함한다.
[0079] 일 실시예에서, 실리콘 함유 가스는 볼륨 기준으로 약 30 sccm 내지 약 500 sccm의 유량으로 제어된다. 산소, 탄소, 또는 질소 함유 가스는 볼륨 기준으로 약 50 sccm 내지 약 2000 sccm의 유량으로 제어된다. 아르곤(Ar) 또는 헬륨(He) 가스는 볼륨 기준으로 약 250 sccm 내지 약 2000 sccm의 유량으로 제어된다.
[0080] 일 실시예에서, 증착 가스 혼합물로부터의 가스들 중 일부는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 영역(151)으로 공급될 수 있는 한편, 가스들 중 일부는 증착 프로세싱 챔버(100)의 측면을 통해, 이를테면, 샤워헤드(118) 주위에 측방향으로 형성된 유체 소스들(119)을 통해 공급되어 내부 프로세싱 영역(151)으로 전달될 수 있다. 일부 예들에서, 실리콘 함유 가스들과 같은 증착 가스 혼합물로부터의 제1 가스(예컨대, 반응성 전구체들)는 증착 프로세싱 챔버(100)의 측면(예컨대, 유체 소스들(119))으로부터 공급되는 한편, 증착 가스 혼합물로부터의 제2 가스(예컨대, 캐리어 가스, 불활성 가스, 탄소 또는 질소 함유 가스들 또는 다른 가스들)는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 영역(151)으로 공급된다. 일 예에서, 제1 가스는 유체 소스들(119)로부터 프로세싱 챔버 내로 공급되는 SiH4 가스인 한편, 제2 가스는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 영역(151)으로 공급되는 Ar, He, NH3, H2, N2, 또는 이들의 조합들 중 적어도 하나이다.
[0081] 실시예들에서, 증착 가스 혼합물이 도 1의 프로세싱 챔버에 공급된 후, 원격 플라즈마 소스(104)에 원격 플라즈마 소스 전력이 인가되어, 원격 플라즈마 소스(104)로부터 내부 프로세싱 영역(151)으로 전달될 원격 플라즈마를 생성한다. 일부 실시예들에서, 고에너지의 그러나 상대적으로 저밀도의 가스 라디칼들을 제공할 수 있는, 샤워헤드에 인가되는 종래의 챔버-내 RF 소스 전력과 비교하여, 원격으로 해리된 가스 및/또는 다른 가스들은 고밀도 및 저에너지 원자 종을 제공할 수 있다. 따라서, 측면으로부터, 예컨대 유체 소스들(119)로부터 공급되는 가스들과 함께 특정 활성 가스 종을 갖는 원격 플라즈마 소스를 활용함으로써, 그에 따라 상대적으로 저에너지 원자 종을 갖는 고밀도 가스 종이 내부 프로세싱 영역(151)으로 전달되어, 도 7b에 도시된 바와 같이, 기판(301) 상에 유전체 재료(706)를 형성한다. 일부 실시예들에서, 원격 플라즈마 소스로부터의 원자 가스 종은 더 높은 정도의 반응성을 가지며, 이는 측면으로부터, 예컨대 유체 소스들(119)로부터 공급되는 반응 가스 전구체들과 더 효율적으로, 서서히 그리고 철저하게 반응할 수 있어서, 패터닝된 재료 층(704)에 정의된 개구들(708) 내로 유전체 재료(706)를 충전하는 양호한 갭 충전 능력을 제공한다.
[0082] 실시예들에서, 도 1의 프로세싱 챔버 내로 유입된 각각의 가스의 양은, 예컨대, 개구들(708)에 형성될 유전체 재료(706)의 두께(예컨대, 개구들(708)의 높이 또는 기하학적 형상)를 수용하도록 변화되고 조정될 수 있다. 하나 이상의 실시예들에서, 원격 플라즈마 소스(104)로부터 공급되는 가스들은 특정 비(ratio)들을 가질 수 있다. 예컨대, 질소 또는 탄소 함유 가스 대 Ar 가스의 비는 볼륨 기준으로 약 0.2:1 내지 약 2:1로 제어될 수 있다.
[0083] 일 예에서, 약 1000 와트 내지 약 10000 와트, 이를테면, 약 500 와트 내지 약 3000 와트의 원격 RF 소스 전력이 원격 플라즈마 소스(104)에 공급되어, 내부 프로세싱 영역(151)에 전달될 원격 플라즈마를 생성한다. 일부 실시예들에서, 마이크로파 에너지는 본원에서 사용하기에 적합하다. 원격 플라즈마 소스(104)에 대한 전력이 인가되는 주파수는 약 400 kHz이다. 주파수는 약 50 kHz 내지 약 2.45 GHz의 범위일 수 있다. 기판 온도는 약 -20℃ 내지 약 200℃, 이를테면, 약 20℃ 내지 약 90℃로 유지된다.
[0084] 일부 증착 실시예들에서, 원격 플라즈마 소스(104)로부터 내부 프로세싱 영역(151)으로 원격 플라즈마를 공급하는 동안, 기판 지지 부재(152)에 펄스형 RF 바이어스 전력이 인가되어, 기판 지지 부재(152) 상에 배치된 기판(301)에 대한 RF 바이어스 전력을 생성할 수 있다. 일부 실시예들에서, 기판 지지 부재(152)에 대해 생성된 RF 바이어스 전력은 증착 프로세스 동안 내부 프로세싱 영역(151)에서 플라즈마에 대한 방향성을 제공하는 것을 돕는 데 활용된다. 일부 실시예들에서, RF 바이어스 전력은 필요에 따라 60 MHz 및/또는 2 MHz로 제공될 수 있다. 일 특정 실시예에서, RF 바이어스 전력은 60 MHz로, 2 MHz로 또는 400 kHz로 제공된다.
[0085] 일부 실시예들에서, RF 바이어스 전력은 펄스 모드로 기판 지지 부재(152)에 공급될 수 있다. 일부 실시예들에서, 펄스 모드로 공급된 RF 바이어스 전력은 기판 지지 조립체 상에 배치된 기판(301)에 비교적 약한(mild) RF 전력 레벨을 제공할 수 있고, 그에 따라, 반응성 종이 비교적 약한 에너지 레벨로 기판(301) 쪽으로 가속될 수 있다. 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은 원격 플라즈마 소스(104)로부터 공급되는 원격 플라즈마 전력과 동시에, 함께, 개별적으로, 교번적으로 또는 순차적으로 있을 수 있다.
[0086] 유전체 재료(706)의 형성 시에, 워크피스는 유전체 재료, 이를테면, 유전체 재료(706)를 안정화시키기 위해 경화 또는 변경을 위하여 도 2 또는 도 3의 프로세스 챔버로 이동될 수 있다. 이제 도 6을 참조하면, 도 6은, 동작(610)에서 유전체 재료를 경화시키기에 충분한 조건들 하에 유전체 재료를 전자 빔과 접촉시키는 것을 포함하는, 유전체 층을 경화시키기 위한 방법(600)의 동작들을 묘사하는 흐름도이다. 일부 실시예들에서, 유전체 재료를 경화시키기에 충분한 조건들은 도 5와 관련하여 위에서 언급된 것과 동일한 조건들을 포함한다. 예컨대, 본 개시내용의 실시예들에 따라 유전체 층을 경화시키기 위해, 프로세스 가스는 전자 빔 프로세싱 챔버의 프로세스 볼륨, 이를테면, 도 2의 전자 빔 프로세싱 챔버(200)의 프로세스 볼륨(201)에 전달된다. 다양한 프로세스 가스들, 이를테면, 헬륨(He), 아르곤(Ar), 수소(H2), 암모니아(NH3), 디실란(Si2H6), 메탄(CH4), 아세틸렌(C2H2), 질소 트리플루오라이드(NF3), 테트라플루오로메탄(CF4), 황 헥사플루오라이드(SF6), 일산화탄소(CO), 트리플루오로메탄(CHF3), 염소(Cl2), 브롬화수소(HBr), 질소(N2), 산소(O2), 및 이들의 조합들 중 하나 이상을 포함하는 프로세스 가스가 공급부들(238)로부터 상부 가스 주입기들(230, 234)을 통해 프로세스 볼륨(201)에 전달된다. 일 실시예에서, 불활성 가스가 또한 프로세스 볼륨(201)에 전달된다. 일부 실시예들에서, 불활성 가스는 상부 가스 주입기들(230)을 통해 전달된다. 도 2와 관련하여 예시되지는 않았지만, 다른 실시예에서, 불활성 가스는 최상부 전극, 이를테면, 전극(208)을 통해 전달된다. 불활성 가스를 주입함으로써, 반응성 종 역 확산의 확률이 감소될 수 있고, 프로세스 볼륨에 형성된 플라즈마의 무결성이 더 양호하게 유지될 수 있다.
[0087] 일부 경화 실시예들에서, 저주파수 RF 전력이 프로세스 볼륨에 배치된 전극에 전달된다. 실시예들에서, 전극은 프로세스 볼륨에 배치된 높은 2차 전자 방출 계수 재료로 형성된다. 일 실시예에서, 약 2 MHz 또는 약 13.56 MHz의 주파수를 갖는 RF 전력이 최상부 전극, 이를테면, 전극(208)에 인가된다. 일 실시예에서, RF 전력은 펄스 방식으로 최상부 전극, 이를테면, 전극(208)에 인가된다. 저주파수 RF 전력을 활용함으로써, 높은 시스 전압을 갖는 플라즈마가 생성될 수 있으며, 이는 최상부 전극, 이를테면, 전극(208)으로부터 후속적으로 방출되는 전자들의 가속을 가능하게 한다.
[0088] 일 실시예에서, 경화 동안, 페디스털(210)은 접지 전위로 유지된다. 다른 실시예에서, 저주파수 RF 전력이 페디스털(210)에 인가된다. 일부 실시예들에서, 페디스털(210)에 인가되는 RF 전력은 전극(208)에 인가되는 RF 전력과 동시에 발생한다. 대안적으로, 페디스털(210)에 인가되는 RF 전력은, 전극(208)에 실질적으로 어떤 RF 전력도 인가되지 않을 때 전달된다. RF 전력이 페디스털(210)에 인가되는 실시예들에서, RF 전력은, 전자 빔들의 리타데이션이 기판(211)에 도달하는 것을 방지하기 위해 플라즈마 시스 전위에 대한 악영향을 감소시키도록 제어된다.
[0089] 일부 실시예들에서, 최상부 전극, 이를테면, 전극(208)에 인가되는 RF 전력은, 최상부 전극 RF 전력 및 페디스털(210) RF 전력의 듀티 사이클들이 중첩되지 않도록 펄싱함으로써, 페디스털(210)에 인가되는 RF 전력과 동기화될 수 있다. 그 결과, 프로세스 볼륨(201) 내의 전기 바이어싱은 실질적으로 제한되지 않으며, 플라즈마 시스 바이어스 전위로 인한 전자 빔들의 리타데이션이 실질적으로 완화된다.
[0090] 일부 실시예들에서, 전극은 플라즈마로부터의 이온들로 타격된다. 일부 실시예들에서, 플라즈마는 주로 양의 전하를 갖고, 최상부 전극, 이를테면, 전극(208)은 주로 음의 전하를 갖는다. 플라즈마로부터의 이온들은 프로세스 볼륨(201)에서 생성된 전기장에 의해 영향을 받고, 최상부 전극, 이를테면, 전극(208) 쪽으로 가속되는 이온들은 최상부 전극, 이를테면, 전극(208)을 가열한다. 최상부 전극이 높은 2차 전자 방출 계수를 갖는 재료로 형성되기 때문에, 최상부 전극, 이를테면, 전극(208)의 이온 타격은 최상부 전극, 이를테면, 전극(208)으로부터 전자들이 방출되게 한다.
[0091] 일부 실시예들에서, 전극으로부터 방출된 전자들은 기판 쪽으로 가속된다. 예컨대, 최상부 전극, 이를테면, 전극(208)으로부터 방출된 전자들은 기판(211) 쪽으로 가속된다. 최상부 전극, 이를테면, 전극(208)의 실질적으로 음의 전하로 인해, 음으로 대전된 전자들은 최상부 전극, 이를테면, 전극(208)에 의해 기판(211) 쪽으로 반발된다. 일부 실시예들에서, 플라즈마 시스 전압 전위는 추가로, 기판(211) 쪽으로 전자들을 가속하도록 기능한다. 전자들이 플라즈마 시스 전압에 의해 가속됨에 따라, 전자들은 플라즈마로의 진입 시에 약 50 eV(electron volt) 내지 약 4,000 eV의 에너지를 획득한다. 최상부 전극, 이를테면, 전극(208)으로부터 방출된 전자들은 대면적 2차 전자 빔을 생성한다. 전자 빔은 기판(211)의 직경에 걸쳐 실질적으로 균일한 에너지 분포를 갖는다.
[0092] 일부 실시예들에서, 유전체 재료는 본 개시내용의 경화 프로세스 전체에 걸쳐 0℃ 내지 500℃의 온도에 있다. 일부 실시예들에서, 유전체 재료는 프로세스 챔버에서, 이를테면, 예컨대 3 mTorr 내지 100 mTorr의 압력으로 유지된다. 일부 실시예들에서, 본 개시내용에 따른 경화 동안 30 W 내지 5000 W의 양으로 바이어스 전력이 유전체 재료에 인가된다.
[0093] 일부 실시예들에서, 본 개시내용은 유전체 층을 경화시키기 위한 방법을 포함하며, 방법은: 유전체 재료를 경화시키기에 충분한 조건들 하에 유전체 재료를 전자 빔과 접촉시키는 단계를 포함한다. 일부 실시예들에서, 유전체 재료는 산질화물 층이다. 일부 실시예들에서, 산질화물 층은 실리콘 산질화물 막이다. 일부 실시예들에서, 유전체 재료를 경화시키는 것은 실리콘 산질화물 막의 산소 함량을 감소시키고 실리콘 산질화물 막의 질소 함량을 증가시킨다. 일부 실시예들에서, 경화 시에, 질소 대 산소의 비는 1 초과이다. 일부 실시예들에서, 유전체 재료는 0℃ 내지 500℃의 온도에 있다. 일부 실시예들에서, 유전체 재료는 3 내지 100 mTorr의 압력을 갖는 프로세스 챔버에 배치된다. 일부 실시예들에서, 반응으로부터 전자 빔을 형성하는 것은, 헬륨(He), 아르곤(Ar), 수소(H2), 암모니아(NH3), 디실란(Si2H6), 메탄(CH4), 아세틸렌(C2H2), 질소 트리플루오라이드(NF3), 테트라플루오로메탄(CF4), 황 헥사플루오라이드(SF6), 일산화탄소(CO), 트리플루오로메탄(CHF3), 염소(Cl2), 브롬화수소(HBr), 질소(N2), 산소(O2), 및 이들의 조합들 중 하나 이상을 포함하는 프로세스 가스를 포함한다. 일부 실시예들에서, 경화시키는 것은 30 W 내지 5000 W의 양으로 바이어스 전력을 유전체 재료에 제공하는 것을 더 포함한다.
[0094] 일부 실시예들에서, 증착 후 전자 빔 경화는, 예컨대 유전체 재료의 막 조성 및 안정성을 개선한다. 일부 실시예들에서, 질소 분위기에서의 증착 후 전자 빔 경화는 N:Si 비를 적어도 2배 증가시키고, 산소 함량을 적어도 2배 감소시키고, 유전체 재료로의 수분 흡입(moisture intake)을 감소시키거나 제거하며, 벌크 막 조성들을 전환하기에 적합하다.
[0095] 실시예들에서, 하나 이상의 제어기들은, 직접적으로(미도시) 또는 대안적으로, 프로세스 챔버 및/또는 지원 시스템들과 연관된 컴퓨터들(또는 제어기들)을 통해, 증착 프로세싱 챔버(100), 전자 빔 프로세싱 챔버(200)에 단독으로 커플링되거나 또는 통합 시스템(400) 및 지원 시스템들과의 조합에 커플링될 수 있다. 실시예들에서, 제어기는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. CPU의 컴퓨터-판독가능 매체 또는 메모리는, 용이하게 입수가능한 메모리, 이를테면, RAM(random access memory), ROM(read-only memory), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 저장소 중 하나 이상일 수 있다. 실시예들에서, 종래의 방식으로 프로세서를 지원하기 위한 지원 회로들이 CPU에 커플링된다. 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로망 및 서브시스템들 등을 포함한다.
[0096] 일부 실시예들에서, 본 개시내용은, 명령들이 저장된 비-일시적 컴퓨터 판독가능 매체에 관한 것으로, 명령들은, 실행될 때, 집적 회로 구조를 형성하기 위한 방법을 야기하며, 방법은: 프로세스 챔버의 프로세스 볼륨에 프로세스 가스를 전달하는 단계; 프로세스 볼륨에 배치된 높은 2차 전자 방출 계수 재료로 형성된 전극에 RF 전력을 인가하는 단계; 프로세스 볼륨 내에서 이온들을 포함하는 플라즈마를 생성하는 단계; 전극이 전자들을 방출하고 그리고 전자 빔을 형성하게 하기 위해, 이온들로 전극을 타격하는 단계; 및 유전체 재료를 전자 빔과 접촉시켜 유전체 재료를 경화시키는 단계를 포함한다.
[0097] 일부 실시예들에서, 본 개시내용은, 명령들이 저장된 비-일시적 컴퓨터 판독가능 매체에 관한 것으로, 명령들은, 실행될 때, 유전체 재료를 경화시키기 위한 방법을 야기하며, 방법은: 프로세스 챔버의 프로세스 볼륨에 프로세스 가스를 전달하는 단계; 프로세스 볼륨에 배치된 높은 2차 전자 방출 계수 재료로 형성된 전극에 RF 전력을 인가하는 단계; 프로세스 볼륨 내에서 이온들을 포함하는 플라즈마를 생성하는 단계; 전극이 전자들을 방출하고 그리고 전자 빔을 형성하게 하기 위해, 이온들로 전극을 타격하는 단계; 및 유전체 재료를 전자 빔과 접촉시켜 유전체 재료를 경화시키는 단계를 포함한다. 일부 실시예들에서, 유전체 재료는 유동성 화학 기상 증착 생성물, 이를테면, SiN, 또는 화학 기상 증착 생성물에 혼입된 수소를 갖는 SiN이다.
[0098] 일부 실시예들에서, 본 개시내용은, 명령들이 저장된 비-일시적 컴퓨터 판독가능 매체에 관한 것으로, 명령들은, 실행될 때, 유전체 층을 경화시키기 위한 방법을 야기하며, 방법은: 유전체 재료를 경화시키기에 충분한 조건들 하에 유전체 재료를 전자 빔과 접촉시키는 단계를 포함한다. 일부 실시예들에서, 유전체 재료는 유동성 화학 기상 증착 생성물, 이를테면, SiN, 또는 화학 기상 증착 생성물에 혼입된 수소를 갖는 SiN이다.
[0099] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 안출될 수 있다.

Claims (20)

  1. 집적 회로 구조를 형성하기 위한 방법으로서,
    프로세스 챔버의 프로세스 볼륨에 프로세스 가스를 전달하는 단계;
    상기 프로세스 볼륨에 배치된 높은 2차 전자 방출 계수 재료로 형성된 전극에 RF 전력을 인가하는 단계;
    상기 프로세스 볼륨 내에서 이온들을 포함하는 플라즈마를 생성하는 단계;
    상기 전극이 전자들을 방출하고 그리고 전자 빔을 형성하게 하기 위해, 상기 이온들로 상기 전극을 타격하는 단계; 및
    유전체 재료를 상기 전자 빔과 접촉시켜 상기 유전체 재료를 경화시키는 단계를 포함하며,
    상기 유전체 재료는 유동성 화학 기상 증착 생성물인,
    집적 회로 구조를 형성하기 위한 방법.
  2. 제1 항에 있어서,
    상기 유전체 재료는 산질화물 층인,
    집적 회로 구조를 형성하기 위한 방법.
  3. 제2 항에 있어서,
    상기 산질화물 층은 실리콘 산질화물이고, 상기 실리콘 산질화물은 SiOxNy로서 특징지어지고, 여기서, x는 1 이상 내지 2 이하의 수이고, 그리고 y는 1 이상 내지 2 이하의 수인,
    집적 회로 구조를 형성하기 위한 방법.
  4. 제3 항에 있어서,
    상기 유전체 재료를 경화시키는 단계는 x를 감소시키고 그리고 y를 증가시키는,
    집적 회로 구조를 형성하기 위한 방법.
  5. 제4 항에 있어서,
    경화 시에, y 대 x의 비(ratio)는 1 초과인,
    집적 회로 구조를 형성하기 위한 방법.
  6. 제1 항 내지 제4 항 중 어느 한 항에 있어서,
    상기 유전체 재료는 0℃ 내지 500℃의 온도에 있는,
    집적 회로 구조를 형성하기 위한 방법.
  7. 제1 항 내지 제4 항 중 어느 한 항에 있어서,
    상기 프로세스 챔버의 압력은 3 내지 100 mTorr인,
    집적 회로 구조를 형성하기 위한 방법.
  8. 제1 항 내지 제4 항 중 어느 한 항에 있어서,
    상기 프로세스 가스는, 헬륨(He), 아르곤(Ar), 수소(H2), 암모니아(NH3), 디실란(Si2H6), 메탄(CH4), 아세틸렌(C2H2), 질소 트리플루오라이드(NF3), 테트라플루오로메탄(CF4), 황 헥사플루오라이드(SF6), 일산화탄소(CO), 트리플루오로메탄(CHF3), 염소(Cl2), 브롬화수소(HBr), 질소(N2), 또는 산소(O2) 중 하나 이상을 포함하는,
    집적 회로 구조를 형성하기 위한 방법.
  9. 제1 항 내지 제4 항 중 어느 한 항에 있어서,
    30 W 내지 5000 W의 양으로 바이어스 전력을 상기 유전체 재료에 제공하는 단계를 더 포함하는,
    집적 회로 구조를 형성하기 위한 방법.
  10. 제1 항 내지 제4 항 중 어느 한 항에 있어서,
    유동성 화학 기상 증착을 통해 기판 상에 상기 유전체 재료를 증착하는 단계를 더 포함하는,
    집적 회로 구조를 형성하기 위한 방법.
  11. 통합 시스템으로서,
    진공 기판 이송 챔버;
    상기 진공 기판 이송 챔버에 커플링된 유동성 화학 기상 증착을 위해 구성된 증착 챔버; 및
    상기 진공 기판 이송 챔버에 커플링된 경화 챔버를 포함하며,
    상기 경화 챔버는 전자 빔 방사 소스를 사용하여 유전체 재료를 경화시키도록 구성되는,
    통합 시스템.
  12. 제11 항에 있어서,
    상기 경화 챔버는 상기 유전체 재료를 0℃ 내지 500℃의 온도로 유지하도록 구성되는,
    통합 시스템.
  13. 제11 항에 있어서,
    상기 경화 챔버는 상기 유전체 재료를 3 mTorr 내지 100 mTorr의 압력으로 유지하도록 구성되는,
    통합 시스템.
  14. 제11 항에 있어서,
    상기 경화 챔버는 30 W 내지 5000 W의 양으로 바이어스 전력을 상기 유전체 재료에 인가하도록 구성되는,
    통합 시스템.
  15. 제11 항에 있어서,
    상기 경화 챔버는 100 W 내지 약 5000 W 플라즈마 전력을 제공하도록 구성되는,
    통합 시스템.
  16. 제11 항 내지 제15 항 중 어느 한 항에 있어서,
    상기 경화 챔버는, 헬륨(He), 아르곤(Ar), 수소(H2), 암모니아(NH3), 디실란(Si2H6), 메탄(CH4), 아세틸렌(C2H2), 질소 트리플루오라이드(NF3), 테트라플루오로메탄(CF4), 황 헥사플루오라이드(SF6), 일산화탄소(CO), 트리플루오로메탄(CHF3), 염소(Cl2), 브롬화수소(HBr), 질소(N2), 산소(O2), 및 이들의 조합들 중 하나 이상을 포함하는 프로세스 가스를 포함하는 반응으로부터 전자 빔을 형성하도록 구성되는,
    통합 시스템.
  17. 제11 항 내지 제15 항 중 어느 한 항에 있어서,
    상기 증착 챔버는 0℃ 내지 100℃의 온도에서 유전체 재료를 형성하도록 구성되는,
    통합 시스템.
  18. 제11 항 내지 제15 항 중 어느 한 항에 있어서,
    상기 증착 챔버는 100 mTorr 내지 5 Torr의 압력에서 유전체 재료를 형성하도록 구성되는,
    통합 시스템.
  19. 제11 항 내지 제15 항 중 어느 한 항에 있어서,
    상기 증착 챔버는 30 W 내지 5000 W의 양으로 바이어스 전력을 유전체 재료에 인가하면서 상기 유전체 재료를 형성하도록 구성되는,
    통합 시스템.
  20. 명령들이 저장된 컴퓨터 판독가능 매체로서,
    상기 명령들은, 실행될 때, 프로세스 챔버로 하여금, 집적 회로 구조를 형성하는 방법을 수행하게 하며,
    상기 방법은 제1 항 내지 제10 항 중 어느 한 항에 기재된 방법인,
    컴퓨터 판독가능 매체.
KR1020227003280A 2019-07-02 2020-06-19 유전체 재료를 경화시키기 위한 방법들 및 장치 KR20220025057A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962869833P 2019-07-02 2019-07-02
US62/869,833 2019-07-02
PCT/US2020/038718 WO2021003031A1 (en) 2019-07-02 2020-06-19 Methods and apparatus for curing dielectric material

Publications (1)

Publication Number Publication Date
KR20220025057A true KR20220025057A (ko) 2022-03-03

Family

ID=74101124

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227003280A KR20220025057A (ko) 2019-07-02 2020-06-19 유전체 재료를 경화시키기 위한 방법들 및 장치

Country Status (7)

Country Link
US (1) US20220351969A1 (ko)
EP (1) EP3994718A4 (ko)
JP (1) JP7331236B2 (ko)
KR (1) KR20220025057A (ko)
CN (1) CN114080661A (ko)
TW (1) TW202117802A (ko)
WO (1) WO2021003031A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11631589B2 (en) * 2021-05-04 2023-04-18 Applied Materials, Inc. Metal etch in high aspect-ratio features

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP2008153147A (ja) 2006-12-20 2008-07-03 Seiko Epson Corp プラズマ処理装置
US8211510B1 (en) * 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
JP6063264B2 (ja) 2012-09-13 2017-01-18 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
JP6320248B2 (ja) 2014-03-04 2018-05-09 東京エレクトロン株式会社 プラズマエッチング方法
US9368368B2 (en) 2014-07-21 2016-06-14 Tokyo Electron Limited Method for increasing oxide etch selectivity
US9362107B2 (en) * 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons
US10580642B2 (en) 2017-04-04 2020-03-03 Applied Materials, Inc. Two-step process for silicon gapfill
CN110622298B (zh) * 2017-05-13 2023-09-22 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
JP7203515B2 (ja) 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長

Also Published As

Publication number Publication date
TW202117802A (zh) 2021-05-01
US20220351969A1 (en) 2022-11-03
WO2021003031A1 (en) 2021-01-07
JP2022541735A (ja) 2022-09-27
JP7331236B2 (ja) 2023-08-22
CN114080661A (zh) 2022-02-22
EP3994718A1 (en) 2022-05-11
EP3994718A4 (en) 2023-08-16

Similar Documents

Publication Publication Date Title
US20230178419A1 (en) Scaled liner layer for isolation structure
TW201735256A (zh) 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法
KR102514465B1 (ko) 유전체 재료를 증착하기 위한 방법들
KR20190037341A (ko) 원하는 피쳐를 얻기 위해 에칭 프로세싱 중에 ulk 물질을 손상으로부터 보호하기 위한 제조 방법
US11264460B2 (en) Vertical transistor fabrication for memory applications
US10128337B2 (en) Methods for forming fin structures with desired profile for 3D structure semiconductor applications
US11319630B2 (en) Deposition apparatus and deposition method
US7910495B2 (en) Plasma oxidizing method, plasma processing apparatus, and storage medium
JP7331236B2 (ja) 誘電体材料を硬化させる方法及び装置
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
TWI837174B (zh) 沉積介電材料之方法
US11972943B2 (en) Methods and apparatus for depositing dielectric material
KR20190015132A (ko) 피처리체를 처리하는 방법
TW202223137A (zh) Hdp犧牲碳隙填充
JP2022191787A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal