KR20130093103A - 유동가능한 cvd 갭 충진을 위한 산화물­부화 라이너 층 - Google Patents

유동가능한 cvd 갭 충진을 위한 산화물­부화 라이너 층 Download PDF

Info

Publication number
KR20130093103A
KR20130093103A KR1020137004864A KR20137004864A KR20130093103A KR 20130093103 A KR20130093103 A KR 20130093103A KR 1020137004864 A KR1020137004864 A KR 1020137004864A KR 20137004864 A KR20137004864 A KR 20137004864A KR 20130093103 A KR20130093103 A KR 20130093103A
Authority
KR
South Korea
Prior art keywords
oxygen
layer
gapfill
silicon
forming
Prior art date
Application number
KR1020137004864A
Other languages
English (en)
Other versions
KR101847593B1 (ko
Inventor
동큉 리
징메이 리앙
니틴 케이. 잉글
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130093103A publication Critical patent/KR20130093103A/ko
Application granted granted Critical
Publication of KR101847593B1 publication Critical patent/KR101847593B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

공극들의 부피 분율이 감소된 갭-충진 실리콘 산화물 층의 형성이 개시된다. 증착은, 산소-결핍된(poor) 큰-유동성의 갭충진 층에 앞서서, 산소-부화된(rich) 적은-유동성의 라이너 층을 형성하는 것을 포함한다. 그러나, 라이너 층은 갭충진 층과 동일한 챔버 내에서 증착된다. 라이너 층 및 갭충진 층 모두는 라디칼 성분을 여기되지 않은(즉, 플라즈마 전력의 인가에 의해서 직접적으로 여기되지 않은) 실리콘-함유 전구체와 혼합함으로써 형성될 수 있을 것이다. 라이너 층은 갭충진 층 보다 많은 산소 함량을 가지고 그리고 보다 등각적으로(conformally) 증착된다. 갭충진 층의 증착 속도(rate)는 라이너 층의 존재에 의해서 빨라질 수 있을 것이다. 갭충진 층은 실리콘, 산소 및 질소를 포함할 수 있을 것이고 그리고 높은 온도에서 보다 많은 산소 및 보다 적은 질소를 포함하도록 전환될 수 있을 것이다. 갭충진 라이너의 존재는 갭충진 층 아래에 산소 공급원을 제공하여 전환 동안에 도입되는 가스 상 산소를 증대시킨다.

Description

유동성 CVD 갭 충진을 위한 산화물­부화 라이너 층{OXIDE­RICH LINER LAYER FOR FLOWABLE CVD GAPFILL}
본 출원은, Li 등이 2011 6월 3일자로 출원하고 발명의 명칭이 "OXIDE-RICH LINER LAYER FOR FLOWABLE CVD GAPFILL" 인 미국 특허출원 제 13/153,016 호의 PCT 출원이고, 그리고 Li 등이 2010년 7월 30일자로 출원하고 발명의 명칭이 "OXIDE-RICH LINER LAYER FOR FLOWABLE CVD GAPFILL"인 미국 가특허출원 제 61/369,352 호와 관련되고 그 이익의 향유를 주장하며, 상기 출원들의 전체 개시 내용이 모든 목적을 위해서 본원에서 참조로서 포함된다.
반도체 디바이스 기하 형상들은 수십 년 전의 이들의 도입 이래로 극적으로 사이즈가 감소되어 왔다. 현대의 반도체 제조 장비는 일상적으로, 45 nm, 32 nm, 및 28 nm의 피쳐 사이즈들을 갖는 디바이스들을 생성하며, 한층 더 작은 기하 형상들을 갖는 디바이스들을 제조하기 위해 새로운 장비가 개발 및 구현되고 있다. 감소하는 피쳐 사이즈들은 디바이스 상에서 감소된 공간적인 치수들을 갖는 구조적인 피쳐들을 산출한다. 갭의 깊이 대 갭의 폭의 종횡비(aspect ratio)가 너무 커서 유전체 재료로 갭을 충진하는 것이 어려울 정도의 충분히 높은 포인트까지, 디바이스 상의 갭들 및 트렌치들의 폭들이 좁아진다. 갭이 완전히 충진되기 전에, 증착 유전체 재료가 상부에서 막히기 쉬워서, 갭의 중간에서 보이드(void) 또는 시임(seam)을 생성한다.
수년에 걸쳐, 유전체 재료가 갭의 상부를 막게 되는 것을 회피하거나, 또는 형성된 보이드 또는 시임을 "치유(heal)" 위해, 많은 기술들이 개발되어 왔다. 하나의 접근법은 스피닝(spinning) 기판 표면에 액체 상으로 도포될 수 있는 높은 유동성 전구체 재료들로 시작되었다(예를 들어, SOG 증착("deposit"; 이하에서 편의상 증착이라 함) 기술들). 이들 유동성 전구체들은, 보이드들 또는 시임들을 형성하지 않으면서, 매우 작은 기판 갭들 내로 흐를 수 있고, 그 매우 작은 기판 갭들을 충진할 수 있다. 그러나, 이들 높은 유동성 재료들이 일단 증착되면, 이들은 고체 유전체 재료로 경화되어야만 한다.
많은 경우들에서, 경화 프로세스는, 증착된 재료로부터 탄소 및 히드록실 그룹들을 제거하여 실리콘 산화물과 같은 고체 유전체를 남기기 위한 열처리를 포함한다. 불행하게도, 빠져 나가는 탄소 및 히드록실 종들은 종종, 경화된 유전체 내에서 공극(pore)들을 남기며, 그 공극들은 최종 재료의 품질을 저하시킨다. 또한, 경화되는 유전체는 또한, 부피 수축 경향이 있고, 이는, 유전체와 주위의 기판의 인터페이스에서 균열(crack)들 및 공간들을 남길 수 있다. 몇몇 경우들에서, 경화된 유전체의 부피는 40 % 또는 그 초과 만큼 감소할 수 있다.
따라서, 기판 갭들 및 트렌치들에서 보이드들, 시임들, 또는 양자 모두를 생성하지 않으면서, 구조화된 기판들 상에 유전체 재료들을 형성하기 위한 새로운 증착 프로세스들 및 재료들에 대한 필요성이 존재한다. 또한, 공극들이 더 적고 수축이 더 적을 뿐만 아니라 여전히 발생되는 수축을 수용할 수 있는, 유동성 유전체 재료들을 경화시키는 재료들 및 방법들에 대한 필요성이 존재한다. 이러한 및 다른 필요성들이 본 출원에서 해소된다.
보이드들의 부피 분율(fraction)이 감소된 갭-충진 실리콘 산화물 층의 형성이 개시된다. 증착은, 산소-결핍된(poor) 큰-유동성의 갭충진 층에 앞서서, 산소-부화된(rich) 적은-유동성의 라이너 층을 형성하는 것을 포함한다. 그러나, 라이너 층은 갭충진 층과 동일한 챔버 내에서 증착된다. 라이너 층 및 갭충진 층 모두는 라디칼 성분을 여기되지 않은(즉, 플라즈마 전력(power)의 인가에 의해서 직접적으로 여기되지 않은) 실리콘-함유 전구체와 혼합함으로써 형성될 수 있을 것이다. 라이너 층은 갭충진 층 보다 많은 산소 함량을 가지고 그리고 보다 등각적으로(conformally) 증착된다. 갭충진 층의 증착 속도(rate)는 라이너 층의 존재에 의해서 빨라질 수 있을 것이다. 갭충진 층은 실리콘, 산소 및 질소를 포함할 수 있을 것이고 그리고 높은 온도에서 보다 많은 산소 및 보다 적은 질소를 포함하도록 전환될 수 있을 것이다. 갭충진 라이너의 존재는 갭충진 층 아래에 산소 공급원(source)을 제공하여 전환 동안에 도입되는 가스 상 산소를 증대시킨다.
본원 발명의 실시예들은 트렌치를 포함하는 패터닝된 기판 상에 실리콘 산화물 층을 형성하는 방법들을 포함한다. 그러한 방법들은 기판을 기판 프로세싱 챔버 내로 이송하는 단계 그리고 이어서 트렌치를 포함하는 기판 상에 산소-부화 라이너 층을 형성하는 단계를 포함한다. 그러한 방법들은 또한 기판 상에 그리고 트렌치 내에 갭충진 유전체 층을 형성하는 단계를 더 포함한다. 갭충진 유전체 층은 산소-부화 라이너 층 보다 더 낮은 산소 함량을 가지고 그리고 갭충진 유전체 층은 형성 동안에 유동성을 가진다. 상기 방법들은, 산소-부화 라이너 층으로부터 갭충진 유전체 층으로 산소의 일부를 이송하기 위해서, 높은 온도에서 갭충진 유전체 층을 경화시키는 단계를 더 포함한다.
추가적인 실시예들 및 특징들은 이하의 설명에서 일부가 기술되고, 그리고 일부는 당업자가 본원 명세서의 검토시에 명확하게 이해할 수 있을 것이고 또는 개시된 실시예들의 실시를 통해서 학습될 수 있을 것이다. 개시된 실시예들의 특징들 및 이점들은 본원 명세서에서 설명된 수단들, 조합들, 및 방법들에 의해 실현 및 달성될 수 있을 것이다.
보이드들의 부피 분율이 감소된 갭-충진 실리콘 산화물 층의 형성이 설명된다. 증착은, 산소-결핍된, 큰-유동성의 갭충진 층에 앞서서, 산소-부화된, 적은-유동성의 라이너 층을 형성하는 것을 포함한다. 그러나, 라이너 층은 갭충진 층과 동일한 챔버 내에서 증착된다. 라이너 층 및 갭충진 층 모두는 라디칼 성분을 여기되지 않은(즉, 플라즈마 전력의 인가에 의해서 직접적으로 여기되지 않은) 실리콘-함유 전구체와 혼합함으로써 형성될 수 있을 것이다. 라이너 층은 갭충진 층 보다 많은 산소 함량을 가지고 그리고 보다 등각적으로 증착된다. 갭충진 층의 증착 속도는 라이너 층의 존재에 의해서 빨라질 수 있을 것이다. 갭충진 층은 실리콘, 산소 및 질소를 포함할 수 있을 것이고 그리고 높은 온도에서 보다 많은 산소 및 보다 적은 질소를 포함하도록 전환될 수 있을 것이다. 갭충진 라이너의 존재는 갭충진 층 아래에 산소 공급원을 제공하여 전환 동안에 도입되는 가스 상 산소를 증대시킨다.
도 1은 개시된 실시예들에 따른 보이드가 감소된 실리콘 산화물 갭충진 유전체 층들을 만들기 위해서 선택된 단계들을 도시한 흐름도이다.
도 2는 개시된 실시예들에 다른 다중-층 유전체 필름의 단면도이다.
도 3a는 개시된 실시예들에 따른 산소-부화 라이너 층이 없는 실리콘-산화물 갭충진의 단면도이다.
도 3b는 개시된 실시예들에 따른 산소-부화 라이너 층이 있는 실리콘-산화물 갭충진의 단면도이다.
도 4는 개시된 실시예들에 따른 기판 프로세싱 시스템을 도시한다.
도 5a는 개시된 실시예들에 따른 기판 프로세싱 챔버를 도시한다.
도 5b는 개시된 실시예들에 따른 기판 프로세싱 챔버의 샤워헤드를 도시한다.
균열 경향이 감소된 갭-충진 실리콘 산화물 층의 형성이 설명된다. 증착은 트렌치들의 충진을 돕는 유동성 실리콘-함유 층의 형성을 포함한다. 높은 기판 온도에서 기판을 후속하여 프로세싱하는 것은 종래 기술의 방법들을 따라서 형성된 유동성 필름들 보다 유전체 필름 내에서 적은 균열을 유발한다. 갭-충진 실리콘 산화물 층의 형성에 앞서서 증착된 압축성(compressive) 라이너 층이 설명되고 그리고 그러한 압축성 라이너 층은 후속하여 증착되는 필름의 균열 경향을 감소시킨다. 유동성 실리콘-함유 층 이후에 증착된 압축성 캡핑(capping) 층이 또한 균열을 감소시키는 것으로 확인되었다. 압축성 라이너 층들 및 압축성 캡핑 층들은 균열 감소를 위해서 단독으로 또는 조합되어 이용될 수 있다. 추가적으로, 개시된 실시예들에서의 압축성 캡핑 층들은, 실리콘 질화물의 하부 층이 실리콘 산화물 층으로 변환될 수 있게 하는 것으로 확인되었다.
후속하는 갭충진 층 보다 더 많은 산소 함량을 가지는 라이너 층을 포함하는 것은, 갭충진 층을 실리콘 산화물로 변환시키는 동안에 사용하기 위한 다른 산소 공급원을 갭충진 층 아래에 제공한다. 유동성 필름들은 구분되는 경화 단계로서의 또는 후속 프로세싱 동안에 갭-충진 필름을 포함하는 필름 스택(stack)을 가열하는 것의 자연적인 부산물로서의 경화를 필요로 할 수 있을 것이다. 경화는 산소-함유 환경(environment) 내에서 이루어질 수 있을 것이고, 상기 환경으로부터 산소가 갭충진 층 내로 이동되고 그리고 패터닝된 기판 상의 트렌치들 내로 갭충진 층이 유동할 수 있게 하는데 필수적이었던 성분들을 치환한다(displace). 이러한 성분들은, 갭충진 층이 트렌치를 충진하면, 제거될 수 있을 것이다. 경화는 이러한 성분들을 산소로 치환하고, 그들 중 일부는 이제 산소-부화 라이너 층으로부터 갭충진 층 내로 확산된다.
본원 발명을 보다 잘 이해하고 평가할 수 있도록, 이제 도 1-3을 참조하며, 상기 도 1-3은 산소-부화 라이너 층들을 이용하기 위해서 선택된 단계들을 도시하는 흐름도 그리고 본원 발명의 실시예들에 따라서 산소-부화 라이너 층들을 포함하는 구조물들의 단면도들이다. 방법(100)은 기판 프로세싱 영역(102) 내로 트렌치를 가지는 패터닝된 기판을 이송하는 단계를 포함한다. 이러한 예에서, 실리콘 산화물 라이너 층이 패터닝된 기판(104) 상에 증착된다. 도 2는 기판(200)으로부터 점선(202)까지 성장된 산소-부화 라이너를 도시한다. 도 3b는 패터닝된 기판(300) 내의 트렌치 상에서 점선(302)까지 성장된 산소-부화 라이너를 도시한다. 라이너 층이 증착된 후에, 갭충진 유전체 층이 단계(106)-단계(110)에서 CVD에 의해서 성장된다. 갭충진 유전체 층은 보다 완전하게 트렌치를 충진하도록 돕기 위해서 형성 동안에 유동성을 가진다. 갭충진 유전체 층(204, 304-2)이 도 2 및 3b의 각각에 도시되어 있다. 산화물-부화 라이너 층이 갭충진 유전체 층 보다 더 등각적이고 그리고 일부 개시된 실시예들에서 일반적으로 등각적일 수 있을 것이다. 갭충진 유전체 층이 트렌치를 실질적으로 충진할 수 있을 것이다.
형성 동안에 유동성을 가지는 갭충진 유전체 층들을 증착하기 위해서 여러 가지 방법들이 이용될 수 있다. 도 1의 예에서, 실리콘 전구체가 기판(106)을 수용하는 기판 프로세싱 영역으로 도입된다. 다른 전구체는 "라디칼-질소" 전구체를 생성하기 위해서 원격 플라즈마 영역을 통과한 후에만 도입되고, 그러한 전구체는 이어서 기판 프로세싱 영역(108) 내로 유동되고 그리고 실리콘 전구체와 조합된다. 실리콘-함유 전구체는 플라즈마 전력의 인가에 의해서 직접적으로 여기되지 않는다. 다시 말해서, 플라즈마 전력은 기판 프로세싱 영역 내에서 플라즈마를 여기시키기 위해서 인가되지 않는다. 이러한 구성은 라이닝된 트렌치(110) 내로의 실리콘-및-질소-함유 층의 유동성 증착을 초래한다. 필름의 유동특성(flowability)은 증착이 진행됨에 따라 약해지고 그리고 그러한 유동특성은 경화 작업 중에 본질적으로 제거된다. 경화 작업은 실리콘-및-질소-함유 층을 실리콘 산화물(112)로 전환하는 단계를 포함한다. 경화는 패터닝된 기판의 온도를 높이는 단계 및 갭충진 유전체 층을 산소-함유 환경에 노출시키는 단계를 포함한다. 산화물-부화 라이너 층의 산소 함량은 실리콘-및-질소-함유 갭충진 층의 산소 함량 보다 더 높다. 높은 온도는 산화물이 라이너 층으로부터 갭충진 층 내로 확산되도록 유도하고, 그러한 확산은 갭충진 유전체 층 아래로부터의 부가적인 산소 공급원을 제공한다.
개시된 실시예들에서, 라디칼-성분 CVD를 이용하여 산화물-부화 라이너 및 갭충진 층을 형성한다. 2가지 작업들은, 소유비용을 감소시키기 위해서, 처리량을 증가시키기 위해서 그리고 인터페이스의 무결성(integrity)을 유지하기 위해서 동일한 기판 프로세싱 영역 내에서 실시된다. 갭충진 유전체 층 형성 동안에 유동특성을 보장하기 위해서, 실리콘-함유 전구체는 탄소 또는 질소를 포함할 수 있을 것이다. 개시된 실시예들에서, 실리콘-함유 전구체는 탄소-프리(carbon-free; 무탄소) 실리콘-함유 전구체이며, 이는 경화 프로세스 동안에 갭충진 층의 수축이 적게 일어날 수 있게 한다. 탄소-프리 실리콘 전구체는, 예를 들어, 실리콘 전구체들의 다른 분류들 중에서도, 실리콘-및-질소 전구체, 실리콘-및-수소 전구체, 또는 실리콘-질소-및-수소 함유 전구체일 수 있을 것이다. 이들 전구체들의 특정 예들은, 다른 실릴(silyl)-아민들 중에서도, H2N(SiH3), HN(SiH3)2, 및 N(SiH3)3 과 같은 실릴-아민들을 포함할 수 있을 것이다. 이러한 실릴-아민들은 캐리어 가스들, 반응성 가스들, 또는 이들 양자 모두로서 작용할 수 있는 부가적인 가스들과 혼합될 수 있다. 이러한 부가적인 가스들의 예들은, 다른 가스들 중에서도, H2, N2, NH3, He, 및 Ar을 포함할 수 있을 것이다. 탄소-프리 실리콘 전구체들의 예들은 또한, 실란(SiH4)을 단독으로 포함하거나 다른 실리콘(예를 들어, N(SiH3)3), 수소(예를 들어, H2) 및/또는 질소(예를 들어, N2, NH3) 함유 가스들과 혼합된 실란(SiH4)을 포함할 수 있을 것이다. 실리콘-함유 전구체들은 또한 실란, 디실란 등과 같이 탄소 또는 질소를 가지지 않는 실리콘 화합물들을 포함할 수 있을 것이다. 만약 증착된 산화물 필름이 도핑된 산화물 필름이라면, 다른 붕소 및 인의(phosphorous) 도펀트들 중에서도, TEB, TMB, B2H6, TEPO, PH3, P2H6, 및 TMP와 같은 도펀트 전구체들이 또한 이용될 수 있을 것이다.
질소가 라디칼 전구체 및 실리콘-함유 전구체 중 어느 하나 또는 양자 모두에 포함될 수 있을 것이다. 질소가 라디칼 전구체 내에 존재할 때, 이를 라디칼-질소 전구체라 지칭할 수 있을 것이다. 라디칼-질소 전구체는 플라즈마 내에서 보다 안정된 질소-함유 전구체를 여기시킴으로써 생성되는 플라즈마 유출물들(effluents)을 포함한다. 예를 들어, NH3 및/또는 히드라진(N2H4)을 포함하는 비교적 안정된 질소-함유 전구체가 챔버 플라즈마 영역 내에서 또는 프로세싱 챔버 외부의 원격 플라즈마 시스템(RPS) 내에서 활성화되어 라디칼-질소 전구체를 형성할 수 있으며, 이어서 상기 라디칼-질소 전구체는 플라즈마-프리 기판 프로세싱 영역으로 이송된다. 다른 실시예들에서, 안정된 질소 전구체는 또한, NH3 & N2, NH3 & H2, NH3 & N2 & H2, 및 N2 & H2를 포함하는 혼합물일 수 있다. 또한, N2 및 H2를 갖는 혼합물들 내의 NH3 대신에 또는 NH3와 조합하여 히드라진이 사용될 수 있다. 다른 실시예들에서, 안정된 질소 전구체의 유량은 약 200 sccm 또는 그 초과, 약 300 sccm 또는 그 초과, 약 500 sccm 또는 그 초과, 또는 약 700 sccm 또는 그 초과일 수 있을 것이다. 질소-함유 전구체들은 또한 N2O, NO, NO2 및 NH4OH일 수 있을 것이다. 생성된 라디칼-질소 전구체는 ·N, ·NH, ·NH2 등 중 하나 또는 둘 이상일 수 있고, 또한, 플라즈마에서 형성된 이온화된 종들이 수반될 수 있을 것이다. 다른 실시예들에서, 증착 기판(예를 들어, 반도체 웨이퍼) 상에 실리콘-및-질소 층을 증착하기 위해 전구체들이 혼합 및 반응되는 기판 프로세싱 영역으로부터 구획된 프로세싱 챔버의 섹션 내에서 라디칼-질소 전구체가 생성된다. 구획부는 샤워헤드로서 상호교환가능하게 지칭될 수 있을 것이다. 라디칼-질소 전구체가 또한 아르곤, 헬륨 등과 같은 캐리어 가스를 수반할 수 있을 것이다. 산소가 (O2 및/또는 O3의 형태로) 원격 플라즈마 영역 내로 동시에 전달되어 이러한 기술로 증착된 갭충진 층 또는 라이너 및 라디칼-질소 전구체 내의 산소 함량을 조정할 수 있을 것이다.
HDP-CVD와 같은 종래 기술의 갭충진 기술들로 생성된 유동성이 작은 필름들을 이용하여, 보이드들 또는 시임들을 형성하지 않고 트렌치들을 충진하기는 어려울 것이다. 그러한 트렌치들은 1:1 보다 상당히 더 큰(예를 들어, 5:1 또는 그 초과, 6:1 또는 그 초과, 7:1 또는 그 초과, 8:1 또는 그 초과, 9:1 또는 그 초과, 10:1 또는 그 초과, 11:1 또는 그 초과, 12:1 또는 그 초과, 등) 높이 대 폭(즉, H/W)의 종횡비(AR)를 규정하는, 높이 및 폭을 가질 수 있을 것이다. 많은 경우들에서, 높은 AR은 약 90 nm 내지 약 22 nm 또는 그 미만의 범위(예를 들어, 약 90 nm, 65 nm, 45 nm, 32 nm, 22 nm, 16 nm 등)의 작은 갭 폭들로 인한 것이다. 이러한 제한적인 기하 형상들은 통상적인 실리콘 질화물(Si3N4) 필름들의 증착에 의해서 완전하게 충진되지 않는다. 증착된 실리콘-및-질소 함유 필름은, 기판의 증착 표면 상의 좁은 갭들의 트렌치들 및 기타 구조물들 내로 유동될 수 있게 허용하는 유동가능한 특성들을 가진다. 층이 유동성을 가지기 때문에, 그 층은, 충진 재료의 중심 주위의 보이드들 또는 약한 시임들을 생성하지 않고, 고종횡비들을 가지는 갭들을 충진할 수 있다. 예를 들어, 증착되는 유동성 재료는, 갭을 완전히 충진하기 전에, 갭의 상단부를 조기에 막을 가능성이 적다. 이는 갭의 중간에 남아 있는 보이드들을 감소 또는 제거하는데 도움이 될 수 있을 것이다.
유동특성은, 적어도 부분적으로, 증착된 필름 내의 상당한 수소 성분 때문일 수 있을 것이다. 예를 들어, 증착된 필름은 실라잔-타입, Si-NH-Si 백본(backbone)(즉, Si-N-H 필름)을 가질 수 있다. 유동특성은 또한 실라잔 타입의 단쇄형(short chained) 폴리머들로부터 초래될 수 있을 것이다. 단쇄 폴리머들의 형성 및 유동특성을 허용할 수 있는 질소는 라디칼 전구체 또는 실리콘-함유 전구체로부터 기원할 수 있을 것이다. 실리콘 전구체 및 라디칼-질소 전구체 양자 모두가 탄소-프리인 경우에, 증착된 실리콘-및-질소 함유 필름이 또한 실질적으로 탄소-프리이다. 물론, "탄소-프리"는 반드시 필름에 극소량(trace amounts)의 탄소조차도 없다는 것을 의미하는 것은 아니다. 탄소 오염물들은, 증착된 실리콘-및-질소-함유 필름이 되는 전구체 재료들 내에서 존재할 수 있을 것이다. 그러나, 이러한 탄소 불순물들의 양은 탄소 부분(moiety)을 갖는 실리콘 전구체(예를 들어, TEOS, TMDSO 등)에서 발견될 수 있는 것보다 훨씬 더 적다.
실리콘-및-질소 함유 층의 증착에 이어서, 산소-함유 분위기(atmosphere)에 증착 기판이 노출될 수 있을 것이다(112). 산소-함유 분위기가 도입되는 경우에 반응 챔버에서 증착 기판이 유지될 수 있거나, 또는 산소-함유 분위기가 도입되는 다른 챔버로 기판이 이송될 수 있다. 산소-함유 분위기는, 다른 산소-함유 가스들 중에서도, 분자 산소(O2), 오존(O3), 수증기(H2O), 및 질소-산화물들(NO, NO2 등)과 같은 하나 또는 둘 이상의 산소 함유 가스들을 포함할 수 있다. 산소-함유 분위기는 또한, 원거리에서 생성될 수 있고 기판 챔버 내로 이송될 수 있는, 라디칼 산소 및 히드록실 종들, 예를 들어 원자 산소(O), 수산화물들(OH) 등을 포함할 수 있다. 산소-함유 종들의 이온들이 또한 존재할 수 있다.
실리콘-및-질소 함유 필름을 실리콘 산화물(SiO2) 필름으로 전환하기 위해, 산소-함유 분위기가 산소를 제공한다(112). 앞서 주지한 바와 같이, 실리콘-및-질소 함유 필름 내의 탄소의 부재는 최종 실리콘 산화물 필름에 형성된 상당히 더 적은 공극들을 초래한다. 증착으로부터 어닐링까지의 순수 수축은, 유동성 실리콘-및-산소-함유 필름을 초기에 증착하는 것과 대조적으로, 유동성 실리콘-및-질소-함유 필름을 증착하고 그리고 실리콘 산화물로 전환함으로써 감소된다. 전환 프로세스 동안에, 기판 온도는 약 25 ℃ 내지 약 1100 ℃ 범위일 수 있다(예를 들어, 약 200 ℃, 약 300 ℃, 약 400 ℃, 약 500 ℃, 약 600 ℃, 약 700 ℃, 약 800 ℃, 약 900 ℃, 약 1000 ℃, 등). 많은 경우들에서, 실리콘 산화물 수축의 결과로서 갭 내에 형성되는 시임들 또는 공간들을 충진, 치유 또는 다르게 해결하기 위한 사후 열처리를 피할 수 있을 정도로, 부피 감소가 충분히 작다(예를 들어, 약 15 부피% 또는 그 미만). 실시예에서, 전환이 2 부분들로 이루어질 수 있을 것이다. 2 부분 전환은 산화를 개시하기 위한 저온 오존 경화(예를 들어, 200 ℃ 내지 약 400 ℃) 및 이어지는 산소-함유 환경 내에서의 고온 어닐링을 포함할 수 있을 것이다. 이러한 작업들 동안에, 갭충진 층을 보다 완전하게 전환시키기 위해서, 산소-부화 라이너 층으로부터의 산소가 갭충진 실리콘-및-질소-함유 층 내로 확산된다. 그러나, 질소는 갭충진 층 내에 여전히 존재할 수 있을 것이고, 개시된 실시예들에서, 갭충진 재료는 본질적으로 실리콘 및 산소로 이루어진다.
도 1의 프로세스는, 실리콘-및-질소-함유 층의 제 1 증착 및 이어지는 상기 층의 실리콘 산화물로의 전환에 의해서 실리콘 산화물이 형성되는 프로세스를 기술하고 있다. 다른 실시예들에서, 증착되는 필름은 플라즈마에 의해서 직접적으로 여기되지 않은 실리콘-및-탄소-함유 전구체와 조합된 라디칼-산소 전구체에 의해서 생성된다. 이어서, 증착되는 필름은, 실리콘-및-질소-함유 필름을 포함하는 프로세스와 대비하여 후속 프로세싱 동안에 보다 많은 수축이 일어날 수 있는 실리콘-산소-및-탄소-함유 필름일 수 있다. 플라즈마를 통과하지 않는 예시적인 탄소-함유 전구체는 TMOS, TriMOS, TEOS, OMCTS, HMDS, TMCTR, TMCTS, OMTS, TMS, HMDSO 및/또는 TMDSO를 포함할 수 있을 것이다. 라디칼-산소 전구체는 플라즈마 내에서 산소-함유 전구체를 여기시킴으로써 생성된 플라즈마 유출물들을 포함하고 그리고 예시적인 산소-함유 전구체들이 O2, O3, N2O, NO, NO2, H2O2, H2O 및 NH4OH를 포함할 수 있을 것이다. 갭충진 실리콘-산소-및-탄소-함유 층의 증착에 앞서서 산화물-부화 라이너를 증착하는 것에 의해서, 표면 아래의(subsurface) 산소가 경화 동안에 제공되는 산소 분위기로부터 산소를 증대시킬 수 있다.
개시된 실시예들에서, 실시예들은 상이한 온도들 및 분위기들을 이용하여 경화 후의 복수의 어닐링 스테이지들을 포함할 수 있을 것이다. 예를 들어, 스팀(H2O)을 포함하는 분위기에서 더 낮은 제 1 온도로 제 1 가열 스테이지가 수행될 수 있는 한편, 실질적으로 수증기가 결핍된 건조 산소-함유 분위기에서 더 높은 제 2 온도로 제 2 가열 스테이지가 수행될 수 있다. 비-산소 함유 분위기(예를 들어, 건조 N2, He, Ar 등)에서 제 2 가열 스테이지가 또한 실시될 수 있다.
이제 본원에서 제시된 라이너 층들의 일반적인 성질들에 대해서 설명하면, 개시된 실시예들에 따른 산화물-부화 라이너 층들은, 후속하여 증착되는 유동성 필름이 나머지 갭 내로 유동되도록 허용하기 위해서, 목표 트렌치들의 폭의 절반 보다 더 얇다. 라이닝 층의 두께는, 다른 실시예들에서, 약 100 Å 또는 그 미만, 약 70 Å 또는 그 미만, 약 50 Å 또는 그 미만, 약 30 Å 또는 그 미만일 수 있을 것이다. 그러나, 산화물-부화 라이닝 층들은 경화 중에 재분배하기 위한 산소를 저장한다. 따라서, 두께는 갭충진 유전체 층 내의 산소 함량을 높이기 위해서 충분한 양의 산소를 저장하기에 충분하도록 두껍게 제조되어야 할 것이다. 산소 저장이 충분하도록 보장하기 위해서, 산화물-부화 라이너 내의 산소의 농도가 충분히 높아야 할 것이다.
산소-부화 라이너 층과 갭충진 층 사이의 인터페이스가 도 2(202) 및 3b(302)의 점선으로서 도시되어 있다. 경화 및 어닐링 후에 인터페이스가 본질적으로 제거될 수 있을 것인데, 이는, 재분배 시에, 산소 농도가 보다 균질해지기 시작하기 때문이다. 출원인은, 실시예들에서, 단면적인 SEM을 이용하여, 인터페이스가 탐지될 수 없다는 것을 발견하였다. 점선들(202 및 302)은 회복(curation)이 없는 경우에 인터페이스가 존재할 수 있는 곳을 보여준다. 도 3a는 라이너 층이 없는 상태에서의 유동성 CVD 갭충진 작업의 단면적인 SEM의 묘사를 도시하고, 도 3b는 라이너 층을 이용하는 상태에서의 동일한 증착을 도시한다. 산화물-부화 라이너 층의 존재하지 않을 때 증착된 층(304-1)의 갭충진 영역 내에서 공극들을 볼 수 있다(301). 다른 한편으로, 공극들 또는 인터페이스를 도 3b의 증착된 층(304-2)의 갭충진 영역 내에서 볼 수 없다.
원격 플라즈마 영역 내로의 전구체들의 유량들을 조정함으로써, 산화물-부화 라이너의 산소 함량이 갭충진 층의 산소 함량 보다 더 크게 만들어질 수 있을 것이다. 산화물-부화 라이너 층의 형성 동안에 원격 플라즈마 영역 내로의 산소(O2) 대 암모니아(NH3)의 예시적인 유량 비율이 약 2:1(약 4:1의 원자-산소-대-질소 비율과 균등함)일 수 있을 것이다. 갭충진 층의 증착 동안에 O2:NH3 의 유량 비율이 약 1:5(약 2:5의 원자-산소-대-질소 비율과 균등함)일 수 있을 것이다. 이러한 비율들은 라이너 및 갭충진 층들 내에서 발견될 수 있는 화학양론을 나타낸다. 개시된 실시예들에서, 라이너 원자-산소-대-질소 유량 비율이 갭충진 원자-산소-대-질소 유량 비율을 초과하며, 그에 따라 산화물-부화 라이너의 산소 함량이 갭충진 유전체 층의 산소 함량을 초과하게 된다. 다른 실시예에서, 라이너 원자-산소-대-질소 유량 비율은 갭충진 원자-산소-대-질소 유량 비율을 5 초과의 배수 만큼 초과한다.
유동성 필름들의 성장 동안에, 챔버 플라즈마 영역 또는 기판 프로세싱 영역 내의 압력이 약 100 Torr 또는 그 미만, 약 50 Torr 또는 그 미만, 약 20 Torr 또는 그 미만, 약 10 Torr 또는 그 미만, 또는 약 5 Torr 또는 그 미만일 수 있을 것이다. 다른 실시예들에서, 상기 영역들 중 어느 하나 또는 양 영역들 모두 내의 압력이 약 0.25 Torr 또는 그 초과, 약 0.5 Torr 또는 그 초과, 약 1 Torr 또는 그 초과, 약 2 Torr 또는 그 초과, 또는 약 5 Torr 또는 그 초과일 수 있을 것이다. 압력들의 하한선들의 각각이 임의의 상한선들과 조합되어 개시된 실시예들에 따른 적합한 압력들의 부가적인 범위들을 형성할 수 있을 것이다. 개시된 실시예들에서, (라디칼-산소 및/또는 라디칼-질소 전구체들을 생산하기 위한) 유동성 필름들의 성장 동안에 챔버 플라즈마 영역 내에 존재하는 플라즈마 조건들이 약 3000 W 내지 약 15,000 W, 약 400 W 내지 약 10,000 W, 또는 약 5000 W 내지 약 8000 W의 RF 전력을 포함할 수 있을 것이다.
(전술한 예들에서 질소 및 탄소를 포함하는) 실리콘-함유 필름들의 증착 중에 비교적 낮은 온도에서 기판 온도가 유지되는 동안에, 유동성 필름 성장이 진행될 수 있을 것이다. 유동성 산화물 필름은, 증착 동안에 기판을 냉각시킴으로써 유지되는 낮은 온도에서 기판 표면 상에서 증착될 수 있을 것이다. 받침대는 받침대 샤프트 내부의 가열 및/또는 냉각 도관들을 포함할 수 있을 것이고, 다른 실시예들에서, 그러한 도관들은 받침대 및 기판의 온도를 약 -40℃ 내지 약 200℃, 약 100℃ 내지 약 160℃, 약 100℃ 미만 또는 약 40℃ 미만으로 셋팅한다.
예시적인 기판 프로세싱 시스템
증착 시스템들의 실시예들은 집적 회로 칩들을 생성하기 위한 더 큰 제조 시스템들에 통합될 수 있다. 도 4는 개시된 실시예들에 따른 증착, 베이킹(bake), 및 경화 챔버들의 일 그러한 시스템(400)을 도시한다. 도면에서, FOUPs(front opening unified pods)(402)의 쌍은, 로봇 아암들(404)에 의해 수용되고, 웨이퍼 프로세싱 챔버들(408a-f) 중 하나 내로 배치되기 전에, 저압 홀딩 영역(406) 내로 배치되는 기판들(예를 들어, 300 mm 직경의 웨이퍼들)을 공급한다. 홀딩 영역(406)으로부터 프로세싱 챔버들(408a-f)로 기판 웨이퍼들을 이송하고, 그 반대로 이송하기 위해, 제 2 로봇 아암(410)이 사용될 수 있다.
프로세싱 챔버들(408a-f)은, 기판 웨이퍼 상에 유동성 유전체 필름을 증착, 어닐링, 경화, 및/또는 에칭하기 위한 하나 또는 둘 이상의 시스템 성분들을 포함할 수 있다. 일 구성에서, 기판 상에 유동성 유전체 재료를 증착하기 위해 프로세싱 챔버의 2개의 쌍들(예를 들어, 408c-d 및 408e-f)이 사용될 수 있으며, 증착된 유전체를 어닐링하기 위해 프로세싱 챔버들의 제 3의 쌍(예를 들어, 408a-b)이 사용될 수 있다. 다른 구성에서, 프로세싱 챔버들의 동일한 2개의 쌍들(예를 들어, 408c-d 및 408e-f)은 기판 상에 유동성 유전체 필름을 증착 및 어닐링 양자 모두를 행하도록 구성될 수 있는 한편, 증착된 필름의 UV 또는 E-빔 경화를 위해 챔버들의 제 3의 쌍(예를 들어, 408a-b)이 사용될 수 있다. 또 다른 구성에서, 챔버들의 모든 3개의 쌍들(예를 들어, 408a-f)이 기판 상에 유동성 유전체 필름을 증착 및 경화시키도록 구성될 수 있다. 또 다른 구성에서, 유동성 유전체의 증착 및 UV 또는 E-빔 경화 양자 모두를 위해 프로세싱 챔버들의 2개의 쌍들(예를 들어, 408c-d 및 408e-f)이 사용될 수 있는 한편, 유전체 필름을 어닐링하기 위해 프로세싱 챔버들의 제 3의 쌍(예를 들어, 408a-b)이 사용될 수 있다. 유동성 유전체 필름들을 위한 증착, 어닐링 및 경화 챔버들의 부가적인 구성들이 시스템(400)에 의해서 예측가능하다는 것을 이해할 수 있을 것이다.
또한, 프로세스 챔버들(408a-f) 중 하나 또는 둘 이상이 습식 처리 챔버로서 구성될 수 있다. 이들 프로세스 챔버들은 수분(moisture)을 포함하는 분위기에서 유동성 유전체 필름을 가열하는 것을 포함한다. 따라서, 증착된 유전체 필름에 대한 습식 및 건식 어닐들 양자 모두를 수행하기 위해, 시스템(400)의 실시예들은 습식 처리 챔버들(408a-b) 및 어닐 프로세싱 챔버들(408c-d)을 포함할 수 있다.
도 5a는 개시된 실시예들에 따른 기판 프로세싱 챔버(500)이다. 원격 플라즈마 시스템(RPS)(510)은 가스를 프로세싱할 수 있으며, 그 후, 그 가스는 가스 유입구 조립체(511)를 통해 이동한다. 가스 유입구 조립체(511) 내에서, 2개의 별개의 가스 공급 채널들이 보인다. 제 1 채널(512)이 원격 플라즈마 시스템 RPS(510)을 통과하는 가스를 운반하는 한편, 제 2 채널(513)은 RPS(500)를 우회한다(bypass). 개시된 실시예들에서, 프로세스 가스에 대해 제 1 채널(502)이 사용될 수 있고, 처리 가스에 대해 제 2 채널(513)이 사용될 수 있다. 사이에 절연 링(524)이 있는 리드(또는 전도성 상단부 부분)(521) 및 천공된 구획부(553)가 도시되며, 절연 링(524)은, 천공된 구획부(553)에 대한 리드(521)에 AC 전위가 인가되게 허용한다. 프로세스 가스는 제 1 채널(512)을 통해 챔버 플라즈마 영역(520) 내로 이동하고, 챔버 플라즈마 영역(520) 단일에서 또는 RPS(510)와 조합하여 플라즈마에 의해 여기될 수 있다. 여기서, 챔버 플라즈마 영역(520) 및/또는 RPS(510)의 조합은 원격 플라즈마 시스템이라고 지칭될 수 있다. 천공된 구획부(또한 샤워헤드라고 지칭됨)(553)는 샤워헤드(553) 아래의 기판 프로세싱 영역(570)으로부터 챔버 플라즈마 영역(520)을 분리시킨다. 샤워헤드(553)는, 여기된 종들로 하여금 챔버 플라즈마 영역(520)으로부터 기판 프로세싱 영역(570) 내로 이동하게 여전히 허용하면서, 챔버 플라즈마 영역(520) 내에 존재하는 플라즈마로 하여금 기판 프로세싱 영역(570) 내의 가스들을 직접적으로 여기시키는 것을 회피하게 허용한다.
샤워헤드(553)는, 챔버 플라즈마 영역(520)과 기판 프로세싱 영역(570) 사이에 위치되고, 챔버 플라즈마 영역(520) 내에서 생성된 플라즈마 유출물(effluent)들(전구체들 또는 다른 가스들의 여기된 유도체들)로 하여금 플레이트의 두께를 횡단하는 복수의 관통-홀들(556)을 통과하게 허용한다. 샤워헤드(553)는 또한, (실리콘-함유 전구체와 같은) 증기 또는 가스의 형태의 전구체로 충진될 수 있고, 작은 홀들(555)을 거쳐 기판 프로세싱 영역(570) 내로 통해 있지만 직접 챔버 플라즈마 영역(520) 내로 통해 있지 않은 하나 또는 둘 이상의 중공형(hollow) 부피들(551)을 갖는다. 이 개시된 실시예에서, 샤워헤드(553)는 관통-홀들(556)의 가장 작은 직경(550)의 길이보다 더 두껍다. 챔버 플라즈마 영역(520)으로부터 기판 프로세싱 영역(570)으로 침투하는 여기된 종들의 상당한 농도를 유지하기 위해, 샤워헤드(553) 도중에 관통-홀들(556)의 더 큰 직경 부분들을 형성함으로써, 관통-홀들의 가장 작은 직경(550)의 길이(526)가 제한될 수 있다. 개시된 실시예들에서, 관통-홀들(556)의 가장 작은 직경(550)의 길이는 관통-홀들(556)의 가장 작은 직경과 동일한 정도의 크기 또는 그 이하일 수 있다.
도시된 실시예에서, 샤워헤드(553)는, 챔버 플라즈마 영역(520) 내의 플라즈마에 의한 여기 시에, 산소, 수소, 및/또는 질소를 함유하는 프로세스 가스들, 및/또는 그러한 프로세스 가스들의 플라즈마 유출물들을 (관통-홀들(556)을 통해) 분배할 수 있다. 실시예들에서, 제 1 채널(512)을 통해 RPS(510) 및/또는 챔버 플라즈마 영역(520) 내로 도입된 프로세스 가스는, 산소(O2), 오존(O3), N2O, NO, NO2, NH3, N2H4를 포함하는 NxHy, 실란, 디실란, TSA, 및 DSA 중 하나 또는 둘 이상을 함유할 수 있다. RPS(510)를 통해서 유동하는 산소 대 질소의 비율은 산화물-부화 라이너 층의 증착 동안에 비교적 클 수 있고 그리고 갭충진 유전체 층의 증착 동안에 감소될 수 있을 것이다. 프로세스 가스는 또한, 헬륨, 아르곤, 질소(N2) 등과 같은 캐리어 가스를 포함할 수 있다. 제 2 채널(513)은 또한, 프로세스 가스 및/또는 캐리어 가스, 및/또는 성장하는 또는 증착된-그대로의(as-deposited) 필름으로부터 원하지 않는 성분을 제거하기 위해 사용되는 필름-경화 가스를 이송할 수 있다. 플라즈마 유출물들은, 프로세스 가스의 이온화된 또는 중성 유도체들을 포함할 수 있고, 또한, 여기서, 도입된 프로세스 가스의 원자 구성 요소들을 지칭하는, 라디칼-산소 전구체 및/또는 라디칼-질소 전구체라고 지칭될 수 있다.
실시예들에서, 관통-홀들(556)의 수는 약 60개 내지 약 2000개일 수 있다. 관통-홀들(556)은 다양한 형상들을 가질 수 있지만 원형으로 가장 쉽게 제조된다. 개시된 실시예들에서, 관통-홀들(556)의 가장 작은 직경(550)은 약 0.5 mm 내지 약 20 mm 또는 약 1 mm 내지 약 6 mm일 수 있다. 또한, 관통-홀들의 단면 형상을 선택하는 것이 자유로우며, 관통-홀들의 단면 형상은 원뿔형, 실린더형, 또는 2개의 형상들의 조합으로 이루어질 수 있다. 상이한 실시예들에서, 기판 프로세싱 영역(570) 내로 가스를 도입하기 위해 사용되는 작은 홀들(555)의 수는 약 100개 내지 약 5000개 또는 약 500개 내지 약 2000개일 수 있다. 작은 홀들(555)의 직경은 약 0.1 mm 내지 약 2 mm일 수 있다.
도 5b는 개시된 실시예들에 따른, 프로세싱 챔버와 함께 사용하기 위한 샤워헤드(553)의 저면도이다. 샤워헤드(553)는 도 5a에서 도시된 샤워헤드에 대응한다. 샤워헤드(553)의 하단부 상에서 더 큰 내경(ID)을 갖고 상단부에서 더 작은 ID를 갖는 관통-홀들(556)이 도시된다. 관통-홀들(556) 사이에서도 샤워헤드의 표면에 실질적으로 균등하게 작은 홀들(555)이 분배되며, 이는, 여기서 개시된 다른 실시예들보다 더 균등한 혼합을 제공하는 것을 돕는다.
샤워헤드(553) 내의 관통-홀들(556)을 통해 도달한 플라즈마 유출물들이 중공형 부피들(551)로부터 유래한 작은 홀들(555)을 통해 도달한 실리콘-함유 전구체와 결합하는 경우에, 기판 프로세싱 영역(570) 내의 받침대(미도시)에 의해 지지되는 기판 상에 예시적인 필름이 생성된다. 기판 프로세싱 영역(570)이 경화와 같은 다른 프로세스들에 대해 플라즈마를 지원하도록 갖추어질 수 있지만, 예시적인 필름의 성장 동안에 플라즈마가 존재하지 않는다.
샤워헤드(553) 위의 챔버 플라즈마 영역(520) 또는 샤워헤드(553) 아래의 기판 프로세싱 영역(570)에서, 플라즈마가 점화(ignite)될 수 있다. 증착 동안에 챔버 플라즈마 영역(520)에서 플라즈마를 점화하기 위해, 샤워헤드(553) 및 프로세싱 챔버의 전도성 상단부 부분(521) 사이에, 전형적으로 무선 주파수(RF) 범위 내의 AC 전압이 인가된다. 기판 프로세싱 영역(570)에 접하는 내부 표면들을 세정하거나 또는 필름을 경화시키기 위해, 기판 프로세싱 영역(570)에서 하단부 플라즈마가 턴온되는 경우에, 상단부 플라즈마는 낮은 전력으로 유지될 수 있거나 또는 전력을 갖지 않을 수 있다. 챔버의 받침대(pedestal) 또는 하단부와 샤워헤드(553) 사이에 AC 전압을 인가함으로써, 기판 프로세싱 영역(570)에서 플라즈마가 점화된다. 플라즈마가 존재하는 동안에, 기판 프로세싱 영역(570) 내로 세정 가스가 도입될 수 있다.
시스템 제어기에 의해 기판 프로세싱 시스템이 제어된다. 예시적인 실시예에서, 시스템 제어기는 하드 디스크 드라이브, 플로피 디스크 드라이브, 및 프로세서를 포함한다. 프로세서는 단일-보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들, 및 스텝퍼 모터 제어기 보드들을 포함한다. CVD 시스템의 다양한 부분들은, 보드, 카드 케이지, 및 커넥터 치수들 및 타입들을 정의하는 VME(Versa Modular European) 표준에 따른다. VME 표준은 또한, 16-비트 데이터 버스 및 24-비트 어드레스 버스를 갖는 것으로서 버스 구조를 규정한다.
시스템 제어기는 CVD 머신의 모든 활동(activity)들을 제어한다. 시스템 제어기는 시스템 제어 소프트웨어를 실행하며, 시스템 제어 소프트웨어는 컴퓨터 판독가능 매체에 저장된 컴퓨터 프로그램이다. 바람직하게는, 매체는 하드 디스크 드라이브이지만, 매체는 또한 다른 종류들의 메모리일 수 있다. 컴퓨터 프로그램은 특정한 프로세스의, 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨들, 서셉터 위치, 및 다른 파라미터들을 지시하는 명령들의 세트들을 포함한다. 예를 들어 플로피 디스크 또는 다른 또 다른 적절한 드라이브를 포함하는 다른 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 또한 시스템 제어기에 명령하기 위해 사용될 수 있다.
시스템 제어기에 의해 실행되는 컴퓨터 프로그램 물건을 사용하여, 기판 상에 필름 스택을 증착하기 위한 프로세스 또는 챔버를 세정하기 위한 프로세스가 구현될 수 있다. 컴퓨터 프로그램 코드는, 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 등으로 기록될 수 있다. 적합한 프로그램 코드는, 종래의 텍스트 편집기를 사용하여 단일 파일 또는 복수의 파일들로 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능 매체에 저장되거나 또는 임베딩된다. 입력된 코드 텍스트가 높은 레벨의 언어로 이루어진 경우에, 코드가 컴파일링되고, 그 후, 결과의 컴파일러 코드는 프리컴파일링된(precompiled) Microsoft Windows®라이브러리 루틴들의 목적 코드(object code)와 링크된다. 링크된 컴파일링된 목적 코드를 실행하기 위해, 시스템 사용자는 목적 코드를 호출(invoke)하여, 컴퓨터 시스템이 메모리에서 코드를 로딩하게 한다. 그 후, 프로그램에서 식별된 과제들(tasks)을 수행하기 위해, CPU는 코드를 판독 및 실행한다.
사용자와 제어기 사이의 인터페이스는 평면 터치-감응 모니터를 통한다. 바람직한 실시예에서, 2개의 모니터들이 사용되는데, 운영자들을 위해 세정 룸 벽에 하나가 장착되고, 서비스 기술자들을 위해 벽 뒤에 다른 하나가 장착된다. 2개의 모니터들은 동일한 정보를 동시에 디스플레이할 수 있으며, 그 경우에, 한번에 하나의 모니터만이 입력을 수용한다. 특정한 스크린 또는 기능을 선택하기 위해, 운영자는 터치-감응 모니터의 지정된 영역을 터치한다. 터치된 영역이 그 터치된 영역의 하이라이트된 컬러를 변화시키거나, 또는 새로운 메뉴 또는 스크린이 디스플레이되어, 운영자와 터치-감응 모니터 사이의 통신을 확인한다. 사용자로 하여금 시스템 제어기와 통신하게 허용하기 위해, 터치-감응 모니터 대신에 또는 터치-감응 모니터에 부가하여, 키보드, 마우스, 또는 다른 포인팅 또는 통신 디바이스와 같은 다른 디바이스들이 사용될 수 있다.
챔버 플라즈마 영역을 채용하는 실시예들에서, 여기된 플라즈마 유출물들이 증착 영역으로부터 구획된 기판 프로세싱 영역의 섹션 내에서 생성되며, 그러한 섹션에서 플라즈마 유출물들이 탄소-프리 실리콘-함유 전구체와 혼합 및 반응되어 실리콘-및-질소 층을 증착 기판(예를 들어, 반도체 웨이퍼) 상에 증착한다. 여기된 플라즈마 유출물들은 또한 불활성 가스들(예시적인 경우에, 아르곤)을 수반한다. 실시예들에서, 탄소-프리 실리콘-함유 전구체는 기판 플라즈마 영역으로 도입되기 전에 플라즈마를 통과하지 않는다. 라디칼-질소 전구체는 원격 플라즈마 영역에서 생성되고, 기판 프로세싱 영역 내로 이동하며, 그러한 기판 프로세싱 영역에서, 라디칼-질소 전구체에 의해 실리콘-함유 전구체가 여기된다. 실시예들에서, 라디칼-질소 전구체에 의해서만 실리콘-함유 전구체가 여기된다. 실시예들에서, 라디칼-질소 전구체가 실리콘-함유 전구체에 지배적인(predominant) 여기를 제공하는 것을 보장하기 위해, 본질적으로, 원격 플라즈마 영역에만 플라즈마 전력이 인가될 수 있다. 본원에서, 기판 프로세싱 영역은 실리콘-및-질소-함유 층의 성장 동안에 그리고 저온 오존 경화 동안에 "플라즈마-프리"로서 설명될 수 있다. "플라즈마-프리"는 반드시 플라즈마가 없는 영역을 의미하는 것은 아니다. 플라즈마 영역 내에서 생성된 이온화된 종들 및 프리 전자들이 구획부(샤워헤드) 내의 공극들(개구들(apertures))을 통해 이동하지만, 탄소-프리 실리콘-함유 전구체가 플라즈마 영역에 인가된 플라즈마 전력에 의해 실질적으로 여기되지 않는다. 챔버 플라즈마 영역 내에서 플라즈마의 경계들은 한정하기 어렵고, 샤워헤드 내의 개구들을 통해 기판 프로세싱 영역을 침범할 수 있다. 유도-결합형 플라즈마의 경우에서, 기판 프로세싱 영역 내에서 직접적으로 소량의 이온화가 실시될 수 있다. 또한, 형성하는 필름의 바람직한 피쳐들을 제거하지 않으면서, 기판 프로세싱 영역에서 낮은 강도의 플라즈마가 생성될 수 있다. 여기된 플라즈마 유출물들의 생성 동안에 챔버 플라즈마 영역(또는 실제로는 원격 플라즈마 영역)보다 훨씬 더 낮은 강도의 이온 밀도를 갖는 플라즈마에 대한 모든 원인들은, 여기서 사용되는 "플라즈마-프리"의 범위로부터 벗어나지 않는다.
여기서 사용되는 바와 같이, "기판"은, 층들이 위에 형성되거나 또는 형성되지 않은 지지 기판일 수 있다. 지지 기판은 다양한 도핑 농도들 및 프로파일들의 절연체 또는 반도체일 수 있고, 예를 들어, 집적 회로들의 제조에서 사용되는 타입의 반도체 기판일 수 있다. "실리콘 산화물"의 층은 실리콘-및-산소-함유 재료에 대한 약칭으로서 사용되고, 실리콘-및-산소-함유 재료와 교환 가능하게 사용된다. 따라서, 실리콘 산화물은 질소, 수소, 탄소 등과 같은 다른 원소 구성 요소들의 농도들을 포함할 수 있다. 몇몇 실시예들에서, 실리콘 산화물은 본질적으로 실리콘 및 산소로 구성된다. "전구체"라는 용어는, 기판으로부터 재료를 제거하거나 또는 기판 상에 재료를 증착하기 위한 반응에 어느 정도 참여하는 임의의 프로세스 가스를 지칭하기 위해 사용된다. "여기된 상태"에 있는 가스는, 가스 분자들의 적어도 일부가 진동-여기된, 해리된, 및/또는 이온화된 상태들에 있는 가스를 설명한다. "가스"(또는 "전구체")가 2 또는 3 이상의 가스들(또는 "전구체들")의 조합일 수 있고 그리고 통상적으로 액체 또는 고체이나 다른 "캐리어 가스들"과 함께 일시적으로 이송되는 기판들을 포함할 수 있을 것이다. "라디칼 전구체"는, 표면으로부터 재료를 제거하거나 또는 표면 상에 재료를 증착하기 위한 반응에 참가하는 플라즈마 유출물들(플라즈마에 존재하는 여기된 상태에 있는 가스)을 설명하기 위해 사용된다. "라디칼-질소 전구체"는 질소를 함유하는 라디칼 전구체이고 그리고 "라디칼-수소 전구체"는 수소를 함유하는 라디칼 전구체이다. "불활성 가스"라는 문구는 에칭시에 또는 필름으로 통합되는 경우에 화학적 결합들을 형성하지 않는 임의의 가스를 지칭한다. 예시적인 불활성 가스들은 영족 가스들(noble gases)을 포함하지만, (전형적으로) 필름 내에 소량이 포획되는 경우에 화학적 결합들이 형성되지 않는 한, 다른 가스들을 포함할 수 있다.
"트렌치"라는 용어는, 에칭된 기하 형상이 큰 수평 종횡비를 갖는다는 암시 없이 명세서 전반에 걸쳐 사용된다. 표면 위에서 보면, 트렌치들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형상들을 나타낼 수 있다. "비아"라는 용어는, 수직한 전기 접속부를 형성하기 위해, 금속으로 충진될 수 있거나 또는 충진되지 않을 수 있는 (위에서 부터 볼 때와 같은) 낮은 종횡비 트렌치를 지칭하기 위해 사용된다. 여기서 사용되는 바와 같이, 등각(conformal) 층은 표면과 동일한 형상인, 표면 상의 재료의 일반적으로 균일한 층을 지칭하며, 즉, 층의 표면과 커버되는 표면이 일반적으로 평행하다. 당업자는, 증착된 재료가 거의 100% 등각적일 수 없고, 따라서 "일반적으로"라는 용어가 수용 가능한 허용 오차들을 허용하는 것을 인지할 것이다.
여러 실시예들을 설명하였지만, 본 발명의 사상으로부터 벗어나지 않으면서, 다양한 변형들, 대안적인 구성들, 및 등가들이 사용될 수 있다는 것이 당업자에 의해 인지될 것이다. 부가적으로, 본 발명을 불필요하게 불명료하게 하는 것을 회피하기 위해, 복수의 공지된 프로세스들 및 요소들이 설명되지 않았다. 따라서, 상기 설명이 본 발명의 범위를 한정하는 것으로서 취해지지 않아야 한다.
수치 범위가 주어진 경우, 그러한 수치 범위의 상한들과 하한들 사이에 존재하는 각각의 값은, 달리 명백히 표시되어 있지 않는 한 하한의 단위의 소수점 이하 추가 한 자리까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값 또는 그 범위에 속하는 값과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 본 발명에 포함된다. 이러한 소범위의 상한들과 하한들은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상한과 하한 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지 그러한 소범위에서 제외되든지 간에, 임의의 한계값이 명시된 범위에서 구체적으로 제외된 것이 아닌 한, 또한 본 발명에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 본 발명에 포함된다.
여기서 및 첨부된 청구항들에서 사용된 바와 같이, 단수 형태들("a", "an", 및 "the")는, 문맥이 명확히 달리 기술하고 있지 않는 한, 복수의 언급 대상들을 포함한다. 따라서, 예를 들어, "프로세스"에 대한 언급은 복수의 그러한 프로세스들을 포함하고, "전구체"에 대한 언급은 하나 또는 둘 이상의 전구체 및 당업자에게 알려져 있는 전구체의 균등물들에 대한 언급을 포함하고, 기타 등등의 경우도 마찬가지다.
또한, "포함한다(comprise)", "포함하는(comprising)", "구비한다(include)", "구비하는(including)" 및 "구비한다(includes)"라는 단어들은, 본 명세서에서 그리고 이하의 청구항들에서 사용되는 경우에, 기술된 특징들, 정수들, 성분들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들이 하나 또는 둘 이상의 다른 특징들, 정수들, 성분들, 단계들, 동작들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (15)

  1. 트렌치를 포함하는 패터닝된 기판 상에 실리콘 산화물 층을 형성하는 방법으로서:
    상기 기판을 기판 프로세싱 챔버 내로 이송하는 단계;
    상기 트렌치를 포함하는 상기 기판 상에 산소-부화(oxygen-rich) 라이너 층을 형성하는 단계;
    상기 기판 상에 그리고 상기 트렌치 내에 갭충진 유전체 층을 형성하는 단계로서, 상기 갭충진 유전체 층은 산소-부화 라이너 층 보다 더 낮은 산소 함량을 가지고 그리고 상기 갭충진 유전체 층은 형성 동안 유동성을 가지는, 갭충진 유전체 층을 형성하는 단계; 그리고
    상기 산소-부화 라이너 층으로부터 상기 갭충진 유전체 층으로 산소의 일부를 이송하기 위해서, 높은(elevated) 온도에서 상기 갭충진 유전체 층을 경화시키는 단계를 포함하는,
    실리콘 산화물 층을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 산소-부화 라이너 층이 상기 갭충진 유전체 층 보다 더 등각적인(conformal),
    실리콘 산화물 층을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 갭충진 유전체 층이 상기 트렌치를 실질적으로 충진하는,
    실리콘 산화물 층을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 갭충진 유전체 층을 형성하는 단계가:
    플라즈마 유출물들을 형성하기 위해서 갭충진 플라즈마 전구체를 원격 플라즈마 영역 내로 유동시키는 단계; 및
    상기 갭충진 유전체 층을 형성하기 위해서 상기 기판 프로세싱 영역 내에서 상기 플라즈마 유출물들을 실리콘-함유 전구체의 유동과 조합하는 단계를 포함하고,
    상기 실리콘-함유 전구체가 플라즈마 전력의 인가에 의해서 직접적으로 여기되지 않고 그리고 상기 갭충진 유전체 층이 실리콘-및-산소 함유 층인,
    실리콘 산화물 층을 형성하는 방법.
  5. 제 4 항에 있어서,
    상기 산화물-부화 라이너 층을 형성하는 단계가:
    플라즈마 유출물들을 형성하기 위해서 라이너 플라즈마 전구체를 원격 플라즈마 영역 내로 유동시키는 단계; 및
    상기 산소-부화 라이너 층을 형성하기 위해서 상기 기판 프로세싱 영역 내에서 상기 플라즈마 유출물들을 실리콘-함유 전구체의 유동과 조합하는 단계를 포함하고,
    상기 실리콘-함유 전구체가 플라즈마 전력의 인가에 의해서 직접적으로 여기되지 않고 그리고 상기 갭충진 유전체 층이 실리콘-및-산소 함유 층인,
    실리콘 산화물 층을 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 갭충진 플라즈마 전구체를 유동시키는 작업은 산소 및 질소를 갭충진 원자-산소-대-질소 유량 비율로 상기 원격 플라즈마 영역으로 유동시키는 단계를 포함하는,
    실리콘 산화물 층을 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 라이너 플라즈마 전구체를 유동시키는 작업은 산소 및 질소를 라이너 원자-산소-대-질소 유량 비율로 상기 원격 플라즈마 영역으로 유동시키는 단계를 포함하는,
    실리콘 산화물 층을 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 라이너 원자-산소-대-질소 유량 비율이 상기 갭충진 원자-산소-대-질소 유량 비율을 초과하여, 상기 산화물-부화 라이너의 산소 함량이 상기 갭충진 유전체 층의 산소 함량을 초과하는,
    실리콘 산화물 층을 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 라이너 원자-산소-대-질소 유량 비율은 상기 갭충진 원자-산소-대-질소 유량 비율을 5 초과의 배수 만큼 초과하는,
    실리콘 산화물 층을 형성하는 방법.
  10. 제 4 항에 있어서,
    상기 실리콘-함유 전구체가 실리콘-및-질소-함유 전구체를 포함하고 그리고 상기 플라즈마 유출물들이 라디칼-질소 전구체를 포함하는,
    실리콘 산화물 층을 형성하는 방법.
  11. 제 10 항에 있어서,
    상기 실리콘-및-질소 함유 전구체가 H2N(SiH3), HN(SiH3)2, 및 N(SiH3)3 중 적어도 하나를 포함하고, 그리고 상기 플라즈마 전구체가 NH3, NH4OH, N2O, NO, NO2, N2 및 H2 중 적어도 하나를 포함하는,
    실리콘 산화물 층을 형성하는 방법.
  12. 제 4 항에 있어서,
    상기 원격 플라즈마 영역이 상기 기판 프로세싱 챔버 내에 위치되고 그리고 샤워헤드에 의해서 상기 기판 프로세싱 챔버로부터 분리되는,
    실리콘 산화물 층을 형성하는 방법.
  13. 제 1 항에 있어서,
    상기 갭충진 유전체 층을 경화시키는 작업이 상기 갭충진 유전체 층을 높은 온도에서 오존-함유 분위기에 노출시키는 단계를 포함하는,
    실리콘 산화물 층을 형성하는 방법.
  14. 제 13 항에 있어서,
    상기 갭충진 유전체 층을 경화시키는 작업은 상기 높은 온도 보다 더 높은 어닐링 온도에서 O2, O3, 및 H2O 중 적어도 하나를 포함하는 산소-함유 분위기에 상기 갭충진 유전체 층을 후속하여 노출시키는 단계를 더 포함하는,
    실리콘 산화물 층을 형성하는 방법.
  15. 제 1 항에 있어서,
    상기 트렌치가 약 50 nm 또는 그 미만의 폭을 가지는,
    실리콘 산화물 층을 형성하는 방법.
KR1020137004864A 2010-07-30 2011-07-15 유동가능한 cvd 갭 충진을 위한 산화물­부화 라이너 층 KR101847593B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36935210P 2010-07-30 2010-07-30
US61/369,352 2010-07-30
US13/153,016 2011-06-03
US13/153,016 US8318584B2 (en) 2010-07-30 2011-06-03 Oxide-rich liner layer for flowable CVD gapfill
PCT/US2011/044219 WO2012015610A2 (en) 2010-07-30 2011-07-15 Oxide-rich liner layer for flowable cvd gapfill

Publications (2)

Publication Number Publication Date
KR20130093103A true KR20130093103A (ko) 2013-08-21
KR101847593B1 KR101847593B1 (ko) 2018-05-24

Family

ID=45530654

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137004864A KR101847593B1 (ko) 2010-07-30 2011-07-15 유동가능한 cvd 갭 충진을 위한 산화물­부화 라이너 층

Country Status (7)

Country Link
US (1) US8318584B2 (ko)
JP (1) JP2013533639A (ko)
KR (1) KR101847593B1 (ko)
CN (1) CN103038868A (ko)
SG (1) SG187043A1 (ko)
TW (1) TW201209920A (ko)
WO (1) WO2012015610A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190130466A (ko) * 2017-04-13 2019-11-22 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법 및 장치
US11476419B2 (en) 2019-08-16 2022-10-18 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a low-k dielectric material layer

Families Citing this family (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
KR102326396B1 (ko) 2013-09-27 2021-11-12 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물
US9184089B2 (en) 2013-10-04 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
CN104733390B (zh) * 2013-12-20 2018-06-26 台湾积体电路制造股份有限公司 用于FinFET阱掺杂的机制
US9219006B2 (en) 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
KR102141670B1 (ko) 2014-01-29 2020-08-05 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105489605B (zh) * 2014-10-13 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
TWI670756B (zh) 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
IL260069B2 (en) * 2015-12-21 2024-02-01 Versum Mat Us Llc Preparations and methods using them for depositing a silicon-containing layer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11270995B2 (en) * 2017-03-05 2022-03-08 Intel Corporation Isolation in integrated circuit devices
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR20230162158A (ko) * 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
KR102269470B1 (ko) * 2017-04-04 2021-06-24 어플라이드 머티어리얼스, 인코포레이티드 실리콘 갭충전을 위한 2-단계 프로세스
CN110476239B (zh) * 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP7168586B2 (ja) 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN110892505B (zh) * 2017-07-12 2023-05-16 应用材料公司 用于硅间隙填充的循环保形沉积/退火/蚀刻
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10535550B2 (en) 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) * 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
SG11202006237RA (en) 2018-02-22 2020-09-29 Applied Materials Inc Method for processing a mask substrate to enable better film quality
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200043722A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11756786B2 (en) 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20220375747A1 (en) * 2021-05-20 2022-11-24 Applied Materials, Inc. Flowable CVD Film Defect Reduction
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
DE10196026B4 (de) 2000-04-04 2011-02-10 Asahi Kasei Kabushiki Kaisha Überzugs-Zusammensetzung, dünner Film, zur Verwendung des dünnen Films und Verfahren zur Herstellung eines dünnen porösen Kieselsäure-Films
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) * 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
JP2007528640A (ja) 2003-12-17 2007-10-11 セドラエウス インコーポレーテッド ランダムベースの意志決定プロセスを使用する方法
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP4678304B2 (ja) 2004-02-17 2011-04-27 東亞合成株式会社 シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
EP1751325A4 (en) 2004-06-04 2009-05-13 Applied Microstructures Inc STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP5110783B2 (ja) * 2004-10-28 2012-12-26 ルネサスエレクトロニクス株式会社 半導体装置
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
WO2007008653A2 (en) 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
TWI366876B (en) * 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090096055A1 (en) * 2007-10-16 2009-04-16 Texas Instruments Incorporated Method to form cmos circuits with sub 50nm sti structures using selective epitaxial silicon post sti etch
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
JP4886021B2 (ja) * 2008-12-16 2012-02-29 エルピーダメモリ株式会社 半導体装置及びその製造方法
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190130466A (ko) * 2017-04-13 2019-11-22 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법 및 장치
US11476419B2 (en) 2019-08-16 2022-10-18 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a low-k dielectric material layer

Also Published As

Publication number Publication date
TW201209920A (en) 2012-03-01
KR101847593B1 (ko) 2018-05-24
WO2012015610A2 (en) 2012-02-02
WO2012015610A3 (en) 2012-04-26
JP2013533639A (ja) 2013-08-22
US8318584B2 (en) 2012-11-27
SG187043A1 (en) 2013-02-28
US20120142192A1 (en) 2012-06-07
CN103038868A (zh) 2013-04-10

Similar Documents

Publication Publication Date Title
KR101847593B1 (ko) 유동가능한 cvd 갭 충진을 위한 산화물­부화 라이너 층
US8647992B2 (en) Flowable dielectric using oxide liner
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
US8629067B2 (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US7935643B2 (en) Stress management for tensile films
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
US8980382B2 (en) Oxygen-doping for non-carbon radical-component CVD films
US8329262B2 (en) Dielectric film formation using inert gas excitation
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
KR20120125623A (ko) 라디칼-성분 cvd를 위한 인­시츄 오존 경화
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
WO2012166618A2 (en) Capping layer for reduced outgassing

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant