CN112640065A - 用于蚀刻用于半导体应用的结构的方法 - Google Patents

用于蚀刻用于半导体应用的结构的方法 Download PDF

Info

Publication number
CN112640065A
CN112640065A CN201980057814.XA CN201980057814A CN112640065A CN 112640065 A CN112640065 A CN 112640065A CN 201980057814 A CN201980057814 A CN 201980057814A CN 112640065 A CN112640065 A CN 112640065A
Authority
CN
China
Prior art keywords
conductive layer
substrate
gas
flow rate
gas mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980057814.XA
Other languages
English (en)
Inventor
姜浩
任河
陈浩
梅裕尔·B·奈克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112640065A publication Critical patent/CN112640065A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/477Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本公开内容的实施方式提供用于形成和图案化设置在基板上的膜堆叠中的特征的方法和设备。在一个实施方式中,一种用于图案化基板上的导电层的方法包括以下步骤:以第一流量供应包括含氯气体的气体混合物,以蚀刻设置在所述基板上的第一导电层;将第一气体混合物中的所述含氯气体降低到低于所述第一流量的第二流量,以继续蚀刻所述第一导电层;和将所述第一气体混合物中的所述含氯气体增加到大于所述第二流量的第三流量,以从所述基板移除所述第一导电层。

Description

用于蚀刻用于半导体应用的结构的方法
技术领域
本公开内容的实例大体涉及用于在膜堆叠中形成结构的沉积和蚀刻工艺。详细而言,本公开内容的实施方式提供了用于多蚀刻工艺的方法,所述多蚀刻工艺用于在半导体应用中在具有不同材料的膜堆叠中形成特征。
背景技术
在制造集成电路(IC)或芯片时,芯片设计者创造表示不同芯片层的图案。从这些图案产生一系列可重复使用的掩模或光掩模以在制造工艺期间将每个芯片层的设计转移到半导体基板上。掩模图案产生系统使用精确的激光或电子束来将每个芯片层的设计成像到相应的掩模上。接着很像照相底片地将掩模用来将每个层的电路图案转移到半导体基板上。这些层是使用一连串的工艺来建立的,且被转换成构成每个完整芯片的微小晶体管和电路。因此,掩模中的任何缺陷都可能转移到芯片,从而可能不利地影响性能。足够严重的缺陷可能使得掩模完全无用。一般而言,15个到100个一组的掩模被用来构造芯片,且可以重复使用。
随着临界尺寸(CD)的缩小,目前的光刻技术接近45纳米(nm)技术节点的技术极限。预期下一代光刻技术(NGL)例如在20nm及更为超越的技术节点中替换传统的光刻法。通过高精确度光学系统将图案化的掩模的图像投射到基板表面上,所述基板表面涂覆有一层光刻胶。接着在复杂的化学反应及随后发生的制造步骤(诸如显影、曝光后烘烤(post-exposure bake)、以及湿式或干式蚀刻)之后将图案形成于基板表面上。
已经广泛地使用多种沉积和蚀刻工艺来在基板上形成具有不同材料的结构以增强特征密度和准确度。然而,随着电性能需求不断推向极限,通常在结构中使用具有不同性质的不同材料以满足性能需求。然而,通常难以实现不同材料之间的高蚀刻选择性。不良的蚀刻选择性通常造成变形的轮廓、高度损失、或由蚀刻工艺造成的其他缺陷。轮廓的此类变形已显著影响了临界尺寸(CD)控制和向装置结构中的靶材的特征转移。其结果是,不希望得到的结构轮廓和不准确的生成尺寸导致装置性能的早期失效。
因此,需要用于在膜堆叠中的不同材料之间以高选择性执行蚀刻工艺以在基板上形成结构的设备和方法。
发明内容
本公开内容的实施方式提供用于蚀刻具有不同材料的结构以用于半导体应用的方法和设备。在一个实施方式中,一种用于图案化基板上的导电层的方法包括以下步骤:以第一流量(flow rate)供应包括含氯气体的气体混合物,以蚀刻设置在所述基板上的第一导电层;将第一气体混合物中的所述含氯气体降低到低于所述第一流量的第二流量,以继续蚀刻所述第一导电层;和将所述第一气体混合物中的所述含氯气体增加到大于所述第二流量的第三流量,以从所述基板移除所述第一导电层。
在另一个实施方式中,一种用于图案化基板上的导电层的方法包括以下步骤:在基板上执行氢处理工艺以移除所述基板上的残余物;通过以下步骤来执行蚀刻工艺:供应包括含氯气体的蚀刻气体混合物以蚀刻所述基板上的第一导电层,直到第二导电层在所述基板上暴露为止;和在约5巴与100巴之间的工艺压力下执行后退火工艺。
在又一个实施方式中,一种用于图案化基板上的导电层的方法包括以下步骤:通过氢处理工艺从基板上移除残余物,其中这些残余物包括有机材料,其中所述基板包括具有设置在第二导电层上的第一导电层;通过以下步骤来蚀刻所述第一导电层:供应包括含氯气体的蚀刻气体混合物,直到第二导电层在所述基板上暴露为止,其中在蚀刻所述第一导电层的同时,将所述蚀刻气体混合物中的所述含氯气体维持从第一流量到第二流量且进一步到第三流量;和在约5巴与100巴之间的工艺压力下执行后退火工艺,以热处理所述基板上的所述第二导电层。
附图说明
可以通过参照本公开内容的实施方式来获得上文所简要概述的本公开内容的更详细说明以及获得本公开内容的上述特征及可以用来详细了解本公开内容的上述特征的方式,附图中绘示了这些实施方式。
图1是根据本公开内容的一个或更多个实施方式的处理腔室的示意性截面图,所述处理腔室被配置为执行蚀刻工艺;
图2是根据一些实施方式的处理腔室的简化正面截面图;
图3是根据本公开内容的一个或更多个实施方式用于图案化基板上的材料层的方法的流程图;和
图4A-4B、5A-5B、6A-6B、7A-7B、8A-8B、9A-9B、和10A-10B绘示在图3的蚀刻工艺期间形成于基板上的结构的预期图和截面图。
为了促进理解,已尽可能使用相同的参考标号来标示各图共有的相同元件。预期可以将一个实施方式的元件和特征有益地并入其他实施方式,而无需进一步详述。
然而,要注意,附图仅绘示本公开内容的示例性实施方式,且因此不应将这些附图视为本公开内容的范围的限制,因为本公开内容可以允许其他同等有效的实施方式。
具体实施方式
提供了用于在膜堆叠中用所需的小尺寸图案化特征和制造纳米结构的方法。这些方法利用具有高蚀刻选择性的蚀刻工艺来蚀刻膜堆叠中的某些材料,而不会实质损伤膜堆叠中的其他材料。在一个实例中,所述蚀刻工艺包括几个程序或步骤。例如,执行包括残余物移除工艺、主蚀刻工艺、和后退火工艺的三步骤工艺,以蚀刻膜堆叠中的导电层。此外,所述主蚀刻工艺进一步包括穿通(breaking-through)工艺、主体(bulk)蚀刻工艺、和残余物清洁工艺。这些多个工艺可以高效地蚀刻膜堆叠中的靶材(诸如导电层),而不会实质腐蚀膜堆叠中的其他材料。
如本文中所使用的术语“基板”指的是充当用于后续处理操作的基底的材料层,且包括待清洁的表面。例如,所述基板可包括一种或更多种材料,所述一种或更多种材料包含含硅材料、含IV族或III-V族化合物,诸如Si、多晶硅、非晶硅、氮化硅、氮氧化硅、氧化硅、Ge、SiGe、GaAs、InP、InAs、GaAs、GaP、InGaAs、InGaAsP、GaSb、InSb和类似者,或上述项目的组合。此外,基板也可以包括介电材料,诸如二氧化硅、有机硅酸盐、和掺碳氧化硅。基板也可以包括一种或更多种导电金属,诸如镍、钛、铂、钼、铼、锇、铬、铁、铝、铜、钨、或上述项目的组合。进一步地,取决于应用,基板可包括任何其他的材料,诸如金属氮化物、金属氧化物、和金属合金。在一个或更多个实施方式中,基板可以形成接触结构、金属硅化物层、或包括栅极介电层和栅极电极层的栅极结构以促进与随后形成在所述基板上的互连特征(诸如插头、过孔、触点、接线、和导线)连接,或半导体装置中所利用的合适结构。
此外,基板不限于任何特定的尺寸或形状。基板可以是具有200mm直径、300mm直径、450mm直径、或其他直径的圆形晶片。基板也可以是任何多边形、方形、矩形、弯曲的或其他非圆形的工件,诸如用于制造平板显示器的多边形玻璃、塑胶基板。
图1是适于在处理腔室100中蚀刻设置在基板400上的材料层的示例性处理腔室100的简化剖视图。示例性处理腔室100适于执行图案化工艺。可以调适为受益于本公开内容的处理腔室100的一个实例是可从位于加州(California)圣克拉拉市(Santa Clara)的应用材料公司(Applied Materials,Inc.)取得的
Figure BDA0002961422350000041
蚀刻处理腔室。预期可以将其他的工艺腔室(包括来自其他制造商的那些工艺腔室)调适为实行本公开内容的实施方式。
等离子体处理腔室100包括腔室主体105,所述腔室主体具有界定在所述腔室主体中的腔室容积101。腔室主体105具有耦接至接地126的侧壁112和底部118。侧壁112具有衬垫115以保护侧壁112并延长等离子体处理腔室100的维修周期之间的时间。腔室主体105以及等离子体处理腔室100的相关部件的尺寸是不受限制的,且一般在比例上大于要在其中处理的基板400的尺寸。基板尺寸的实例包括200mm直径、250mm直径、300mm直径、和450mm直径等等。
腔室主体105支撑腔室盖组件110以包封腔室容积101。可以由铝或其他合适的材料制造腔室主体105。基板进出端口113穿过腔室主体105的侧壁112形成,从而促进将基板400传送进出等离子体处理腔室100。可以将进出端口113耦接至基板处理系统(未示出)的传送腔室和/或其他腔室。
泵送端口145穿过腔室主体105的侧壁112形成且连接至腔室容积101。泵送装置(未示出)通过泵送端口145耦接至腔室容积101以排气和控制其中的压力。泵送装置可包括一个或更多个泵和节流阀。
通过气体管线167将气体面板160耦接至腔室主体105以将工艺气体供应到腔室容积101中。气体面板160可包括一个或更多个工艺气体源161、162、163、164,且可以根据需要额外包括惰性气体、非反应性气体、和反应性气体。可以由气体面板160提供的工艺气体的实例包括但不限于含烃气体(包括甲烷(CH4))、六氟化硫(SF6)、氯化硅(SiCl4)、四氟化碳(CF4)、溴化氢(HBr)、含烃气体、氩气(Ar)、氯气(Cl2)、氮气(N2)、氦气(He)、和氧气(O2)。此外,工艺气体还可以包括含氮、含氯、含氟、含氧和含氢的气体,诸如BCl3、C2F4、C4F8、C4F6、CHF3、CH2F2、CH3F、NF3、NH3、CO2、SO2、CO、N2、NO2、N2O、和H2等等。
阀门166控制来自气体面板160且来自源161、162、163、164的工艺气体流,且由控制器165所管理。从气体面板160供应到腔室主体105的气体流可包括气体的组合。
盖组件110可包括喷嘴114。喷嘴114具有一个或更多个端口以供将工艺气体从气体面板160的源161、162、164、163引入到腔室容积101中。在将工艺气体引入到等离子体处理腔室100中之后,将气体通电以形成等离子体。可以将天线148(诸如一个或更多个电感线圈)提供在等离子体处理腔室100附近。天线电源142可以通过匹配电路141向天线148供电以将能量(诸如RF能量)感应耦合到工艺气体,以维持由等离子体处理腔室100的腔室容积101中的工艺气体所形成的等离子体。替代于或附加于天线电源142,可以使用基板400下方和/或基板400上方的工艺电极来将RF功率电容耦合至工艺气体,以维持腔室容积101内的等离子体。可以由控制器(诸如控制器165)控制电源142的操作,所述控制器也控制等离子体处理腔室100中的其他部件的操作。
基板支撑基座135设置在腔室容积101中以在处理期间支撑基板400。基板支撑基座135可包括静电吸盘122以供在处理期间保持基板400。静电吸盘(ESC)122使用静电引力来将基板400保持到基板支撑基座135。由与匹配电路124集成在一起的RF电源125向ESC122供电。ESC 122包括嵌入在介电主体内的电极121。电极121耦接至RF电源125且提供偏压,所述偏压将由腔室容积101中的工艺气体所形成的等离子体离子吸引到ESC 122和定位在所述ESC上的基板400。RF电源125可以在基板400的处理期间循环打开和关掉、或脉冲。为了使得ESC 122的侧壁对于等离子体较不具吸引力以延长ESC 122的维修寿命周期的目的,ESC 122具有绝缘体128。此外,基板支撑基座135可具有阴极衬垫136以保护基板支撑基座135的侧壁免受等离子体气体的影响和延长等离子体处理腔室100的维修之间的时间。
此外,电极121耦接至电源150。电源150向电极121提供约200伏特至约2000伏特的卡紧电压(chucking voltage)。电源150也可以包括系统控制器以供通过将DC电流引导到电极121来控制电极121的操作以供卡紧和解卡紧基板400。
ESC 122可包括设置在所述ESC中且连接至电源(未示出)的加热器以供加热基板,而支撑ESC 122的冷却基部129可包括导管以供循环传热流体以维持ESC 122和设置在所述ESC上的基板400的温度。ESC 122被配置为在由正在基板400上制造的装置的热预算所需求的温度范围内执行。例如,可以将ESC 122配置为针对某些实施方式将基板400维持在约-25摄氏度至约500摄氏度的温度下。
提供冷却基部129以帮助控制基板400的温度。为了减轻工艺的漂移和时间,可以在基板400在清洁腔室中的整个时间内通过冷却基部129将基板400的温度维持实质恒定。在一个实施方式中,在整个后续的清洁工艺中将基板400的温度维持在约30摄氏度至120摄氏度下。
盖环130设置在ESC 122上且沿着基板支撑基座135的周边设置。盖环130被配置为将蚀刻气体约束于基板400的暴露顶表面的所需部分,同时屏蔽基板支撑基座135的顶表面以免受等离子体处理腔室100内部的等离子体环境的影响。升降销(未示出)选择性地移动通过基板支撑基座135以将基板400提升到基板支撑基座135上方,以促进由传输机械手(未示出)或其他合适的传送机构接取基板400。
可以利用控制器165来控制工艺序列,从而调节从气体面板160进入等离子体处理腔室100的气体流量和其他的工艺参数。软件程序在由CPU执行时,将CPU转换成专用计算机(控制器),所述专用计算机控制等离子体处理腔室100,使得根据本公开内容来执行工艺。也可以由与等离子体处理腔室100并置的第二控制器(未示出)来存储及/或执行软件程序。
图2是用于单个基板400的高压退火工艺的单基板处理腔室200的简化正面截面图。单基板处理腔室200具有主体210,所述主体具有包封内部容积215的外表面212和内表面213。在诸如图2中的一些实施方式中,主体210具有环形截面,然而在其他的实施方式中,主体210的截面可以是矩形或任何封闭的形状。可以由抗腐蚀钢(CRS)(诸如但不限于不锈钢)制作主体210的外表面212。一个或更多个隔热罩(heat shield)225设置在主体210的内表面213上,所述隔热罩防止来自单基板处理腔室200的热损耗进入外部环境。可以由镍基钢合金制作主体210的内表面213以及隔热罩225,所述镍基钢合金展现出高耐腐蚀性,诸如但不限于
Figure BDA0002961422350000071
Figure BDA0002961422350000072
基板支撑件230设置在内部容积215内。基板支撑件230具有杆(stem)234和由杆234所保持的基板支撑构件232。杆234穿过通过腔室主体210而形成的通路222。连接至致动器238的连杆(rod)239穿过通过腔室主体210而形成的第二通路223。连杆239耦接至具有孔236的板235,所述孔容纳基板支撑件230的杆234。升降销237连接至基板支撑构件232。致动器238致动连杆239,使得板235上下移动以与升降销237连接和断接。随着升降销237升高或降低,基板支撑构件232在腔室200的内部容积215内升高或降低。基板支撑构件232具有嵌入在中心内的电阻式加热元件231。电源233被配置为向电阻式加热元件231供电。电源233以及致动器238的操作由控制器280控制。
单基板处理腔室200在主体210上具有开口211,通过所述开口,可以向设置在内部容积215中的基板支撑件230装载一个或更多个基板400和从基板支撑件230卸载一个或更多个基板400。开口211在主体210上形成隧道221。狭缝阀228被配置为可密封地封闭隧道221,使得只有在狭缝阀228开启时才能够进出开口211和内部容积215。高压密封件227被用来将狭缝阀228密封到主体210,以密封内部容积215以供进行处理。可以由聚合物制作高压密封件227,例如含氟聚合物,诸如但不限于全氟弹性体和聚四氟乙烯(PTFE)。高压密封件227可以进一步包括弹簧构件以供偏压密封件以改善密封性能。冷却通道224设置在隧道221上及高压密封件227附近,以在处理期间将高压密封件227维持低于高压密封件227的最大安全操作温度。可以将来自冷却流体源226的冷却剂(诸如但不限于惰性、介电、和高性能传热流体)在冷却通道224内循环。来自冷却流体源226的冷却剂的流量是通过控制器280经由从温度传感器216或流量传感器(未示出)所接收的反馈来控制的。环状热扼流圈229形成在隧道221周围以防止来自内部容积215的热在狭缝阀228开启时流过开口211。
单基板处理腔室200具有穿过主体210的端口217,所述端口流体连接至流体回路290,所述流体回路连接气体面板250、冷凝器260、和端口217。流体回路290具有气体导管292、源导管257、入口隔离阀255、排气导管263、和出口隔离阀265。许多加热器296、258、252、254、264、266与流体回路290的不同部分交接。许多温度传感器251、253、219、267、和269也被安置在流体回路290的不同部分处,以截取温度测量值和向控制器280发送信息。控制器280使用温度测量信息来控制加热器252、254、258、296、264、和266的操作,使得流体回路290的温度被维持在高于设置在流体回路290和内部容积215中的处理流体的冷凝点的温度。
气体面板250被配置为在内部容积215的压力之下提供处理流体。引入到内部容积215中的处理流体的压力被耦接至主体210的压力传感器214监控。冷凝器260流体耦接至冷却流体源(未示出)且被配置为冷凝通过气体导管292离开内部容积215的气相处理流体。冷凝的处理流体接着被泵276移除。一个或更多个加热器240设置在主体210上且被配置为加热单基板处理腔室200内的内部容积215。加热器240、252、254、258、296、264、和266在通往冷凝器260的出口隔离阀265开启的同时将流体回路290内的处理流体维持在气相下,以防止流体回路内的冷凝。
控制器280控制单基板处理腔室200的操作。控制器280控制气体面板250、冷凝器260、泵270、入口隔离阀255、出口隔离阀265、和电源233及245的操作。控制器280也通信地连接至温度传感器216、压力传感器214、致动器238、冷却流体源226、和温度读数装置256及262。
处理流体可包括含氧的和/或含氮的气体、和/或硫族或碲(诸如S、Se、Te)气体或蒸气,诸如氧气、干蒸汽、水、过氧化氢、氨、S蒸气、Se蒸气、H2S、H2、Se等等。处理流体可以与基板上的金属材料起反应以形成金属氮氧化物、金属氧化物、金属氧硫族元素化物、或金属硫族化物。替代于或附加于含氧的和/或含氮的气体,处理流体可包括含硅气体。含硅气体的示例包括有机硅、正硅酸四烷基酯气体、和二硅氧烷。有机硅气体包括具有至少一种碳-硅键结的有机化合物的气体。正硅酸四烷基酯气体包括由附接到SiO44-离子的四个烷基组成的气体。更详细而言,所述一种或更多种气体可以是(二甲基甲硅烷基)(三甲基甲硅烷基)甲烷((Me)3SiCH2SiH(Me)2)、六甲基二硅烷((Me)3SiSi(Me)3)、三甲基硅烷((Me)3SiH)、三甲基甲硅烷基氯((Me)3SiCl)、四甲基硅烷((Me)4Si)、四乙氧基硅烷((EtO)4Si)、四甲基硅烷((MeO)4Si)、四(三甲基甲硅烷基)硅烷((Me3Si)4Si)、(二甲基氨基)二甲基硅烷((Me2N)SiHMe2)、二甲基二乙氧基硅烷((EtO)2Si(Me)2)、二甲基二甲氧基硅烷((MeO)2Si(Me)2)、甲基三甲氧基硅烷((MeO)3Si(Me))、二甲氧基四甲基二硅氧烷(((Me)2Si(OMe))2O)、三(二甲基氨基)硅烷((Me2N)3SiH)、双(二甲基氨基)甲基硅烷((Me2N)2CH3SiH)、二硅氧烷((SiH3)2O)、和上述项目的组合。
在基板400的处理期间,内部容积215的环境被维持在将高压区域内的处理流体维持在气相的温度和压力。此类压力和温度是基于处理流体的组成来选定的。在蒸气的情况下,温度和压力被保持在将蒸气维持在干蒸汽状态的条件下。在一个实例中,内部容积215被加压到大于大气的压力,例如大于约2巴。在另一个实例中,内部容积215被加压到约10与约50巴之间(诸如约20与约50巴之间)的压力。在另一个实例中,内部容积215被加压到高达约100巴的压力。在处理期间,内部容积215也被维持在高温下,例如超过225摄氏度的温度(由设置在基板支撑构件132上的基板400的热预算所限制),诸如在约300摄氏度与约500摄氏度之间。
图3是用于蚀刻工艺的方法300的一个实例的流程图,所述蚀刻工艺用于蚀刻膜堆叠中的材料层(或称为导电层),所述膜堆叠用来在基板上形成结构。材料层可以具有与设置在膜堆叠中的邻近材料不同的膜性质。图4A-4B、5A-5B、6A-6B、7A-7B、8A-8B、9A-9B、和10A-10B为与方法300的各种阶段对应的基板400的一部分的预期图和截面图,所述基板在其上形成有膜堆叠450。用“A”标志结尾的图绘示各种处理情况的预期图。用“B”标志结尾的图绘示各种处理情况的与截面A-A′对应的截面图。在一些图中,可以省略其中所绘示的部件或特征的一些参考标号以避免使其他的部件或特征模糊;这是为了容易描绘附图。方法300可以用来形成先进半导体应用的后端结构,诸如双镶嵌结构。
方法300通过提供基板400开始于操作302处,所述基板在其上形成有膜堆叠450。膜堆叠450包括设置在基板400与膜堆叠450之间的界面层402。在一个实施方式中,界面层402可以是任何合适的材料,这些材料能够桥接膜堆叠450和基板400。在一些实例中,界面层402可包括形成在其上的不同结构,诸如根据需要形成在其中的前端结构、后端结构、接触结构、金属导电结构、绝缘结构或类似者。界面层402根据需要可以是导电层或介电层。在膜堆叠450对基板400具有良好的界面粘附性的一些实施方式中,可以消除界面层402。
膜堆叠450包括第一导电层404、第二导电层406、第三导电层408、和第四导电层410。第一导电层404和第三导电层408可以由类似或相同的材料制成,而第二导电层406和第四导电层410可以由类似或相同的材料制成。换言之,第三导电层408和第四导电层410是第一导电层404和第二导电层406的重复对。尽管图4A-4B中仅示出两对导电层,但注意,导电层404、406或408、410的对也可以根据需要以任何数量形成。在一个实例中,第一导电层404和第三导电层408是含Ru层、含Mo层、含NiSi层和类似者,且第二导电层406和第四导电层410是含Ti层、含Ta层、含TiN层、含TaN层、含TiON层、含TaON层、含TiO层、含TiN层和类似者。导电层404、406、408、410可以通过MOCVD工艺、PECVD、可流动CVD、PVD、ALD、或任何合适的沉积技术来形成。
接着将介电层412形成于第四导电层410上。介电层412根据需要可以是氧化硅、氮化硅、氮氧化硅(SiON)、碳氧化硅(SiOC)、或非晶碳材料。接着将第一牺牲层414以及图案化的光刻胶层416形成于介电层412上。第一牺牲层414可以是旋转涂布到介电层412上的有机聚合材料。第一牺牲层414可以是由碳氢化合物组成的有机聚合材料。单体或聚合材料可以具有式CxHyOz,其中x、y、和z是整数。
可以以充足的厚度将第一牺牲层414旋转涂布到不平坦的基板表面上,以为第一牺牲层414产生实质平坦的顶表面。在图4A-4B中所描绘的实施方式中,第一牺牲层414被旋转涂布到介电层412的实质平坦的表面上以准备图案化。第一牺牲层414的合适示例包括光刻胶材料、旋转涂布玻璃(SOG)材料和类似者。在一个实例中,光刻胶材料可以是正性光刻胶、负性光刻胶、UV光刻胶、I线光刻胶、G线光刻胶、电子束抗蚀剂(例如,化学放大抗蚀剂(CAR))、或其他合适的光刻胶。据信,针对第一牺牲层414所提供的有机聚合材料可以具有自调平平坦化性质,以便涂覆到介电层412上以均匀覆盖形成于基板上的结构的不均匀地形(topography)(若有的话)。藉此,可以用平坦化或扁平的顶表面覆盖来自基板400的不均匀的地形表面,以促进后续的光刻工艺,以便用准确及精确的尺寸控制将特征转移到基板400上的膜堆叠450中。
在一个实施方式中,可以将第一牺牲层414旋转涂布到基板表面上。在另一个实施方式中,也可以根据需要使用注射、喷涂沉积系统、喷涂沉积系统、气浮沉积(AD)工艺、空气喷射(aerojet)、来自溶液的纳米颗粒喷涂、喷涂CVD、喷墨、弯液面涂覆(meniscuscoating)、浸涂、电镀、喷涂、电喷涂、丝网印刷、或通过其他合适的技术将第一牺牲层414涂覆到基板上。
图案化的光刻胶层416包括有机抗蚀层。可以根据需要将图案化的光刻胶层416用于极紫外线(EUV)应用。
在操作304处,执行第一蚀刻工艺以将图案化的光刻胶层416用作蚀刻掩模来图案化膜堆叠450。执行第一蚀刻工艺以蚀刻膜堆叠450,直到第二导电层406暴露为止(如图5A和5B中所示),从而由膜堆叠450在图案化的结构432(进一步示作432a、432b)之间在膜堆叠450中形成开口区域430。可以通过将基板400传输到蚀刻处理腔室(诸如图1中所描绘的处理腔室100)中来执行蚀刻工艺。第一蚀刻工艺可以在蚀刻工艺的不同阶段期间具有多种不同的蚀刻前驱物,以便以高效的方式蚀刻第一牺牲层414、介电层412、第四导电层410、和第三导电层408。在一个实例中,用来蚀刻膜堆叠450的蚀刻前驱物包括含卤素的气体和类似者。蚀刻前驱物的合适实例包括CH4、C2H6、C4H8、C4F6、C4F8、C2F2、CF4、CHF3、C2F6、C4F6、C5F8、CH2F2、SF6、NF3、C12、HBr、HCl、HF和类似者。也可以根据需要在蚀刻工艺期间供应其他的反应气体(诸如O2、O2、H2、N2、H2O、O3、CO2和类似者)。
在蚀刻膜堆叠450的预定部分(例如,开放区域430)之后,接着将第二牺牲层422以及第二图案化的光刻胶层438形成于膜堆叠450上,如图6A-6B中所示。第二图案化的光刻胶层438形成于图案化的结构432上方,且分别与图案化的结构432的两端垂直对准。第二图案化的光刻胶层438暴露图案化的结构432的中心部分440(该中心部分将进一步绘示在图7A-7B和8A-8B中),该中心部分待图案化以用于随后的蚀刻工艺。
第二牺牲层422与第一牺牲层414类似或相同。以充足的厚度将第二牺牲层422形成于不平坦的基板表面上,以为第二牺牲层422产生实质平坦的顶表面。如先前在图5A-5B中所示,在蚀刻工艺之后,将开放区域430形成于图案化的结构432(进一步示为432a、432b)之间,因此产生了不均匀的表面。因此,第二牺牲层422具有自调平的能力,以便涂覆到蚀刻的膜堆叠450的不均匀表面上,以均匀覆盖不均匀的地形。藉此,可以用平坦化或扁平的顶表面覆盖在图案化的结构432之间来自开放区域430的不均匀的地形表面,以促进后续的光刻工艺。
在形成第二牺牲层422之后,执行第二蚀刻工艺以通过开放区域430及界定在形成于基板400上的第二图案化的光刻胶层438之间的中心部分440蚀刻膜堆叠450。连续执行第二蚀刻工艺,直到第四导电层410的表面441a、441b暴露为止,如图7A-7B中所示。注意,第四导电层410的表面441a、441b与由第二图案化的光刻胶层438所界定的中心部分440对准,使得在第二蚀刻工艺之后,第四导电层410的由中心部分440所暴露的表面441a、441b接着暴露。接着进一步从中心部分440蚀刻图案化的结构432a、432b以分别包括表面441a、441b,从而形成由表面441a、441b连接的角结构432c、432d、432e、432f。在图7A-7B中所描绘的结构中,介电层412的侧壁445暴露,且第三导电层408和第四导电层410在中心部分440处的侧壁442a、442b暴露。
在操作306处,执行残余物移除工艺以从基板400移除其余的第二牺牲层422,从而暴露开放区域430中的第二导电层406,如图8A-8B中所示。执行残余物移除工艺以从基板400移除残余的第二牺牲层422。中心部分440中和开放区域430中的第四导电层410和第二导电层406上的残余的第二牺牲层422可能不利地影响随后执行来移除第四导电层410和第二导电层406的蚀刻性能。因此,在移除第二导电层406和第四导电层410之前执行残余物移除工艺。
在一个实例中,残余物移除工艺是氢处理工艺。残余物移除工艺是通过以下步骤来执行的:向基板400供应包括含氢气体的残余物移除气体混合物,以从基板400移除残余的第二牺牲层422(如图7A-7B中所示),直到第二导电层410和第四导电层408的中心部分440和开放区域430暴露为止,如图8A-8B中所示。残余物移除气体混合物包括含氢气体并且可选地包括惰性气体。含氢气体的合适实例包括H2、H2O、H2O2、NH3和类似者。
在执行残余物移除工艺的同时,具有不同材料的暴露表面中的一些(诸如如图7A-7B中所示的第四导电层410的中心部分440的表面441a、441b、第三导电层408的侧壁442a、442b、和介电层412的侧壁445)也暴露在来自残余物移除气体混合物的反应物种之下。因此,用于执行残余物移除工艺的反应物种被选定为在这些材料之中具有高选择性,使得反应物种可以选择性地移除残余的第二牺牲层422,而不会实质附着暴露的第四导电层410、第三导电层408、和介电层412。在一个实施方式中,提供从远程等离子体源供应的含氢气体以选择性地移除残余的第二牺牲层422。也可以在残余物移除气体混合物中可选地供应含氮气体(诸如N2、N2O、NO2、NH3)。在一个具体实例中,残余物移除气体混合物包括H2和N2
在残余物移除工艺期间,也可以调节几个工艺参数。在一个示例性的实施方式中,将处理腔室100中的工艺压力调节在约10毫托与约5000毫托之间,诸如约10毫托与约200毫托之间。可以在残余物移除工艺中利用远程等离子体源和/或可选的RF偏压功率。供应到远程等离子体源的功率可以是在1000瓦特与约20,000瓦特之间,诸如约5000瓦特。在一个实例中,在供应残余物移除气体混合物的同时不施加RF偏压功率。在执行残余物移除工艺的同时消除RF偏压功率可以以相对柔和且不具有特定方向性的功率协助将由残余物移除气体混合物所产生的等离子体中的反应物种更均匀地跨越基板表面分布。
可以使来自残余物移除气体混合物的含氢气体以约500sccm至约2000sccm之间的体积流量流动到腔室中,且可以以约500sccm至约2000sccm之间的体积流量供应含氮气体。可以根据需要同时向处理腔室供应、或交替地或依序地供应来自残余物移除气体混合物的含羟气体和含氮气体。
将基板温度维持在大于100摄氏度下,诸如约120摄氏度至约400摄氏度之间,诸如约150摄氏度与约350摄氏度之间,例如约200摄氏度与约300摄氏度之间。据信,在残余物移除工艺期间的基板400的高温控制可以协助和从残余的第二牺牲层422蒸发聚合材料,以便增强移除效率而不会损伤基板400上的其他材料。
在操作308处,执行主蚀刻工艺以同时蚀刻暴露的第四导电层410和第二导电层406,直到下伏的第三导电层408和第一导电层404暴露为止,如图9A-9B中所示。主蚀刻工艺是通过以下步骤来执行的:向处理腔室(诸如图1中所描绘的处理腔室100)供应主蚀刻气体混合物,以蚀刻暴露的第四导电层410和第二导电层406。
主蚀刻工艺进一步包括三个步骤,这些步骤包括穿通工艺、主体蚀刻工艺、及残余物清洁工艺。如所执行的主蚀刻工艺是高度选择性的,使得来自主蚀刻工艺的反应物种可以主要蚀刻第四导电层410和第二导电层406而不会在暴露时实质腐蚀介电层412以及下伏的第三导电层408和第一导电层404。在第四导电层410和第二导电层406是含钛(Ti)材料的实例中,来自主蚀刻气体混合物的反应物种可以主要与含钛(Ti)材料起反应,而不会实质与来自介电层412的氧化物材料起反应,因此提供了选择性的蚀刻工艺。选择性蚀刻工艺可以优先蚀刻靶材(诸如在此实例中是含钛(Ti)材料)而不会腐蚀或损伤邻近的材料(诸如在此实例中是来自介电层412的含氧化物材料)。
在一个实例中,主蚀刻气体混合物含卤素气体,诸如含氯气体。也可以在主蚀刻气体混合物中供应惰性气体。含氯气体的合适示例包括Cl2、HCl和类似者。据信,含氯气体可以主要与来自第四导电层410和第二导电层406的Ti或Ta元素起反应,以便保持来自介电层412的氧化物损伤或氧化物损耗最小。在一个实例中,主蚀刻气体混合物包括含氯气体(诸如Cl2气体)和Ar气体。
在一个实例中,可以在蚀刻含钛(Ti)材料的同时变化、调整、变更、或改变主蚀刻气体混合物中所供应的Cl2气体与Ar气体的比率。因为含钛(Ti)材料的上表面通常包括TiOx,所以在主蚀刻气体混合物中利用相对高比率的Cl2气体(例如氯气流量较高)来从第四导电层410和第二导电层406从含钛(Ti)材料的表面穿通表面氧化物(若有的话)。在一个实例中,上部TiOx的厚度可以为第四导电层410和第二导电层406的总厚度的约3%与约20%。在一个实例中,主蚀刻气体混合物中所供应的Cl2气体在穿通工艺期间相对于主蚀刻气体混合物中所供应的Ar气体具有高比率。在一个实例中,将主蚀刻气体混合物中所供应的Cl2气体及Ar气体控制在大于10∶1的比率(Cl2气体∶Ar气体)下,诸如在约10∶1与约50∶1之间。主气体混合物中的Cl2气体是以第一流量供应的。
在含钛(Ti)材料被穿通之后,接着在主蚀刻气体混合物中使用相对类似量的Cl2气体和Ar气体(例如,较低的氯气流量或流量比)以从第四导电层410和第二导电层406蚀刻含钛(Ti)材料的主体(例如或称为主体蚀刻工艺)。在主体含钛(Ti)材料蚀刻工艺中,将主蚀刻气体混合物中所供应的Cl2气体和Ar气体控制在约1∶4与约2∶1之间的比率(Cl2气体∶Ar气体)下。在此实例中,可以将第四导电层410和第二导电层406的厚度蚀刻达第四导电层410和第二导电层406的总厚度的约5%与约98%,诸如约50%与约98%之间。在主体蚀刻工艺以低于第一流量的第二流量供应主气体混合物中的Cl2气体。
最后,使用相对于Ar气体相对高比率的Cl2气体(与穿通工艺类似)来从第四导电层410和第二导电层406移除残余的含钛(Ti)材料(例如或称为残余物清洁工艺)和从介电层412移除氧化物残余物(若有的话)。残余物清洁工艺中的主气体混合物中的Cl2气体处于第三流量,所述第三流量小于第二流量但与第一流量类似或相同。在一个实例中,将残余物清洁工艺中在主蚀刻气体混合物中所供应的Cl2气体和Ar气体控制在约大于10∶1的比率(Cl2气体∶Ar气体)下,诸如约10∶1和约20∶1。注意,用于残余物清洁工艺和穿通工艺的主蚀刻气体混合物中的Cl2气体与Ar气体的比率可以类似或相同。
注意,可以逐渐调整、变更、或变化为了蚀刻第四导电层410和第二导电层406所供应的主蚀刻气体混合物,以在穿通工艺、主体蚀刻工艺、和残余物清洁工艺期间满足不同的工艺需求。
在主蚀刻工艺(包括穿通工艺、主体蚀刻工艺、和残余物清洁工艺)期间,也可以调节几个工艺参数。在一个示例性的实施方式中,将处理腔室100中的工艺压力调节在约10毫托与约5000毫托之间,诸如约10毫托与约200毫托之间。可以在执行快速蚀刻工艺(flashetching process)的同时利用RF源和/或偏压功率。可以以连续模式或以脉冲模式向处理腔室施加RF源和RF偏压功率。在一个实例中,在供应主蚀刻气体混合物的同时施加RF偏压功率。
在供应主蚀刻气体混合物时施加的RF偏压功率协助形成第四导电层410和第二导电层406的反应暴露表面440a、440b、430以供蚀刻。在一个实例中,可以施加约13MHz的频率下的RF源功率以维持沉积气体混合物中的等离子体。例如,可以施加约100瓦特至约1000瓦特的RF源功率以维持处理腔室100内部的等离子体。可以在供应主蚀刻气体混合物的同时施加约300瓦特与约700瓦特的RF偏压功率。
将基板温度维持在约10摄氏度之约300摄氏度之间,诸如约20摄氏度与约80摄氏度之间,例如约30摄氏度与约50摄氏度之间。
在操作310处,执行后退火工艺。后退火工艺是在高工艺压力(诸如大于2巴但小于70巴)下执行的高压退火工艺。高压退火工艺可以协助在主蚀刻工艺之后修复空位和蚀刻副产物和/或残余物、和使表面粗糙平滑,该表面粗糙可能由主蚀刻工艺在暴露的第三导电层408和第一导电层404和介电层412中造成。在一些实例中,高的工艺压力可以高达70巴。可以在处理腔室(诸如图2中所描绘的处理腔室200)或其他合适的处理腔室(包括一次处理一个基板的那些处理腔室)处执行高压退火工艺。
在操作310处执行的高压退火工艺将高压区域处的处理压力维持在气相(例如实质上不存在液滴的干蒸气相)下。处理压力和温度被控制为致密化膜结构,以便修复膜缺陷,从而驱除杂质和使表面粗糙平滑。在一个实例中,内部容积215(如图2中所示)被加压到大于大气的压力,例如大于约2巴。在另一个实例中,内部容积215被加压到从约5巴至约70巴的压力,诸如从约5巴至约50巴,诸如在约25巴与约55巴之间。因为高压可以高效地协助致密化膜结构,所以相对低的处理温度(诸如小于500摄氏度)减少了热循环损伤基板400的可能性。
在处理期间,内部容积215被加热器240维持在相对低的温度下,例如小于500摄氏度的温度,诸如在约150摄氏度与约350摄氏度之间。因此,可以通过利用高压退火工艺以及低温方案来获得低的基板热预算。
据信,高压工艺可以提供驱动力以驱除暴露的第三导电层408和第一导电层404和介电层412中的悬空键,因此在退火工艺期间修复了残余物且使暴露的第三导电层408和第一导电层404和介电层412中的表面粗糙平滑。在一个实例中,可以在退火工艺期间供应含氢气体或含氮气体(诸如H2、H2O、H2O2、N2O、NO2、NH3)和干蒸气。也可以在退火工艺期间供应惰性气体(例如He及Ar)。
在一个示例性的实施方式中,将工艺压力调节在大于2巴的压力下,诸如5巴与70巴之间,诸如20巴与约50巴之间。可以将工艺温度控制在大于150摄氏度但小于500摄氏度,诸如在约150摄氏度与约380摄氏度之间,诸如在约180摄氏度与约400摄氏度之间。
在高压下的退火工艺之后,第三导电层408和第一导电层404和介电层412具有高纯度的修复的膜结构、具有平滑的表面粗糙的大晶粒结构,这提供了相对强健的膜结构,相对强健的膜结构提供较高的膜密度,且获得了低的膜电阻率。在第三导电层408和第一导电层404是含Ru材料的实例中,在高压退火工艺之后,可以将来自第三导电层408和第一导电层404的含Ru材料的膜电阻率减少在约3%与约7%之间。
在操作312处,在第三导电层408和第一导电层404暴露之后,可以执行进一步的蚀刻工艺以移除第三导电层408和第一导电层404(如图9A-9B中所示且随后进行额外的蚀刻工艺),直到在基板400上形成所需的结构460为止,如图10A-10B中所示。如形成于基板400上的结构460可以是半导体应用的后端结构中所利用的双消减(dual subtractive)结构。还应注意,结构460也可以用来根据需要在基板400上形成任何合适的结构。
因此,提供了用于在膜堆叠中蚀刻特征的方法。这些方法利用包括残余物移除工艺、主蚀刻工艺、和高压退火工艺的三步骤工艺来蚀刻膜堆叠中的含金属材料。此外,主蚀刻工艺进一步包括三步骤工艺,所述三步骤工艺包括穿通工艺、主体蚀刻工艺、和残余物清洁工艺。所述工艺能够高效地蚀刻膜堆叠中的靶材(诸如导电层),而不会实质腐蚀膜堆叠中的其他材料。
尽管以上内容是针对本公开内容的实施方式,但也可以在不脱离本公开内容的基本范围的情况下设计出本公开内容的其他的和进一步的实施方式,且本公开内容的范围是由随后的权利要求书所确定的。

Claims (15)

1.一种用于图案化基板上的导电层的方法,所述方法包括以下步骤:
以第一流量供应包括含氯气体的气体混合物,以蚀刻设置在所述基板上的第一导电层;
将所述第一气体混合物中的所述含氯气体降低到低于所述第一流量的第二流量,以继续蚀刻所述第一导电层;和
将所述第一气体混合物中的所述含氯气体增加到大于所述第二流量的第三流量,以从所述基板移除所述第一导电层。
2.如权利要求1所述的方法,其中所述第一导电层是含Ti层或含Ta层。
3.如权利要求1所述的方法,其中所述第一气体混合物进一步包括惰性气体。
4.如权利要求1所述的方法,其中所述含氯气体是Cl2或HCl。
5.如权利要求1所述的方法,其中所述第一流量与所述第三流量相同或类似。
6.如权利要求1所述的方法,进一步包括以下步骤:
在蚀刻所述第一导电层之前执行氢处理工艺。
7.如权利要求6所述的方法,进一步包括以下步骤:
在执行所述氢处理工艺的同时,将基板温度维持在大于150摄氏度下。
8.如权利要求7所述的方法,其中所述基板温度是在约200摄氏度与约300摄氏度之间。
9.如权利要求1所述的方法,进一步包括以下步骤:
在从所述基板移除所述第一导电层之后对所述基板执行后退火工艺。
10.如权利要求9所述的方法,其中所述后退火工艺进一步包括以下步骤:
将工艺压力维持在约5巴与100巴之间。
11.如权利要求10所述的方法,进一步包括以下步骤:
在执行所述后退火工艺的同时,供应包括含氢气体或含氮气体的退火气体混合物。
12.如权利要求9所述的方法,其中所述后退火工艺致密化暴露在所述基板上的第二导电层。
13.如权利要求12所述的方法,其中所述第二含材料层是含Ru材料。
14.如权利要求1所述的方法,其中以所述第一流量供应的所述气体混合物从所述含金属材料移除氧化物材料。
15.如权利要求1所述的方法,其中以所述第二流量供应的所述气体混合物移除约5%与约95%之间的所述第一导电层。
CN201980057814.XA 2018-10-30 2019-10-16 用于蚀刻用于半导体应用的结构的方法 Pending CN112640065A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862752764P 2018-10-30 2018-10-30
US62/752,764 2018-10-30
PCT/US2019/056447 WO2020092002A1 (en) 2018-10-30 2019-10-16 Methods for etching a structure for semiconductor applications

Publications (1)

Publication Number Publication Date
CN112640065A true CN112640065A (zh) 2021-04-09

Family

ID=70327214

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980057814.XA Pending CN112640065A (zh) 2018-10-30 2019-10-16 用于蚀刻用于半导体应用的结构的方法

Country Status (6)

Country Link
US (1) US10957533B2 (zh)
JP (1) JP7179172B6 (zh)
KR (1) KR102528076B1 (zh)
CN (1) CN112640065A (zh)
TW (1) TWI826555B (zh)
WO (1) WO2020092002A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
CN109148288A (zh) * 2018-08-16 2019-01-04 武汉华星光电半导体显示技术有限公司 制作导电线路的方法
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11508617B2 (en) * 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330275A (ja) * 1995-05-30 1996-12-13 Matsushita Electron Corp シリコンのエッチング方法およびこれを用いたccd固体撮像装置の製造方法
US5827437A (en) * 1996-05-17 1998-10-27 Lam Research Corporation Multi-step metallization etch
US5914276A (en) * 1996-06-29 1999-06-22 Samsung Eletronics Co., Ltd. Methods of forming electrically conductive lines using nitrogen and chlorine containing gas mixtures
TW442913B (en) * 2000-06-05 2001-06-23 Taiwan Semiconductor Mfg Side wall aluminum fluorite self-protection process
US20020155714A1 (en) * 2001-04-20 2002-10-24 Kawasaki Microelectronics, Inc. Mihama-Ku, Japan Method of conditioning an etching chamber and method of processing semiconductor substrate using the etching chamber
JP2003007690A (ja) * 2001-06-27 2003-01-10 Seiko Epson Corp エッチング方法及び半導体装置の製造方法
US20040074869A1 (en) * 2002-10-18 2004-04-22 Applied Materials, Inc. Fluorine free integrated process for etching aluminum including chamber dry clean
CN1828824A (zh) * 2005-03-02 2006-09-06 茂德科技股份有限公司 高深宽比结构的制备方法
US20070042132A1 (en) * 2005-06-13 2007-02-22 Jung-Hun Seo Method of forming plasma and method of forming a layer using the same
CN101019210A (zh) * 2004-11-08 2007-08-15 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
US20100125254A1 (en) * 2005-09-30 2010-05-20 The Regents Of The University Of California Monocyclic high aspect ratio titanium inductively coupled plasma deep etching processes and products so produced
CN102456545A (zh) * 2010-10-21 2012-05-16 北京北方微电子基地设备工艺研究中心有限责任公司 图形化衬底的刻蚀方法
US20150206764A1 (en) * 2014-01-17 2015-07-23 Applied Materials, Inc. Titanium oxide etch
CN108335978A (zh) * 2017-01-20 2018-07-27 东京毅力科创株式会社 等离子体处理装置

Family Cites Families (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3254997B2 (ja) * 1995-12-25 2002-02-12 ソニー株式会社 プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
JPH10338515A (ja) * 1997-06-06 1998-12-22 Shin Etsu Chem Co Ltd シリコン基板の酸化方法
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
JP2001118829A (ja) * 1999-10-19 2001-04-27 Matsushita Electronics Industry Corp 金属パターンの形成方法
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
US6534809B2 (en) * 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
KR100450564B1 (ko) * 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
CN1328767C (zh) * 2003-12-18 2007-07-25 上海华虹Nec电子有限公司 一种金属配线的多步干法刻蚀方法
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP2005311148A (ja) * 2004-04-23 2005-11-04 Ishikawajima Harima Heavy Ind Co Ltd 半導体装置の製造方法
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
WO2006091588A2 (en) 2005-02-22 2006-08-31 Xactix, Inc. Etching chamber with subchamber
US7211525B1 (en) * 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
WO2006131153A1 (en) 2005-06-10 2006-12-14 Obducat Ab Pattern replication with intermediate stamp
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
WO2007018016A1 (ja) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN102109626A (zh) 2005-10-07 2011-06-29 株式会社尼康 微小光学元件
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
US7815814B2 (en) * 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
FR2914500B1 (fr) * 2007-03-30 2009-11-20 Picogiga Internat Dispositif electronique a contact ohmique ameliore
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
JP2010528475A (ja) 2007-05-25 2010-08-19 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造システムを組み立てる及び運転する方法及び装置
WO2008156687A1 (en) 2007-06-15 2008-12-24 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
JP2011501102A (ja) 2007-10-26 2011-01-06 アプライド マテリアルズ インコーポレイテッド 改良された燃料回路を使用した高性能な除害の方法及び装置
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2009174056A (ja) 2009-03-19 2009-08-06 Canon Anelva Corp 窒化金属膜作製装置、窒化金属膜作製方法及び窒化金属膜
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN103151266B (zh) 2009-11-20 2016-08-03 株式会社半导体能源研究所 用于制造半导体器件的方法
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
JP6048400B2 (ja) 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
EP2727137B1 (en) 2011-06-28 2022-04-20 Brooks Automation (Germany) GmbH Semiconductor stocker systems and methods.
KR101568748B1 (ko) 2011-11-01 2015-11-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) * 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
JP6153755B2 (ja) 2013-04-03 2017-06-28 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9414445B2 (en) 2013-04-26 2016-08-09 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
JP6068633B2 (ja) 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US9548200B2 (en) 2013-08-21 2017-01-17 Applied Materials, Inc. Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
US10068845B2 (en) 2014-06-16 2018-09-04 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US20170160012A1 (en) 2014-09-08 2017-06-08 Mitsubishi Electric Corporation Semiconductor annealing apparatus
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
JP6086933B2 (ja) * 2015-01-06 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
CN107406978B (zh) 2015-02-06 2019-12-03 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
TWI825991B (zh) 2015-05-11 2023-12-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6318188B2 (ja) * 2016-03-30 2018-04-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
WO2018064292A1 (en) 2016-09-30 2018-04-05 Applied Materials, Inc. Methods of forming self-aligned vias
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR102606653B1 (ko) 2017-03-31 2023-11-24 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
TW201842590A (zh) 2017-05-01 2018-12-01 美商應用材料股份有限公司 具有真空隔離和預處理環境的高壓退火腔室
WO2018212940A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Apparatus for collection and subsequent reaction of liquid and solid effluent into gaseous effluent
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR20190137967A (ko) 2017-06-02 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 기판 상에 증착된 막들의 품질 개선
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
KR102539390B1 (ko) 2018-02-22 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 더 양호한 막 품질을 가능하게 하기 위해 마스크 기판을 처리하기 위한 방법
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330275A (ja) * 1995-05-30 1996-12-13 Matsushita Electron Corp シリコンのエッチング方法およびこれを用いたccd固体撮像装置の製造方法
US5827437A (en) * 1996-05-17 1998-10-27 Lam Research Corporation Multi-step metallization etch
US5914276A (en) * 1996-06-29 1999-06-22 Samsung Eletronics Co., Ltd. Methods of forming electrically conductive lines using nitrogen and chlorine containing gas mixtures
TW442913B (en) * 2000-06-05 2001-06-23 Taiwan Semiconductor Mfg Side wall aluminum fluorite self-protection process
US20020155714A1 (en) * 2001-04-20 2002-10-24 Kawasaki Microelectronics, Inc. Mihama-Ku, Japan Method of conditioning an etching chamber and method of processing semiconductor substrate using the etching chamber
JP2003007690A (ja) * 2001-06-27 2003-01-10 Seiko Epson Corp エッチング方法及び半導体装置の製造方法
US20040074869A1 (en) * 2002-10-18 2004-04-22 Applied Materials, Inc. Fluorine free integrated process for etching aluminum including chamber dry clean
CN101019210A (zh) * 2004-11-08 2007-08-15 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN1828824A (zh) * 2005-03-02 2006-09-06 茂德科技股份有限公司 高深宽比结构的制备方法
US20070042132A1 (en) * 2005-06-13 2007-02-22 Jung-Hun Seo Method of forming plasma and method of forming a layer using the same
US20100125254A1 (en) * 2005-09-30 2010-05-20 The Regents Of The University Of California Monocyclic high aspect ratio titanium inductively coupled plasma deep etching processes and products so produced
CN102456545A (zh) * 2010-10-21 2012-05-16 北京北方微电子基地设备工艺研究中心有限责任公司 图形化衬底的刻蚀方法
US20150206764A1 (en) * 2014-01-17 2015-07-23 Applied Materials, Inc. Titanium oxide etch
CN108335978A (zh) * 2017-01-20 2018-07-27 东京毅力科创株式会社 等离子体处理装置

Also Published As

Publication number Publication date
JP2022505863A (ja) 2022-01-14
TW202025263A (zh) 2020-07-01
JP7179172B6 (ja) 2022-12-16
TWI826555B (zh) 2023-12-21
US10957533B2 (en) 2021-03-23
KR20210068590A (ko) 2021-06-09
WO2020092002A1 (en) 2020-05-07
US20200135459A1 (en) 2020-04-30
JP7179172B2 (ja) 2022-11-28
KR102528076B1 (ko) 2023-05-03

Similar Documents

Publication Publication Date Title
KR102528076B1 (ko) 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US10685836B2 (en) Etching substrates using ALE and selective deposition
JP7199381B2 (ja) リソグラフィにおける確率的な歩留まりへの影響の排除
US10727075B2 (en) Uniform EUV photoresist patterning utilizing pulsed plasma process
TWI775839B (zh) 具有選擇性阻隔層的結構
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
US20220163834A1 (en) Structure and method of bi-layer pixel isolation in advanced lcos back-plane
JPWO2020016915A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US20200373149A1 (en) In-situ atomic layer deposition process
US10727058B2 (en) Methods for forming and etching structures for patterning processes
US11521849B2 (en) In-situ deposition process
US20230072732A1 (en) Methods for etching structures with oxygen pulsing
US11702738B2 (en) Chamber processes for reducing backside particles
TWI774754B (zh) 自對準觸點與閘極處理流程
TW201903885A (zh) 含矽間隔物的選擇性形成
US20240096641A1 (en) In-situ carbon liner for high aspect ratio features
US20230110474A1 (en) Selective silicon deposition
TW201839905A (zh) 選擇性側壁間隔物
TW202412087A (zh) 高選擇性氧化矽移除之方法
JP2023552977A (ja) 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料
TW201903841A (zh) 降低鰭式場效電晶體裝置的接觸電阻的方法及結構

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination