JP7199381B2 - リソグラフィにおける確率的な歩留まりへの影響の排除 - Google Patents

リソグラフィにおける確率的な歩留まりへの影響の排除 Download PDF

Info

Publication number
JP7199381B2
JP7199381B2 JP2019563508A JP2019563508A JP7199381B2 JP 7199381 B2 JP7199381 B2 JP 7199381B2 JP 2019563508 A JP2019563508 A JP 2019563508A JP 2019563508 A JP2019563508 A JP 2019563508A JP 7199381 B2 JP7199381 B2 JP 7199381B2
Authority
JP
Japan
Prior art keywords
feature
hardmask
hardmask material
deposition
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019563508A
Other languages
English (en)
Other versions
JP2020521320A (ja
JP2020521320A5 (ja
Inventor
シャンマ・ネイダー
ワイズ・リチャード
ユウ・ジェンイー
タン・サマンサ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020521320A publication Critical patent/JP2020521320A/ja
Publication of JP2020521320A5 publication Critical patent/JP2020521320A5/ja
Priority to JP2022202758A priority Critical patent/JP7504977B2/ja
Application granted granted Critical
Publication of JP7199381B2 publication Critical patent/JP7199381B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Saccharide Compounds (AREA)

Description

関連出願への相互参照
本願は、2017年5月16日出願の米国仮特許出願第62/506,803号「ELIMINATING YIELD IMPACT OF STOCHASTICS IN EUV LITHOGRAPHY」に基づく優先権を主張する2018年5月14日出願の米国特許出願第15/979,340号「ELIMINATING YIELD IMPACT OF STOCHASTICS IN LITHOGRAPHY」に基づく優先権を主張する。これらの出願は参照によって本明細書にその全体が全ての目的で組み込まれる。
半導体処理には、パターニング方法が重要である。特に、リソグラフィ技術にその光学限界を超えさせ、現在のリソグラフィ法に代わって小クリティカルディメンションフィーチャをパターニングするために、極紫外線(EUV)リソグラフィが研究されてきた。現在のEUVリソグラフィ法は、最終的に基板を不良品にし得る悪いエッジ粗さおよび弱いパターンにつながる。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
半導体基板を処理するための方法および装置が本明細書に記載されている。一態様は、半導体基板を処理する方法を含み、その方法は、第1ハードマスク材料にリソグラフィによって形成された第1フィーチャおよび第2フィーチャを有する基板を提供し、第1フィーチャは、リソグラフィによって部分的に形成され、底部を備え、第1ハードマスク材料は、第1フィーチャおよび第2フィーチャのフィーチャ開口部の間にフィールド領域を備え、第1ハードマスク材料の上に第2ハードマスク材料を、第1フィーチャ内の第2ハードマスク材料の厚さよりも大きい厚さまでフィールド領域上に第2ハードマスク材料を選択的に形成するのに十分な期間にわたって、蒸着させ、第1フィーチャの底部の材料を除去するために、第2ハードマスク材料を方向性エッチングし、第1フィーチャの底部の前記材料は、第1ハードマスク材料または第2ハードマスク材料であることを備える。
様々な実施形態において、方向性エッチングは、第2ハードマスク材料を貫通エッチングして、第1フィーチャの底部の第1ハードマスク材料の下の第3ハードマスク材料を露出させるために実行される。いくつかの実施形態では、第2フィーチャの底部が、第1ハードマスク材料の下の第3ハードマスク材料を含む。
様々な実施形態において、第1および第2フィーチャ内に蒸着される第2ハードマスク材料の量は、第1フィーチャのアスペクト比および第2フィーチャのアスペクト比によって決まる。
様々な実施形態において、方向性エッチングは、第1および第2フィーチャのアスペクト比とは無関係に実行される。
様々な実施形態において、第1フィーチャのアスペクト比は、第2フィーチャのアスペクト比と異なる。
様々な実施形態において、第1フィーチャの深さは、第2フィーチャの深さと異なる。
様々な実施形態において、第1フィーチャは、リソグラフィ中に露光不足になる。
様々な実施形態において、蒸着および方向性エッチングの後の第1フィーチャのクリティカルディメンションは、蒸着および方向性エッチングの前の第2フィーチャのクリティカルディメンションの約0.5%~約1%の範囲内である。
様々な実施形態において、蒸着および方向性エッチングの後の第1フィーチャのアスペクト比は、蒸着および方向性エッチングの前の第2フィーチャのアスペクト比の約1%~約10%の範囲内である。
様々な実施形態において、蒸着および方向性エッチングの後の第1および第2フィーチャの平均クリティカルディメンションは、少なくとも約15nmである。
様々な実施形態において、蒸着および方向性エッチングの後の基板上の第1および第2フィーチャにおけるクリティカルディメンションの変動は、リソグラフィによって規定された後の第1および第2フィーチャにおけるクリティカルディメンションの変動よりも小さい。
様々な実施形態において、第2ハードマスク材料の蒸着と、第2ハードマスク材料の方向性エッチングは、第1フィーチャの底部の前記第1ハードマスク材料の除去を低減するために十分なサイクルだけ繰り返す。様々な実施形態において、第2フィーチャに各サイクルで蒸着された第2ハードマスク材料は、第1ハードマスク材料の下層の材料をエッチングから保護し、各サイクルの方向性エッチングは、第1フィーチャの深さと第2フィーチャの深さとの間の差が0に近づくように、第1フィーチャの底部の第1ハードマスク材料を除去する。
様々な実施形態において、第2ハードマスク材料の方向性エッチングは、第2ハードマスク材料をエッチング種に暴露させて改質表面を形成し、バイアスを印加しつつエッチング種なしに不活性ガス環境でプラズマを点火して改質表面を除去することによって実行される。例えば、いくつかの実施形態において、第2ハードマスク材料は、プラズマに暴露された時に、第2ハードマスク材料の前記改質表面において約5nm~約10nmの間の材料を除去するのに十分な期間にわたって、エッチング種に暴露される。
様々な実施形態において、第2ハードマスク材料の蒸着は、化学蒸着によって形成される。
様々な実施形態において、第2ハードマスク材料の蒸着は、プラズマ強化化学蒸着によって形成される。
様々な実施形態において、第2ハードマスク材料は、炭素含有材料である。例えば、炭素含有材料は、酸素、窒素、フッ素、シリコン、スズ、もしくは、元素周期表のIII、IV、V、VI、および、VII族からの任意の他の適切な元素、からなる群より選択された元素でドープされた非晶質炭素の内の任意の1または複数でドープされてよい。
様々な実施形態において、第2ハードマスク材料は、シリコン含有材料である。様々な実施形態において、シリコン含有材料体は、二酸化シリコンまたは窒化シリコンである。
様々な実施形態において、第2ハードマスク材料は、第1ハードマスク材料とは異なる組成を有する。
様々な実施形態において、第2ハードマスク材料は、第1ハードマスク材料とは異なる格子構造を有する。
様々な実施形態において、第2ハードマスク材料は、スズ含有材料(酸化スズすなわち酸化スズ(II)(SnO)、酸化第二スズすなわち酸化スズ(IV)(SnO))である。
様々な実施形態において、基板は、第1ハードマスク材料の下層に第3ハードマスク材料を備える。例えば、第2ハードマスク材料は、第2ハードマスク材料のエッチング速度が第3ハードマスク材料の少なくとも3倍になるような、第3ハードマスク材料に対するエッチング選択比を有してよい。様々な実施形態において、第3ハードマスクは、酸窒化シリコン、シリコン含有反射防止コーティング材料、スピンオンガラス、底部反射防止コーティング、酸化スズ、窒化スズ、硫化スズ、酸化鉛、窒化鉛、および、硫化鉛、の内の任意の1または複数である。
様々な実施形態において、第2フィーチャの開口部の幅は、約15nm~約100nmの間である。
様々な実施形態において、フィールド領域に蒸着された第2ハードマスク材料は、第2ハードマスク材料の方向性エッチング中に第1ハードマスク材料を保護する。
様々な実施形態において、第2ハードマスク材料の蒸着および方向性エッチングは、同じツール内で実行される。
様々な実施形態において、第2ハードマスク材料の蒸着および方向性エッチングは、真空を中断することなしに実行される。
様々な実施形態において、第1ハードマスク材料は、フォトレジストおよびスピンオン炭素からなる群より選択される。
様々な実施形態において、第1および第2フィーチャは、極紫外線リソグラフィによって形成される。
様々な実施形態において、第1および第2フィーチャは、液浸リソグラフィによって形成される。
別の態様は、半導体基板を処理する方法を含み、その方法は。パターニングされたフォトレジストを有する基板を提供し、パターニングされたフォトレジストは、第1フィーチャおよび第2フィーチャを備え、第1フィーチャは、部分的に規定され、第1フィーチャの底部にフォトレジストを備え、基板を提供した後に、第1フィーチャの底部よりも第2フィーチャの底部に多くの炭素含有材料が蒸着されるように、フォトレジスト上に炭素含有材料を選択的に蒸着させ、炭素含有材料を蒸着させた後に、基板の露出面に原子層エッチングを実行することを備える。
様々な実施形態において、原子層エッチングは、バイアスを印加しつつ基板の露出面をエッチング種に暴露させて第1プラズマを点火することで、基板の露出面の表面を改質して改質表面を形成し、改質表面を除去するのに十分な期間にわたって改質表面を第2プラズマに暴露させることによって実行される。様々な実施形態において、改質表面を第2プラズマに暴露させる時に、バイアスが印加される。いくつかの実施形態において、改質表面は、改質表面の下層の材料をスパッタリングすることなしにエッチングされる。様々な実施形態において、エッチング種に基板の表面を暴露させることは、さらに、ヘリウム、アルゴン、ネオン、クリプトン、および、キセノンの内の任意の1または複数などの不活性希釈ガスを導入することを含む。
様々な実施形態において、基板は、さらに、フォトレジストに隣接してフォトレジストの下にある下層を備え、炭素含有材料は、下層の露出領域上に蒸着しないように選択的に蒸着される。
様々な実施形態において、フォトレジスト上に選択的に蒸着された炭素含有材料は、原子層エッチングを施された時に、フォトレジストよりも遅いエッチング速度を有する。
様々な実施形態において、原子層エッチング中のフォトレジストのエッチング速度は、炭素含有材料のエッチング速度よりも速い。
様々な実施形態において、原子層エッチングは、フォトレジストを異方的に除去する。
様々な実施形態において、第1および第2フィーチャにおける蒸着厚さは、第1および第2フィーチャのアスペクト比に依存する。
様々な実施形態において、フォトレジストは、深紫外線リソグラフィによってパターニングされる。
様々な実施形態において、フォトレジストは、極紫外線リソグラフィによってパターニングされる。
様々な実施形態において、フォトレジストは、液浸リソグラフィによってパターニングされる。例えば、いくつかの実施形態において、液浸リソグラフィは、フォトレジストをフッ化アルゴンに暴露させることを含む。
様々な実施形態において、基板上のフォトレジストに炭素含有材料を選択的に蒸着させることは、さらに、メタンを導入することを含む。
様々な実施形態において、選択的に蒸着させることおよび原子層エッチングを実行することは、第1フィーチャが第2フィーチャと同じクリティカルディメンションを持つまで循環的に繰り返される。
別の態様は、半導体基板を処理する方法を含み、その方法は、パターニングされたフォトレジストを有する基板を提供し、パターニングされたフォトレジストは、第1フィーチャおよび第2フィーチャを備え、第1フィーチャのフィーチャ深さはパターニングされたフォトレジストの厚さよりも小さく、基板を提供した後に、プラズマ強化化学蒸着によって、パターニングされたフォトレジストの上に炭素含有材料を蒸着させ、炭素含有材料を蒸着させた後に、基板上の露出面の表面を改質して改質表面を形成し、改質表面をエッチングすることによって、基板をエッチングすることを備える。
様々な実施形態において、方法は、さらに、基板を提供する前に、極紫外線リソグラフィによって、パターニングされたフォトレジストを形成することを備える。
方法は、さらに、各サイクルが、第1フィーチャのフィーチャ深さをパターニングされたフォトレジストの厚さに近づけるように、蒸着およびエッチングを循環的に繰り返してもよい。
様々な実施形態において、方法は、約0℃~約60℃の間の基板温度で実行される。様々な実施形態において、蒸着される炭素含有材料は、元素周期表のIII、IV、V、VI、または、VII族からの元素などのドーパントでドープされる。様々な実施形態において、ドーパントは、炭素含有材料の所望のエッチング速度および応力特性に基づいて選択される。
別の態様は、半導体基板を処理するための装置を含み、その装置は、各々がチャックを備えた1または複数の処理チャンバと、処理チャンバおよび関連する流量制御ハードウェアへの1または複数のガス流入口と、プラズマ発生器と、少なくとも1つのプロセッサおよびメモリを有するコントローラと、を備え、少なくとも1つのプロセッサおよびメモリは、互いに通信可能に接続され、少なくとも1つのプロセッサは、流量制御ハードウェアと少なくとも動作可能に接続され、メモリは、ハードマスク蒸着前駆体を導入させ、ハードマスク蒸着前駆体の導入後に、ハードマスク蒸着前駆体の導入を停止させ、ハードマスク蒸着前駆体の導入の停止後に、改質ガスを導入させ、改質ガスの導入後に、不活性ガスを導入させて、プラズマを生成させることにより、流量制御ハードウェアを少なくとも制御するように少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を格納し、ハードマスク蒸着前駆体、改質ガス、および、不活性ガスの導入は、真空を中断することなしに実行される。
様々な実施形態において、ハードマスク蒸着前駆体は、炭素含有材料を蒸着するために用いられる炭素含有前駆体である。様々な実施形態において、装置は、さらに、ハードマスク蒸着前駆体、改質ガス、および、不活性ガスの導入を2サイクル以上繰り返させるための命令を備える。
これらの態様および他の態様について、図面を参照しつつ以下でさらに説明する。
リソグラフィ前の基板の概略図。 リソグラフィ後の基板の概略図。
基板上の膜の原子層エッチングの一例を示す概略図。
開示された実施形態に従って実行される動作例を示す処理フローチャート。
特定の開示された実施形態を実行する1つの段階の基板を示す概略図。 特定の開示された実施形態を実行する1つの段階の基板を示す概略図。 特定の開示された実施形態を実行する1つの段階の基板を示す概略図。 特定の開示された実施形態を実行する1つの段階の基板を示す概略図。 特定の開示された実施形態を実行する1つの段階の基板を示す概略図。
開示された実施形態に従って実行される動作例を示す処理フローチャート。
特定の開示された実施形態を実行するための処理チャンバ例を示す概略図。
特定の開示された実施形態を実行するための処理装置例を示す概略図。
実験で特定の開示された実施形態に従って実行された蒸着技術および技術について、ローカルクリティカルディメンション均一性対エッチング後に検査したクリティカルディメンションのグラフ。
以下の説明では、提示した実施形態の完全な理解を促すために、数多くの具体的な詳細事項が示されている。開示された実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、開示した実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。開示した実施形態は、具体的な実施形態に関連して説明されているが、開示した実施形態を限定する意図はないことを理解されたい。
以下に開示する実施例は、基板(ウエハ、基板、または、その他のワークピースなど)への材料の蒸着について記載する。ワークピースは、様々な形状、サイズ、および、材料を有してよい。本願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および、「製造途中の集積回路」という用語が、交換可能に用いられている。当業者であれば、「製造途中の集積回路」という用語は、集積回路加工の多くの段階の内のいずれかの途中のシリコンウエハを指しうることがわかる。半導体デバイス産業で用いられるウエハまたは基板は、通例、200mm、または、300mm、または、450mmの直径を有する。特に明記しない限り、本明細書に記載する処理の詳細(例えば、流量、電力レベル、など)は、300mm直径の基板を処理するのに適するか、または、300mm直径の基板を処理するよう構成されたチャンバを扱うのに適しており、他のサイズの基板またはチャンバに適するように拡大縮小されてもよい。半導体ウエハに加えて、本明細書に開示されている実施例と共に利用できるその他のワークピースは、プリント回路基板など、様々な物品を含む。処理および装置は、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの製造に利用できる。
半導体処理における薄膜のパターニングは、しばしば、半導体の製造および加工時の重要な動作である。パターニングには、フォトリソグラフィ(193nmリソグラフィなど)が含まれうる。リソグラフィ用のスタックは、しばしば、スピンオン法によってハードマスク上に蒸着されたフォトレジスト層を含む。ハードマスクは、しばしば、1つの組成物で形成され、ハードマスク自体は、対象となる層の上に蒸着される。フォトリソグラフィでは、光子源からマスク上に光子を放射して感光性のフォトレジスト上にパターンをプリントすることによってパターンがプリントされ、それにより、フォトレジストの特定の部分を除去してパターンを形成する化学反応をフォトレジストにおいて引き起こす。或るリソグラフィにおいて、フォトレジストに当たりうる光子の数が変わりうる。多くの実践において、多数の光子がフォトレジストに当たり、各光子の運ぶエネルギは小さい。多数の光子が利用されうるので、一部の漂遊光子による誤差は、結果として規定されるパターンには実質的に影響しない。
デバイスが縮小するほど、より小さいフィーチャをプリントする必要性が高まる。マルチプルパターニング技術が、或るフォトリソグラフィで利用するために開発されてきたが、マルチプルパターニングは、多重の蒸着およびエッチング処理を用いる。先進的な半導体集積回路(IC)およびその他のデバイスにおけるフィーチャのスケーリングが、さらに小さい結像源波長へ移行によってリソグラフィの分解能の改善を促してきた。
極紫外線(EUV)リソグラフィは、リソグラフィの分解能限界を拡げる可能性のある技術と考えられている。EUVリソグラフィは、最先端のリソグラフィツール(スキャナとも呼ばれる)で約13.5nm波長のEUV光源を用いて、より小さいパターンをフォトレジスト上にプリントするために開発されてきた。EUV放射は、石英および水など幅広い材料に強く吸収されるため、一部の例では真空で印加される。
EUVリソグラフィでは、より短い波長の光子が利用されるので、ソースから放出される光子は少なく、したがって、より少ない光子がフォトレジストに当たって、パターンを形成する。光子の各々は、いくつかの他のリソグラフィ法で用いられる光子よりも高いエネルギを運ぶ。さらに、EUVリソグラフィでは、より少ないより高エネルギの光子がフォトレジストに当たるので、感光サイトに当たらない少数の漂遊光子が、規定されたパターンに、より大きい誤差を引き起こしうる。より多くの低エネルギの光子が用いられ、少数の漂遊光子が実質的にパターンに影響することのないいくつかのリソグラフィ法と比較すると、EUVリソグラフィでの光子による確率的影響は、特に懸念されている。デバイスが縮小するにつれ、利用する光子が少なくなることで、形成されるフィーチャのすべてが必ずしも同数の光子に暴露されるわけではないために、形成されるフィーチャのばらつきの程度が高くなる。さらに、光子は、均一に分散せず、高密度ではない場合があるため、結果として、ウエハ表面上にわたってフィーチャ形成のばらつきが生じる。また、フォトレジストは、光子の吸収のしかたが異なりうるため、フォトレジストの一部の領域において、良好に規定された完全なフィーチャを形成する一方で、同じフォトレジストの他の領域では不完全に規定されたフィーチャを形成する。光子または増加剤のショットノイズの影響が、ほんの数ナノメートルの範囲(長さスケール)で(局所的に)観察される。現在のプロセス制御方法、スキーム、ツール、および、アルゴリズムは、より大きい長さスケール(例えば、ミリメートルまたはセンチメートル)で動作するので、それらで影響を補償するのは困難である。
したがって、一部のEUVリソグラフィ法は、最終的に基板を不良品にしうる悪いエッジ粗さ、歪んだパターン、および、一貫性のないフィーチャサイズにつながる。悪いエッジ粗さは、主に、フォトレジスト内の入射光子および吸収EUV光子の確率的変動の結果である。エッジ粗さの問題の根拠となるその他の要因は、フォトレジスト膜における感作剤分子のランダムな分布と、現像液に溶解され、その後に現像プロセスで除去されるフォトレジストポリマ鎖長の変動を含む。これらの確率的プロセスは、リソグラフィ処理後に、ビアホール内のかなりのフォトレジスト残留物につながりうるものであり、したがって、数億から数兆のビアを利用する高度なチップ設計における非常に大きいビアアレイの開回路の電気的故障(歩留まり低下)に悪影響を有しうる。
EUVリソグラフィが、図1Aおよび図1Bに関して記載されているが、特定の開示された実施形態が、任意のタイプのリソグラフィを施される任意の基板の処理に適していることが理解されるだろう。いくつかの実施形態において、特定の開示された実施形態は、エッチングが基板にわたって一貫しない結果として不完全に規定されたフィーチャおよびいくつかの完全に規定されたフィーチャを生じるその他の技術によって以前にエッチングされた基板の処理にも適しうる。
図1Aは、ガラス基板101と、多層ミラー103と、吸収体105とを備えた簡略な紫外線(UV)フォトマスクを示しており、基板スタック内のEUVフォトレジスト111をエッチングするためにEUV光子107および109が放出され、基板スタックは、さらに、3つのハードマスク(隣接した下層113、ハードマスク115、および、ハードマスク117)と、対象層119とを備え、対象層119は、シリコンウエハであってよい。リソグラフィ動作では、隣接するフィーチャが、光子ショットノイズ、または、フォトレジスト膜における露光放射の不均一な吸収により、異なる照射線量を受けることがある。したがって、EUVリソグラフィで利用される光子が少ないことから、EUV光子109よりも多くのEUV光子107が図示されている。
図1Bは、リソグラフィ動作(エッチングするために入射)後のフォトレジストパターンを示しており、本明細書において第1フィーチャ140と呼ぶランダムな閉じたビア(すなわち、欠陥)が、パターン化されたフォトレジスト121で、本明細書において第2フィーチャ142と呼ぶ適切にパターニングされたビアホール(所望のクリティカルディメンションを有する)の隣で、小さいクリティカルディメンションを有することを示す。本明細書に記載のフィーチャは、ネガティブフィーチャに言及したものである。様々な実施形態において、第2フィーチャは、必ずしも完全に適切にパターニングされたビアホールではなくてもよく、フィーチャの底部のエッチングが隣接する下層113を露出させるのに十分であるような十分なフィーチャ深さを有していればよいことを理解されたい。
第2フィーチャ142が、完全に規定されたフィーチャである場合、第2フィーチャ142は、約10nm~約100nmの間のクリティカルディメンションを有しうる。第2フィーチャ142が、完全に規定されたフィーチャである場合、第2フィーチャ142は、約1:1~約3:1の間のアスペクト比を有しうる。
様々な実施形態において、第1フィーチャ140のクリティカルディメンションは、第2フィーチャ142のクリティカルディメンションの約30%~約50%の範囲内である。様々な実施形態において、第1フィーチャ140のアスペクト比は、第2フィーチャ142のアスペクト比の約150%~約250%の範囲内である。いくつかの実施形態において、第1フィーチャ140のアスペクト比は、第2フィーチャ142のアスペクト比と同じである。
一部の修正リソグラフィ技術は、より少ない光子を用いることの確率的問題に対処するために、光子の数を増大させることを含むが、利用する光子の数を増やすと、コストが増加し、スループットの低い経済的に実現不可な処理につながる。例えば、フォトレジストのフィーチャを規定するための光子の数を増大させることを含む一部の処置は、毎時約40ウエハのスループットを有するが、経済的に実現可能な技術は、少なくとも毎時125ウエハのスループットを必要とする。
電気的(開回路)歩留まりへの確率的影響を排除するための方法および装置が本明細書で提供されている。方法および装置は、一部のリソグラフィ技術(EUVリソグラフィなど)の結果として生じる基板におけるフィーチャサイズ、アスペクト比、および、深さのランダムな変動に対する確率的影響を排除するのに特に適している。方法は、ハードマスク材料のアスペクト比に依存する蒸着を実行した後に、リソグラフィ技術によって以前に形成された部分的にエッチングされたフィーチャのアスペクト比に依存しないエッチングを実行することを含む。一部の方法は、EUVリソグラフィを用いて十分にエッチングされていない欠陥ホールを修復するために、選択的蒸着および周期的エッチングの組みあわせを実行することを含む。周期的エッチングの一例は、原子層エッチング(ALE)、または、いくつかの実施形態においては、過飽和ALEである。いくつかの実施形態において、選択的蒸着は、選択的炭素蒸着(SCD)であってよい。本明細書の実施形態は、炭素含有材料および炭素含有材料の蒸着についての特定の開示された実施形態を実行することに言及するが、いくつかの実施形態において、方法は、他の非炭素含有材料にも適用可能でありうることがわかるだろう。非限定的な一例は、シリコン含有材料(非晶質シリコンまたは酸化シリコンなど)を含む。
さらに、本明細書の実施形態は、EUVリソグラフィによって形成された欠陥フィーチャを修復することに限定されない。開示されている実施形態は、深紫外線(DUV)リソグラフィ、または、液浸リソグラフィ、または、欠陥を引き起こすその他のホールパターニング処理における欠陥フィーチャを修復することに適しうる。本明細書に記載の欠陥は、確率的影響によって、基板上にエッチングされたフィーチャの深さにばらつきが生じるようなフィーチャの不完全なエッチングのことである。欠陥は、基板上の不完全にエッチングされたフィーチャであってよい。いくつかの実施形態において、欠陥は、確率的影響によって引き起こされる。方法は、その他のパターン(回折格子(ラインおよびスペースのパターン)など)のフォトレジスト残留物による欠陥密度の低減に適しうる。特定の開示された実施形態は、蒸着された材料よりも速くフォトレジストを異方性エッチングすることを含む。
本明細書に記載の方法および装置は、約15nm~約100nmの間のフィーチャ幅を有するビアを形成するのに特に適している。いくつかの実施形態において、EUVリソグラフィを用いてフォトレジストにこのサイズのフィーチャを形成すると、結果として、フィーチャエッチングに確率的影響を生じ、不完全に規定されたフィーチャを有する基板が形成され、特定の開示された実施形態は、アスペクト比に依存する蒸着およびアスペクト比に依存しないエッチングの様々なサイクルにわたって完全に規定されたフィーチャと不完全に規定されたフィーチャとの間のフィーチャサイズの変動を低減するために、完全に規定されたフィーチャおよび不完全に規定されたフィーチャの両方を有する基板に対して実行されることが可能である。上述の不完全に規定されたフィーチャとは、露光不足になったフィーチャ(ポジティブトーンイメージングについて発生しうるが、ネガティブトーンイメージングについては過剰露光になる(ここで、名目上未露光のフォトレジストは現像中に除去される))、および/または、完全にはエッチングされていないフィーチャ、および/または、リソグラフィから形成された最大のフィーチャと同じクリティカルディメンションを持たないフィーチャ(例えば、不完全なエッチングを引き起こす確率的影響を受けたフィーチャ)のことである。不完全に規定されたフィーチャは、本明細書では、「欠陥」を有するまたは「欠陥フィーチャ」であると見なされる。不完全に規定されたフィーチャは、様々な実施形態において、完全に規定されたフィーチャまたはリソグラフィから形成された最大フィーチャのいずれかのフィーチャ深さ未満のフィーチャ深さを有しうる。いくつかの実施形態において、リソグラフィから形成された最大フィーチャは、完全に規定されたフィーチャと同じである。
クリティカルディメンションを維持しつつ局所的な不均一性を低減するように、アスペクト比に依存する蒸着および方向性エッチングを用いて、基板上にリソグラフィで規定されたフィーチャを修復するための方法および装置が、本明細書で提供されている。いくつかの方法は、下層のエッチング選択性に対するエッチング選択性を有する、リソグラフィで規定された(例えば、リソグラフィ技術(EUVおよびDUVを含む光リソグラフィ技術もしくは液浸リソグラフィなど)を用いて現像された)ハードマスクに蒸着およびエッチングを実行することを含む。いくつかの実施形態において、エッチング選択層は、膜がウエハを曲げうるように膜へのひずみを増しうる。結果として、いくつかの実施形態において、かかる制限は、特定の開示された実施形態に利用できる材料を限定しうる。
様々な実施形態において、方法は、リソグラフィによって規定されたフォトレジストまたはハードマスク上に、フォトレジストまたはハードマスクとは異なる分子構造を有する材料を選択的に蒸着させることを含む。例えば、いくつかの実施形態において、リソグラフィによって規定されたフォトレジストは、スピンオン炭素であり、一方で、フォトレジスト上に蒸着された材料a、プラズマ化学気相成長法(PECVD)によって蒸着された炭素を含む。様々な実施形態において、蒸着される材料は、熱的に蒸着される。様々な実施形態において、材料は、プラズマを用いて蒸着される。様々な実施形態において、材料は、非共形蒸着技術を用いて蒸着される。例えば、材料は、原子層蒸着によって蒸着されえない。共形蒸着は、エッチングがウエハにわたってフィーチャのクリティカルディメンションを維持するために実行される時に、フィーチャサイズを一様にするために、フィーチャ内よりもフィールド領域上に多くの材料を選択的に蒸着させる可能性は低い。
開示されている実施形態は、パターン忠実度を改善すると共に最終的に対象層へ転写されるフォトレジスト(PR)構造の欠陥密度を低減するために、原子層エッチングおよび選択的材料蒸着を含む。高度なEUVリソグラフィにおいて、フォトレジストパターンの忠実度および欠陥密度は両方とも、確率論に関連する(光子ショットノイズ、材料の不均質性の)問題によって低下する。
特定の開示された実施形態は、パターン転写動作中の欠陥の低減を可能にし、これは、必ず、電子回路歩留まりの向上につながる。欠陥密度のこの低減は、回路機能(および歩留まり)に重要な要素であるラインエッジ粗さまたはライン幅粗さの改善と同時に達成される。
方法は、炭素含有材料などのフォトレジストまたはハードマスク材料のフィーチャをエッチングして修復するために、周期的エッチング(ALEなど)と、蒸着とを含む。開示されている実施形態を用いてエッチングおよび/または修復されうる炭素含有材料の例は、フォトレジストおよび非晶質炭素を含む。方法は、アスペクト比に依存する蒸着処理を含む。例えば、蒸着は、化学蒸着またはプラズマ強化化学蒸着によって実行されてよい。蒸着は、蒸着が共形であり、アスペクト比に依存しないことから、原子層蒸着またはプラズマ強化原子層蒸着を用いては実行されない。いくつかの実施形態において、蒸着は、プラズマ支援処理(プラズマ強化化学蒸着など)を用いて実行される。様々な実施形態において、開示されている実施形態は、基板の下層上に炭素含有膜を蒸着しない。例えば、いくつかの実施形態において、選択的蒸着は、リソグラフィによって規定されたフォトレジストまたはハードマスク上へ、ハードマスクの下の隣接下層に対して選択的に材料を蒸着することを含み、ハードマスクは、フィーチャの底部が露出した隣接下層表面を含むようなフィーチャを含みうるが、選択的蒸着は、隣接下層表面よりもリソグラフィで規定されたフォトレジストまたはハードマスク材料上へ選択的に蒸着する。いくつかの実施形態において、蒸着処理は、特定のエッチング処理に曝された時にフォトレジストよりも遅いエッチング速度を持つ材料を有する膜を蒸着する。本明細書で用いる選択性は、基板の或る領域に、別の領域よりも多くの材料を蒸着することとして定義される。いくつかの実施形態において、「選択的」および「優先的」という用語は、交換可能に利用されてよく、いずれも、或る領域に、別の領域よりも多くの材料が蒸着されることを意味する。様々な実施形態において、フォトレジストまたは炭素含有材料のフィールド領域上にフォトレジストまたは炭素含有材料の側壁よりも多くの膜が蒸着される選択的蒸着が達成される。
ALEは、連続的な自己制限反応を用いて材料の薄層を除去する技術である。一般に、ALEは、任意の適切な技術を用いて実行されてよい。原子層エッチング技術の例は、2014年11月11日発行の米国特許第8,883,028号、2014年8月19日発行の米国特許第8,808,561号、2017年2月21日発行の米国特許第 9,576,811号に記載されており、原子層エッチングの例およびエッチング技術を記載するために、参照によって本明細書に組み込まれる。様々な実施形態において、ALEは、プラズマで実行されてもよいし、熱的に実行されてもよい。
ALEは、循環的に実行されてよい。「ALEサイクル」の概念は、本明細書の様々な実施形態の議論に関連する。一般に、ALEサイクルは、エッチング処理(単分子層のエッチングなど)を1回実行するために用いられる動作の最小セットである。1サイクルの結果は、基板表面上の膜層の少なくとも一部がエッチングされることである。通例、ALEサイクルは、反応層を形成するための改質動作と、その後に、この改質層のみを除去すなわちエッチングするための除去動作と、を含む。サイクルは、反応物質または副生成物の一方のスイーピング(一掃)など、特定の補助的な動作を含んでもよい。一般に、サイクルは、一意的な動作手順を一組含む。一例として、ALEサイクルは、以下の動作を含んでよい。(i)反応ガスの供給(吸着)、(ii)チャンバからの反応ガスのパージ、(iii)除去ガスおよび任意選択的なプラズマの供給(脱着)、ならびに、(iv)チャンバのパージ。
いくつかの実施形態において、過飽和ALEが実行されてよい。過飽和ALEにおいては、基板の表面上に吸着するおよび/または基板の表面を改質するための反応ガスの供給は、基板表面に完全に吸着するまたは基板表面を完全に改質するのに十分な期間より長い期間にわたって実行される。いくつかの実施形態において、その期間は、基板表面の少なくとも80%に吸着するかまたは基板表面の少なくとも80%を改質するのに十分な期間の少なくとも1.5倍または少なくとも2倍または少なくとも5倍の長さである。PECVDによって蒸着された炭素の過飽和ALEについては、基板は、少なくとも約1秒間の期間、酸素含有改質ガスに暴露されてよい。過飽和ALEに十分な暴露期間は、改質または吸着対象の材料、基板に吸着または基板を改質するために用いられる反応ガス、温度および圧力などの処理条件、ならびに、基板上のフィーチャの深さ、サイズ、および、数など基板自体のトポグラフィなど、様々な要因に依存する。
図2は、ALEサイクルの2例の概略図および選択的ポリマ蒸着の概略図である。201a~201eは、ALEサイクルの一例を示す。201aにおいて、基板が準備される。
様々な実施形態において、基板は、シリコンウエハ、例えば、200mmウエハ、300mmウエハ、または、450mmウエハであってよく、誘電材料、導電材料、または、半導体材料などの1または複数の材料層を上に蒸着されたウエハを含みうる。いくつかの実施形態において、基板は、シリコン(非晶質シリコンなど)のブランケット層、または、ゲルマニウムのブランケット層を備える。いくつかの実施形態において、基板表面は、フォトレジスト、または、グラフェン、または、非晶質炭素を含む。
いくつかの実施形態において、基板上の層は、パターニングされてよい。基板は、ビアまたはコンタクトホールなどの”フィーチャ”を有してよく、それらのフィーチャは、狭いおよび/またはリエントラント型(re-entrant)の開口部、フィーチャ内の狭窄部、ならびに、高アスペクト比の内の1または複数によって特徴付けることができる。フィーチャは、上述した層の1または複数に形成されてよい。フィーチャの一例は、半導体基板または基板上の層におけるホールまたはビアである。別の例は、基板または層に線または空間によって規定されたトレンチである。様々な実施形態において、フィーチャは、バリア層または接着層などの下層を有してよい。下層の非限定的な例は、誘電層および導電層を含み、例えば、酸化シリコン、窒化シリコン、炭化シリコン、金属酸化物、金属窒化物、金属炭化物、および、金属層などである。いくつかの実施形態において、基板の表面は、基板がパターニングされる場合などに、2以上のタイプの材料を含んでもよい。基板は、開示する実施形態を用いてエッチングされて滑らかにされる少なくとも1つの材料を含む。この材料は、上述した任意のもの(金属、誘電体、半導体材料、および、その他)の内のいずれであってもよい。様々な実施形態において、これらの材料は、コンタクト、ビア、ゲートなどを加工するために準備されてよい。いくつかの実施形態において、エッチングされる材料は、非晶質炭素などのハードマスク材料である。さらなる材料の例は、窒化アルミニウムガリウム、シリコン、窒化ガリウム、タングステン、および、コバルトを含む。
様々な実施形態において、基板は、EUVまたはDUVまたは液浸リソグラフィから形成された1または複数のフィーチャを有するようにパターニングされたフォトレジスト層を備える。かかるフィーチャは、ネガティブフィーチャ(すなわち、パターニングされたフォトレジスト層におけるホール)である。
工程201bにおいて、基板の表面が改質される。工程201cにおいて、余分な非吸着前駆体を除去するパージ動作後、改質層が残る。工程201dにおいて、改質層は、エッチングされている。工程201eにおいて、改質層は除去される。
同様に、202a~202eは、炭素含有膜をエッチングするためのALEサイクルの一例を示す。工程202aにおいて、炭素含有材料を含む基板が準備され、これは、多くの炭素原子を含む。様々な実施形態において、基板は、フォトレジストまたは非晶質炭素層などの炭素含有層を含む。
工程202bにおいて、基板の表面を改質する酸化剤が、基板に導入される。酸化剤は、酸素(O)などの強酸化剤または二酸化炭素(CO)などの弱酸化剤であってよい。酸化剤の選択は、基板上の炭素含有材料のタイプに依存しうる。例えば、いくつかの実施形態において、強酸化剤は、非晶質炭素またはグラフェンなどの硬質炭素含有材料のエッチングに適した酸化剤であってよい。別の例では、いくつかの実施形態において、弱酸化剤は、EUVリソグラフィ、DUVリソグラフィ、または、液浸リソグラフィによってパターニングされたフォトレジストのエッチングに適した酸化剤であってよい。
工程202bの図は、何らかの酸化剤が基板の表面上に吸着された様子を一例として示す。改質動作は、後の除去動作で未改質の材料よりも容易に除去される厚さの薄い反応表面層を形成する。炭素含有材料のエッチングのために、酸素含有プラズマが、改質または吸着動作中に用いられてよい。酸素含有プラズマは、酸素(O)などの酸素含有改質剤または二酸化炭素(CO)などの弱酸化剤を流して、プラズマを点火することによって生成されてよい。さらなる弱酸化剤は、一酸化炭素(CO)、酸化窒素(NO)、および、二酸化硫黄(SO)を含む。さらなる反応物質は、窒素、水素、および、アンモニア化合物、ならびに、レジスト面に反応的に結合された後にスパッタ閾値未満のイオン衝撃を用いて揮発されうる種を含んでよい。これらの強酸化剤および弱酸化剤は、単独で利用されてもよいし、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、クリプトン(Kr)、キセノン(Xe)、および、それらの組みあわせなどの不活性希釈ガスと組み合わせて利用されてもよい。この動作は、炭素含有材料表面の数オングストロームを改質して、バルク炭素含有材料よりも弱い結合エネルギを有する改質層を形成する。様々な実施形態において、弱酸化剤は、バイアスなしまたは低バイアスでプラズマとして基板に提供される。例えば、様々な実施形態において、弱酸化剤はプラズマ処理チャンバに導入され、炭素含有材料の表面上への弱酸化剤の吸着を容易にするために、プラズマソース電力がオンにされて、プラズマが点火される。バイアスは、約5V~約15Vの間または最大で約50Vの自己バイアスなど、低電力または電圧で印加されてよい。プラズマ電力は、約15W~約300Wの間の電力に設定されてよい。「バイアス電力」および「バイアス電圧」という用語は、バイアスがペデスタルに印加される時にペデスタルに設定される電圧を記載するために、本明細書では交換可能に用いられることを理解されたい。本明細書に記載のバイアス電力またはバイアス電圧は、ボルトで測定されており、単位「V」または「Vb」によって示され、ここで、bはバイアスを指す。
工程202cにおいて、弱酸化剤がチャンバからパージされる。工程202dにおいて、除去ガスアルゴンが、Ar+プラズマ種および矢印によって示されるように方向性プラズマと共に導入され、イオン衝撃が、基板の改質炭素面を除去するために実行される。この動作中、基板に向かってイオンを引きつけるために、バイアスが基板に印加される。脱着動作では、不活性ガスプラズマ(He、Ar、Xe、または、Nなど)が、改質層を除去するために用いられてよい。202dではアルゴンが図示されているが、任意の適切な不活性ガスが、この動作でプラズマを生成するために用いられてよいことがわかる。除去中に印加されるバイアス電力は、様々な実施形態において、約30V~約100Vの間であってよい。バイアス電力は、基板に供給されるエネルギが、基板のスパッタリングに必要なエネルギ未満であるが、基板から改質層を除去するのに用いられるエネルギよりも大きくなるように、選択されてよい。プラズマ電力は、約30W~約500Wの間の電力に設定されてよい。
工程202eにおいて、チャンバがパージされ、副生成物が除去される。様々な実施形態において、約1Å~約130Åの材料が、1サイクル中に除去されてよい。より強力な酸化剤が用いられる場合、エッチング速度は、より弱い酸化剤が用いられる場合よりも大きくなりうる。例えば、酸素(O)などの強酸化剤について、不活性プラズマガスはArであってよく、約10Å~約30Åの間のレジスト材料が除去されうる。いくつかの実施形態において、用いられる弱酸化剤が二酸化炭素であり、改質層を除去するために用いられる不活性ガスプラズマがヘリウムである場合、各サイクルは、約2Å~3Åの材料をエッチングしうる。炭素含有材料のエッチング後の表面は、通例、ALE処理後に滑らかになる。例えば、いくつかの実施形態において、ALE処理後の表面の二乗平均平方根粗さは、約0.5nm未満(Rrms<0.5nm)であってよい。
上述の処理条件および化学物質は、一部の実施形態において利用されうるが、一部の実施形態が、異なるタイプのフォトレジスト(金属含有化学増幅レジスト(CAR)または金属酸化物無機材料など)を含んでもよく、無機材料、金属、誘電体、金属酸化物、および、その他の適切な材料などの下層上への選択的蒸着を含んでもよい。これらの異なる実施形態は、幅広い化学物質またはガス、ならびに、処理条件(バイアス、温度、圧力、パルシングなど)を利用してよい。かかる処理条件の調節は、効果的な欠陥軽減、パターン忠実度の改善、および、構造の平滑化を可能にする。
図3は、特定の開示された実施形態を実行するためのフローチャートを示す。動作310において、リソグラフィが第1ハードマスク材料に実行され、第1ハードマスク材料に第1不完全形成フィーチャおよび第2フィーチャを形成する。様々な実施形態において、第1ハードマスクは、処理中の基板の最上層である。様々な実施形態において、リソグラフィは、処理チャンバに提供された第1ハードマスク材料を有する基板に実行される。第1ハードマスクは、炭素含有、シリコン含有、または、スズ含有材料であってよい。いくつかの実施形態において、第1ハードマスクは、炭素含有材料(非晶質炭素またはスピンオン炭素など)であり、または、フォトレジストであってもよい。いくつかの実施形態において、第1ハードマスクは、シリコン含有材料(非晶質シリコンなど)である。いくつかの実施形態において、第1ハードマスクは、スズ含有材料(酸化スズまたは窒化スズなど)である。第1ハードマスク材料は「ハードマスク」材料と呼ばれるが、多くの実施形態において、第1ハードマスク材料はフォトレジストであることが理解される。
様々な実施形態において、完全に形成されたフィーチャは、約15nm~約100nmの間のフィーチャ開口幅を有する。
様々な実施形態において、第1ハードマスクは、スピンオン技術によって蒸着された炭素を含むフォトレジストであり、EUV、DUV、または、液浸リソグラフィが、第1ハードマスクに実行される。
第1ハードマスクは、スピンオン技術によって蒸着されてよい。リソグラフィの前に、第1ハードマスクは、リソグラフィスタック内の1または複数の層の上に蒸着されてよい。リソグラフィスタックの1または複数の層は、第1ハードマスクの下にある1または複数のハードマスクを含んでよい。いくつかの実施形態において、第1ハードマスクは、EUVまたはDUVを用いてエッチングされたフォトレジストであり、EUVまたはDUVの前に、1または複数の下層のハードマスクを有する基板上に蒸着される。いくつかの実施形態において、第1ハードマスクは、リソグラフィスタック内の層である。
例えば、第1ハードマスク層に直接隣接して下層にあるその層は、第1ハードマスクと同じ組成を有してよい。本明細書では、この層を「隣接下層」と呼ぶこととする。隣接下層は、第1ハードマスクとは異なる組成を有してもよい。いくつかの実施形態において、第1ハードマスクに直接隣接して下層にあるその層は、共に炭素を含んでよいが、異なる技術で蒸着されてもよい。いくつかの実施形態において、隣接下層は、酸窒化シリコン、シリコン含有反射防止コーティング(SiARC)、スピンオンガラス、または、底部反射防止コーティング(BARC)である。様々な実施形態において、隣接下層は、スズ含有膜(酸化スズ、窒化スズ、または、硫化スズなど)である。いくつかの実施形態において、隣接下層は、酸化鉛、窒化鉛、または、硫化鉛、もしくは、それらの組み合わせを含む。隣接下層が酸化スズである場合、動作312に関して後述するように、より少ない第2ハードマスク材料が、各サイクルに蒸着されてよい(同じチャンバ内で、または、同じツール内で、または、真空を中断することなしに実行されてよい)。なぜなら、方向性エッチングは、所望の深さおよび/またはアスペクト比をすでに有するフィーチャ内の酸化スズ隣接下層を損傷することなしに実行可能であり、それにより、かかるフィーチャが基板上の他のフィーチャと同じ深さおよび/またはアスペクト比を持つまで、もしくは、ウエハにわたる深さおよび/またはアスペクト比の変動が低減されるまで、不完全に規定されたフィーチャのエッチングを継続しつつ、エッチング選択性を達成することができるからである。
隣接下層は、特定のエッチング化学物質(酸素含有ガスおよび/またはプラズマ、もしくは、ハロゲン含有ガスおよび/またはプラズマなど)に暴露された時に、第1ハードマスクに対するエッチング選択性を有しうる。例えば、いくつかの実施形態において、第2ハードマスク材料は、隣接下層よりも少なくとも3倍速くエッチングされる。いくつかの実施形態において、第1ハードマスク材料は、隣接下層よりも少なくとも3倍速くエッチングされる。特定の開示された実施形態において、エッチング速度の比は、利用されるエッチング化学物質および処理条件に応じて、そして、第1ハードマスク、第2ハードマスク、および、隣接下層の材料に応じて、調整できる。
隣接下層が第1ハードマスク層に対してエッチング選択性を有するか否かは、動作314に関して後に詳述する方向性エッチングの実行に関係する。いくつかの実施形態において、隣接下層のための特定のエッチング選択材料を用いると、基板への応力の増加、ひいては、かかる膜を蒸着するために用いられる蒸着技術によって引き起こされる隣接下層または第1ハードマスクのいずれかへの応力の影響を引き起こす可能性があり、蒸着された膜の厚さが、本明細書に記載するように欠陥の修復に利用されるエッチング動作および蒸着動作の効果に不利に働く。いくつかの方法は、SCDおよびALEの組みあわせを含む。様々な実施形態において、リソグラフィエッチングを受けた基板が、不完全的にエッチングされたフィーチャおよび完全にエッチングされたフィーチャを含みうる。リソグラフィエッチングを受けた基板の一例の概略図を、図1Bに示す。様々な実施形態において、基板は、EUVリソグラフィによって、リソグラフィ的にエッチング、または、EUVリソグラフィ的に規定される。いくつかの実施形態において、基板は、DUVリソグラフィによって、リソグラフィ的にエッチング、または、EUVリソグラフィ的に規定される。
動作312において、第2ハードマスク材料が、アスペクト比に依存する蒸着技術で第1ハードマスク材料上に蒸着される。一部の例において、蒸着は、質量拡散技術によって実行される。例えば、いくつかの実施形態において、第2ハードマスクは、プラズマ強化化学蒸着によって蒸着される。多くの実施形態において、第2ハードマスクは、共形膜蒸着技術(原子層蒸着またはプラズマ強化原子層蒸着など)によって蒸着されない。蒸着は、より大きい開口部を有するフィーチャにより多くの材料を、そして、より小さい開口部を有するフィーチャにより少ない材料を蒸着しうるアスペクト比依存の蒸着技術を用いて実行される。いくつかの実施形態において、フィーチャは垂直であり、側壁および底部と、基板上のフィーチャ間のフィールド領域とを有する。様々な実施形態において、かかるフィーチャへの第2ハードマスクの蒸着は、側壁への或る程度の蒸着を生じるが、ほとんどの蒸着は、基板の底部およびフィールド領域で生じる。一部の例において、これは、本明細書では、基板の垂直面(フィーチャの側壁など)に比較して基板の水平面上に、より多くの第2ハードマスク材料を優先的に蒸着することであると見なされる。
様々な実施形態において、第2ハードマスクは、第1ハードマスクと同じ組成である。いくつかの実施形態において、第2ハードマスクは、第1ハードマスクとは異なる組成を有する。いくつかの実施形態において、第2ハードマスクおよび第1ハードマスクは両方とも、炭素含有材料を含む。いくつかの実施形態において、第2ハードマスクおよび第1ハードマスクは、シリコン含有材料を含む。いくつかの実施形態において、第2ハードマスク材料は、シリコン含有材料(二酸化シリコンまたは窒化シリコンなど)である。いくつかの実施形態において、第2ハードマスクは、第1ハードマスクとは異なる格子構造を有する。例えば、いくつかの実施形態において、第2ハードマスク材料および第1ハードマスク材料は両方とも、炭素を含むが、第1ハードマスク材料は、第2ハードマスクのPECVD蒸着炭素材料とは異なる格子構造を生じるスピンオン技術によって蒸着される。いくつかの実施形態において、第2ハードマスクおよび第1ハードマスクは、スズ含有材料を含む。
いくつかの実施形態において、第2ハードマスクは、非晶質炭素材料である。いくつかの実施形態において、第2ハードマスク材料は、酸素、窒素、フッ素、シリコン、スズ、および、それらの組みあわせなど、元素周期表のIII、IV、V、VI、または、VII族からの任意の適切な元素でドープされた非晶質炭素である。非晶質炭素は、約0.1%~約50%の間のドープを施されてよい。いくつかの実施形態において、少量のドーパントが、ドープされた非晶質炭素膜のエッチング速度および応力を変化させうる。ドーパントの量および利用されるドーパントを選択して、第2ハードマスク材料の所望のエッチング速度および応力を調整することができる。
様々な実施形態において、第2ハードマスクおよび第1ハードマスクは、同じ原子を含んでよいが、異なる分子構造(異なる格子構造など)であってよい。例えば、いくつかの実施形態において、第1ハードマスクは、スピンオン炭素であるが、第2ハードマスクは、プラズマ強化化学蒸着非晶質炭素である。
いくつかの実施形態において、第2ハードマスクは、酸化スズである。いくつかの実施形態において、第2ハードマスクは、必ずしも化学量論膜ではないことが理解される。例えば、一部の例において、膜は、準化学量論的である。例えば、スズ含有酸化膜は、酸化スズまたは酸化第二スズであってよく、これは、約0.45~約1.05の間のスズ対酸素の原子比率を備えてよい。いくつかの実施形態において、除去可能膜は、SnOの化学構造を有する酸化第二スズである。いくつかの実施形態において、除去可能膜は、SnOの化学構造を有する酸化第四スズである。適切なスズ含有前駆体の例は、ハロゲン化スズ含有前駆体(塩化第二スズ(SnCl)および臭化第二スズ(SnBr)など)と、アルキル置換スズアミドなどを含む非ハロゲン化スズ含有前駆体(有機スズ化合物など)とを含む。ALDに適したアルキル置換スズアミドの具体的な例は、テトラキス(ジメチルアミノ)スズ、テトラキス(エチルメチルアミノ)スズ、N2,N3-ジ-tert-ブチル-ブタン-2,3-ジアミノ-スズ(II)、および、(1,3-ビス(1,1-ジメチルエチル)-4,5-ジメチル-(4R,5R)-1,3,2-ジアザスタノリジン-2-イリジンを含む。酸素含有反応物質は、酸素(O)、オゾン(O)、水(HO)、過酸化水素(HO2)、および、酸化窒素(NO)を含むが、これらに限定されない。
第2ハードマスク材料は、アスペクト比依存の蒸着物のための任意の適切な技術によって蒸着されてよい。例えば、PECVDにおいて、第2ハードマスク材料は、第1ハードマスク材料を炭素含有前駆体および還元剤に暴露させて炭素を蒸着することによって蒸着されてよい。例えば、炭素含有前駆体の一例は、メタンである。
いくつかの実施形態において、第2ハードマスクは、シリコン含有材料(酸化シリコン、窒化シリコン、または、別のシリコン含有材料など)であってよい。様々な実施形態において、かかる膜は、シリコン含有前駆体を用いて蒸着されてよい。例えば、一例において、酸化シリコン材料のPECVDが、塩化シリコン、または、任意のその他の適切なシラン(シラン、ジシラン、アルキルシラン、クロロシラン、ブロモシラン、および、ヨードシランなど)など、シリコン含有前駆体を用いて実行されてよい。「酸化シリコン」は、本明細書では、xおよびyの整数値ならびにxおよびyの非整数値を含む、Siに対して化学量論的に可能なあらゆるものを含むと見なされる。例えば、「酸化シリコン」は、化学式SiOを有する化合物を含み、ここで、1<n<2であり、nは整数値または非整数値でありうる。「酸化シリコン」は、準化学量論的化合物(SiO1.8など)を含みうる。「酸化シリコン」は、二酸化シリコン(SiO)および一酸化シリコン(SiO)も含む。また、「酸化シリコン」は、天然および合成のバリエーション両方を含み、あらゆる結晶および分子構造(酸素原子が中央のシリコン原子を取り巻く四面体配位など)を含む。「酸化シリコン」は、非晶質酸化シリコンおよびケイ酸塩も含む。
図4A~図4Dは、本明細書に記載の様々な動作を受ける基板の例を示した概略図である。図4Aにおいて、基板(図1Bに示した基板など)が提供されている。基板は、第1ハードマスク材料421を備えており、第1ハードマスク材料421は、第2フィーチャ440および第1フィーチャ442を形成するためにリソグラフィによって規定されている。リソグラフィでパターニングされた第1ハードマスク材料421は、隣接下層413、下層415、下層417、および、対象層419など、1または複数の層の上にあってよい。
この例において、第2フィーチャ440は、所望のアスペクト比およびクリティカルディメンションを有する完全に規定されたフィーチャである。いくつかの実施形態において、第2フィーチャ440は、約15nm~約100nmの間のフィーチャ開口幅を有する。
第1フィーチャ442は、リソグラフィ技術および確率的影響により、所望のアスペクト比および/または深さ未満のアスペクト比および/または深さを有するように不十分にエッチングされた、不完全に規定されたフィーチャである。様々な実施形態において、第1フィーチャ442は、第1フィーチャ442の底部に第1ハードマスク材料を備える。基板は、多くのフィーチャを備えてよく、各フィーチャは、様々な深さ、アスペクト比、および、クリティカルディメンションを有してよく、それらの一部は、第2フィーチャ440に示すように完全に規定されることを理解されたい。また、完全に規定されたフィーチャは、その他の完全に規定されたフィーチャに隣接してもよいし、隣接しなくてもよいことを理解されたい。いくつかの実施形態において、基板全体における欠陥フィーチャの存在は、ランダムでありうる。
蒸着またはエッチングの前で、かつ、第1ハードマスク材料421上にフィーチャをリソグラフィで規定した後には、第1フィーチャ442のアスペクト比は、第2フィーチャ440のアスペクト比の約1%~約10%の範囲内にありうる。いくつかの実施形態において、蒸着またはエッチングの前で、かつ、第1ハードマスク材料421上にフィーチャをリソグラフィで規定した後には、第1フィーチャ442のクリティカルディメンションは、第2フィーチャ440のクリティカルディメンションの約0.5%~約1%の範囲内にある。
アスペクト比依存の蒸着(PECVDなど)が実行され、そこで、第1ハードマスク材料421(フォトレジストパターンなど)のフールド面の上の厚さ(矢印422aで示す)(厚さo)が、側壁上に蒸着された第2ハードマスク材料422の厚さ(矢印422bで示す)よりも大きくなるように、第2ハードマスク材料422(炭素含有(C)材料など)が、フォトレジストパターン上に蒸着される。いくつかの実施形態において、図3の動作312を受けた膜は、図4Aの膜と同様の構造になる。様々な実施形態において、第2ハードマスク材料422は、炭素ハードマスクである。様々な開示された実施形態において、厚さnで示すより薄いまたは少ない第2ハードマスク材料422が、(部分的にはアスペクト比依存の蒸着速度によって)より小さいホール(第1フィーチャ442など)の中に蒸着され、一方で、厚さmで示すより多いまたは厚い第2ハードマスク材料422が、より大きいホール(第2フィーチャ440など)の中に蒸着される。また、特定の処理条件下では、フィーチャの底部に第2ハードマスク材料の蒸着がない(例えば、nおよび/またはmが0である)ことに注意されたい。様々な実施形態において、フィーチャの底部に蒸着された第2ハードマスク材料の厚さは、上部よりもかなり薄い(すなわち、mがo未満、および/または、nがo未満)。
様々な実施形態において、蒸着後かつエッチング前には、oは、約2nm~約10nmの間の厚さである。様々な実施形態において、mは、約1nm~約5nmの間であってよい。様々な実施形態において、nは、約0nm~約2nmの間であってよい。これらの厚さの例は、膜が、1サイクル(動作312の一回の動作によって規定されるサイクル)で蒸着された場合の厚さの一例として提供されている。
上述のように、いくつかの実施形態において、異なるタイプの第1ハードマスク材料421に対して、蒸着に利用される処理条件およびガスは様々であってよい。ホールの底部に正味の蒸着第2ハードマスク材料の厚さがないように、蒸着処理条件を調整することにより、選択的または優先的蒸着を達成することができる。エッチング選択性は、蒸着される材料に依存しうるため、第2ハードマスク材料を蒸着するための技術が異なれば、結果として異なるエッチング選択性を生じうる。蒸着処理条件を調整することで、第1ハードマスク材料(有機フォトレジスト、ならびに、EUVおよび/またはDUV波長範囲で感光性を有するその他の組成のフォトレジスト材料など)に対する蒸着された第2ハードマスク材料のエッチング速度を調節できる。一例において、蒸着は、炭素材料が基板の特定の表面上に優先的に蒸着するように、メタン(CH)などの炭素含有化学物質に基板を暴露させることによって実行されてよい。本明細書に記載の優先的または選択的蒸着は、幾何学的な選好性(すなわち、同じ基板上の基板の異なる領域(フィーチャの上部または底部の幾何学的位置など)において、或る表面上に別の表面よりも多く蒸着する)ことを意味する。メタンを一例として上述したが、化学式C(ここで、xおよびyは1以上の整数)を有しうるその他の炭素含有化学物質を用いもよい。いくつかの実施形態において、炭素含有第2ハードマスク材料の優先的蒸着は、本明細書で用いる「選択的炭素蒸着」すなわち「SCD」と呼ばれてもよい。選択的炭素蒸着は、低バイアス(例えば、自己バイアス電力=約5V~約15V)および約30W~約500Wの範囲の低RFプラズマ電力で実行されてよい。いくつかの実施形態において、炭素含有化学物質は、プラズマを生成するために、1または複数の希釈剤と混合されてもよい。希釈剤の例は、窒素、ヘリウム、アルゴン、水素、および、それらの組み合わせを含む。
図3に戻ると、動作314において、基板は、方向性エッチングされる。いくつかの実施形態において、第2ハードマスク材料は、動作314中にエッチングされる。エッチング中、いくつかの実施形態において、第2ハードマスク材料は、第1ハードマスク材料のフィールド領域を保護する。様々な実施形態において、方向性エッチングは、ALEを用いて実行されてよい。様々な実施形態において、方向性エッチングを実行することを可能にするために、バイアスが印加される。上述のように、ALEの1サイクルは、基板の表面を改質するための改質ガスの吸着の後に、改質された表面を除去するための除去ガスへの暴露を含む。いくつかの実施形態において、チャンバは、改質および除去の合間にパージされる。いくつかの実施形態において、改質および除去動作の少なくとも一方の間に、プラズマが点火される。様々な実施形態において、動作314の一例は、1サイクルのALEを実行することを含む。様々な実施形態において、動作314の一例は、2以上のサイクルのALEを実行することを含む。
上述のように、いくつかの実施形態において、過飽和ALEが実行されてもよい。例えば、基板の表面が、約x秒間(約1秒など)基板表面を改質するために第1エッチャントで飽和されうる場合、過飽和ALEは、少なくとも2x(例えば、ALEで表面を飽和させるのに十分な期間の少なくとも2倍)、3x、10x、または、それ以上の倍数の期間にわたって、第1エッチャントに基板を暴露させることを含んでよい。様々な実施形態において、ALEは自己制限的であり、すなわち、改質されたものだけが、単一のサイクルで除去される。したがって、一部の過飽和ALE処理において、材料の約1Å~約10Åが、単一のサイクルで除去されてよい。非過飽和ALEのいくつかの実施形態では、約3Å以下、すなわち、およそ材料の単分子層が、単一のサイクルで除去される。いくつかの実施形態において、過飽和ALEは、1サイクルで約5nm~約10nmの間の材料をエッチングできる。
改質ガスおよび除去ガス化学物質は、エッチングされる材料に基づいて選択される。特定の開示された実施形態において、第2ハードマスク材料の蒸着が、フィーチャの底部にも第2ハードマスク材料を形成し、蒸着が、第1ハードマスク上に実行され、それに対して、エッチングが、所望のフィーチャ深さおよびアスペクト比と同じフィーチャ深さおよびアスペクト比を達成することを望まれるので、改質ガスおよび除去ガス化学物質は、第2ハードマスク材料および第1ハードマスク材料の両方をエッチングすることができる。エッチングは、ALEがすべてのフィーチャで等しく実行されるように、アスペクト比に依存しない方法で実行される。しかしながら、蒸着がアスペクト比に依存していたので、特定の開示された実施形態に従って、アスペクト比に依存しない技術でフィーチャをエッチングし、循環的に蒸着およびエッチングを実行した結果として、フィーチャが基板にわたってますます同じサイズに近づくようにサイズ変動およびアスペクト比変動の低減されたフィーチャを有する基板の形成につながる。より具体的には、基板のクリティカルディメンション分布における最小および最大のクリティカルディメンション(例えば、分布の6σなどの遠尾部)は、分布の広がりが有意に低減または排除されるように修正される。
図4B~図4Dは、特定の開示された実施形態の動作を受ける基板の例を示す。図4Bは、対象層419、下層417、下層415、隣接下層413、パターニングされた第1ハードマスク層421、および、第2ハードマスク材料432(ALEによって方向性エッチングされてる)を有する基板を示す。様々な実施形態において、これは、図3の動作314を受けた基板の一例である。点線422lは、エッチングの前の第2ハードマスク層432の厚さを示しており、実線で示す第2ハードマスク層432は、ALEによるエッチング後の第2ハードマスク材料432の厚さを示している。第2フィーチャ450の底部450bでの膜の厚さmは、ALEの前の第2フィーチャ450の底部450bでの厚さであり、第2フィーチャ450の底部45bでの膜の厚さmは、ALE実行後の厚さである。同様に、第1フィーチャ452の底部452bでの膜の厚さnは、ALEの前の厚さであり、厚さnは、ALE後の厚さである。様々な実施形態において、m-mは、おおよそn-nに等しいことが理解される。
様々な実施形態において、mは、蒸着およびエッチングの1サイクル後で1サイクルの前の厚さより約0.1nm~約0.5nmだけ小さくてよい。様々な実施形態において、nは、蒸着およびエッチングの1サイクル後で1サイクルの前の厚さより約0nm~約0.2nmだけ小さくてよい。
例に示すように、第2フィーチャ450は、(アスペクト比とフィーチャ幅またはクリティカルディメンションとの両方で)第1フィーチャ452よりも大きいので、アスペクト比に依存しないエッチング技術(ALEなど)を用いたエッチングは、第2フィーチャ450および第1フィーチャ452の両方の底部で同じ厚さの材料を除去する。しかしながら、蒸着およびエッチングのサイクルの間に、第2フィーチャ450の厚さは、第1フィーチャ452の厚さよりも厚く、その結果、最終的に、第1フィーチャ452の底部452bの第2ハードマスク材料432は完全に除去され、したがって、エッチングおよび蒸着の各サイクルは、第1ハードマスク材料421をエッチングして、0に近づくように厚さzを低減させる。
図3に戻ると、動作316において、第1フィーチャ内の第2ハードマスク材料の下にある第1ハードマスク材料を最終的に除去することで、アスペクト比および/またはフィーチャ深さおよび/またはクリティカルディメンションなどのフィーチャサイズの変動を低減するために、動作312および314が循環的に繰り返される。様々な実施形態において、動作312および314は、同じツール内で、または、同じチャンバ内で、または、真空を中断することなしに実行される。様々な実施形態において、動作312および314の反復動作は、結果として、動作314中に、エッチングされる基板上の材料が第1フィーチャ内の第1ハードマスク材料であるいくつかの実施形態をもたらす。いくつかの実施形態において、動作312および314は、第1および第2フィーチャの平均クリティカルディメンションが少なくとも約15nmになるまで繰り返される。いくつかの実施形態において、動作312および314は、第1および第2フィーチャのクリティカルディメンションの間の差が1Å未満になるまで繰り返される。いくつかの実施形態において、動作312および314は、第1フィーチャの底部の第1ハードマスク材料を除去して隣接下層を露出させるために、循環的に繰り返される。いくつかの実施形態において、動作312および314は、第1ハードマスク材料上のすべてのフィーチャが第1ハードマスク材料の厚さを通してエッチングされるまで、循環的に繰り返される。
1サイクルは、第2ハードマスク材料の蒸着と、方向性エッチングの1動作とを含む。いくつかの実施形態において、1サイクルは、第2ハードマスク材料の蒸着と、ALEの1サイクルとを含む。すなわち、動作312および314を繰り返す1サイクルは、以下の動作を含む:第2ハードマスク材料前駆体および反応物質に基板を暴露させる動作、基板の露出面上に完全に吸着するのに少なくとも十分な期間にわたって改質ガスに基板を暴露させる動作、ならびに、除去ガスに基板を暴露させる動作。別の例において、動作312および314を繰り返す1サイクルは、以下の動作を含む。第2ハードマスク材料前駆体および反応物質に基板を暴露させる動作、基板の露出面上に完全に吸着するのに十分な期間よりも長い期間にわたって改質ガスに基板を暴露させる動作、ならびに、除去ガスに基板を暴露させる動作。別の例において、動作312および314を繰り返す1サイクルは、以下の動作を含む。第2ハードマスク材料前駆体および反応物質に基板を暴露させる動作、基板の露出面上に完全に吸着するのに少なくとも十分な期間にわたって改質ガスに基板を暴露させる動作、基板を収容するチャンバをパージする動作、除去ガスに基板を暴露させる動作、ならびに、チャンバをパージする動作。別の例において、動作312および314を繰り返す1サイクルは、以下の動作を含む。第2ハードマスク材料前駆体および反応物質に基板を暴露させる動作、基板を収容するチャンバをパージする動作、基板の露出面上に完全に吸着するのに十分な期間よりも長い期間にわたって改質ガスに基板を暴露させる動作、除去ガスに基板を暴露させる動作、ならびに、チャンバをパージする動作。いくつかの実施形態において、改質ガスへの暴露および除去ガスへの暴露の少なくとも一方の間に、プラズマが点火される。
別の例において、動作312および314を繰り返す1サイクルは、以下の動作を含む。アスペクト比に依存しない方法(PECVDなど)で炭素含有膜を蒸着するために、メタンに基板を暴露させる動作、基板の露出面上に完全に吸着するのに十分な期間よりも長い期間にわたって酸素含有改質ガスに基板を暴露させる動作、ならびに、除去ガスに基板を暴露させる動作。
図4Cは、特定の開示された実施形態の1または複数回のサイクルを受けた基板の一例を示す。点線422lは、エッチング前の図4Aの第2ハードマスク材料の厚さを示す。図4Bと比較すると、第2ハードマスク材料442は、第2フィーチャ460の底部460bに第2ハードマスク材料442が存在せず、同様に、第1フィーチャ462の底部462bに第2ハードマスク材料442が存在しないように、エッチングされている。いくつかの実施形態において、第1フィーチャ462の底部に第2ハードマスク材料が存在しない時に、第2フィーチャ460の底部にいくらかの第2ハードマスク材料442がまだ存在してもよい。この例において、第1ハードマスク材料421は、第2フィーチャ460と第1フィーチャ462との間のフィーチャ深さの差がまだzであるので、まだエッチングされていない。
図4Dは、図4Cから蒸着およびエッチングの複数回のサイクル後の基板の一例を示しており、第1ハードマスク材料431が第1フィーチャ472の底部472bでエッチングされている。zで示される第2フィーチャ470および第1フィーチャ472の間の厚さの以前の差は、xだけ低減され、結果として、第2フィーチャ470と第1フィーチャ472との間の厚さの差は、z-xになっている。複数サイクルの蒸着およびエッチングが実行されると、xがzに近づくことで、zが0に近づき、したがって、フィーチャ深さの変動が低減され、第1フィーチャ472のフィーチャ深さは、第2フィーチャ470のフィーチャ深さに近づく。図に示すように、基板のフィールド領域上の第2ハードマスク材料443には、まだ或る程度の厚さがある。概略図は、第2フィーチャ470および第1フィーチャ472の側壁上に、或る程度の第2ハードマスク材料443を示しているが、いくつかの実施形態において、側壁上の第2ハードマスク材料443もエッチングされてよいことが理解される。いくつかの実施形態において、バイアスを利用せずにエッチングすると、側壁443s上の第2ハードマスク材料443をエッチングする可能性が高くなる。いくつかの実施形態において、側壁443s上の或る程度の残りの第2ハードマスク材料443は、第1フィーチャ472が第2ハードマスク443と同じフィーチャ深さまで十分にエッチングされる限りは許容されうる。様々な実施形態において、第1フィーチャ472のアスペクト比が第2フィーチャ470のアスペクト比に近づくように、第1フィーチャ472および第2フィーチャ470のプロファイルを調整するために、処理条件および蒸着およびエッチングが調節されてよい。例えば、エッチングがバイアスの印加を含む蒸着・エッチングサイクルと、エッチングがバイアスの印加を含まない蒸着・エッチングサイクルとの両方の組みあわせを用いれば、繰り返しサイクルと、かかるサイクルの全体の組みあわせとが、第2フィーチャ470と同様のアスペクト比を有するよう第1フィーチャ472をエッチングするように、第1フィーチャ472の側壁をトリミングしつつ、所望の深さまで第1フィーチャ472をエッチングすることができる。
各サイクルの蒸着が第1フィーチャ472よりも第2フィーチャ470内に厚い第2ハードマスク材料を形成することを可能にするため、蒸着およびエッチングの反復サイクルは、隣接下層413をエッチングせず、したがって、アスペクト比に依存しないエッチングにより第2フィーチャ470および第1フィーチャ472の両方で同じ量をエッチングする後続の方向性エッチングは、第2フィーチャ470内の第2ハードマスク材料をエッチングする一方で、第1フィーチャ472内で、或る程度の第2ハードマスク材料だけでなく第1ハードマスク材料もエッチングする。いくつかの実施形態において、隣接下層413は、第1および第2ハードマスク材料に対してエッチング選択性を有するため、第2フィーチャの底部の表面上に第2ハードマスク材料がない場合でも、エッチングおよび蒸着の反復サイクルは、隣接下層413の表面を劣化させることがない。
特定の開示された実施形態において、数サイクルにわたる第2ハードマスク材料の選択的蒸着および基板のALEが、最終的に第1ハードマスク材料を不完全に規定されたフィーチャの側壁および底部から完全に除去することで、所望のフィーチャ仕様を実現し、基板にわたる様々なフィーチャの一貫したアスペクト比およびフィーチャ深さを達成するために実行されてよい。すなわち、完全に規定差されたフィーチャのフィーチャ深さと不完全に規定されたフィーチャのフィーチャ深さとの差が0に近づくように、選択的蒸着およびALEのサイクルが実行されてよい。いくつかの実施形態において、完全に規定されたフィーチャのフィーチャアスペクト比と不完全に規定されたフィーチャのフィーチャアスペクト比との差が0に近づくように、選択的蒸着およびALEのサイクルが実行されてよい。蒸着された炭素含有材料とフォトレジストとの間のエッチング速度の差により、ALE中に、より小さいホールのクリティカルディメンションが成長し、より大きいホールに近づく。また、蒸着された炭素含有材料除去のフォトレジストに対する量は、ALE中に正確に制御される。ALEの1つの特徴は、構造アスペクト比(高さ対幅の比)と無関係のエッチング速度を一般に生じる自己制限的な材料除去速度である。
この例において、対象となる構造は、底部まで完全に除去されているか(フォトレジストが現像されて取り除かれる)か、または、部分的にのみ除去されているか(欠陥と見なされるため望ましくない)にかかわらず、フォトレジストにリソグラフィで規定されたホールパターンである。ALE動作の処理条件は、フォトレジストのタイプに応じて変化してよい。処理条件の例は、図2および図3に関して上に提供されている。一般に、上述した2つの動作(図3の動作312および314)は、より小さい(欠陥)ホールがフォトレジスト残留物から完全に取り除かれて、図4A~図4Dに示したように、良好に規定されたホールにより近いクリティカルディメンションを達成するまで、循環的に繰り返される。ALE中のエッチング条件は、第2ハードマスク材料(SCD炭素含有材料など)および/または第1ハードマスク材料(フォトレジストなど)と比較して、隣接下層(上述のように、SiARCなどの有機物または金属酸化物などの無機物のいずれかでありうる)で無視できるほどのエッチング速度を達成するように調節される。
図4Eは、蒸着およびエッチングの様々なサイクル後の基板を示す。第1ハードマスク材料441は、隣接下層413を露出させるために、第1フィーチャ482の底部482bでエッチングされる。同様に、第2フィーチャ480の底部480bも、隣接下層413を露出させる。基板のプロファイルは、点線4221と比較され、点線は、第2ハードマスク材料492の蒸着後で、エッチングの前、かつ、複数サイクルの蒸着およびエッチングの前の基板のプロファイルを示す。
様々なの実施形態において、蒸着およびエッチングのサイクルは、第1および第2フィーチャの平均クリティカルディメンションが約25nm以下または少なくとも約15nmになるまで実行される。様々な実施形態において、蒸着およびエッチングのサイクルは、所望のフィーチャクリティカルディメンションが20nmである一例においては、第1および第2フィーチャのクリティカルディメンションの差が、約0.2nm未満、または、互いに約1%の範囲内になるまで、実行される。
結果として、(図4Eに示した)蒸着およびエッチングの様々なサイクルの終わりに、非常に多数のビアホールのアレイに3つの特徴が観察される。第1に、良好に規定されたビアホール(第2フィーチャ480)のクリティカルディメンション(CD)は、入力リソグラフィ寸法に対して有意に変化(増大または減少のいずれか)していない。第2に、「欠陥」ビアホール(第1フィーチャ482)の底部482bで(リソグラフィ処理で確率的に引き起こされた)「望ましくない」フォトレジスト「残留物」が除去され、クリティカルディメンションが目標または所望のクリティカルディメンションに近づいている。第3に、(ポジティブトーンリソグラフィの場合に)露出されていない領域(矢印441a)におけるフォトレジストまたは第1ハードマスク材料441の厚さは、(任意のSCDまたはALEの前であるが、EUVリソグラフィの後の)リソグラフィ処理の完了後に、フォトレジストの厚さに近くなる(または若干上回る)ように維持される。蒸着された第2ハードマスク材料492が、第1フィーチャ482の底部482bから第1ハードマスク材料441を除去するために実行されるエッチング中に、第1ハードマスク材料441をエッチングから保護するので、矢印441aによって示された非露出領域は、リソグラフィ後かつ蒸着・エッチングサイクル前の厚さと同様の厚さを有する。フォトレジストにおいて結果として得られる最終パターンを図4Eに示す。最後に、第1ハードマスク材料パターンは、下にあるハードマスクスタック(413、415、417など)に転写され、最終的にはエッチング処理によって対象層419へと転写されうる。
図5は、特定の開示された実施形態に従ってALEおよびSCDが実行される実施形態の一例の処理フローチャートである。図5は、原子層蒸着を用いた炭素含有材料の蒸着および方向性エッチング言及しているが、開示された実施形態は、この記載した技術を用いた炭素含有材料の蒸着またはエッチングに限定されないことが理解される。リソグラフィを受ける第1ハードマスク材料に応じて、および、隣接下層材料に応じて、任意の他の適切な第2ハードマスク材料が用いられてもよい。さらに、他のエッチング方法が用いられてもよい。例えば、様々な実施形態において、アスペクト比に依存しないエッチング技術、または、別の層ごとの自己制限的なエッチング技術が用いられてもよい。
図5に戻ると、いくつかの実施形態において、図5の動作は、約5mTorr~約100mTorrの間のチャンバ圧力を有するチャンバ内で実行されてよい。図5の動作は、約0℃~約120℃の間、約20℃~約60℃の間、または、約0℃~約60℃の間の基板温度で実行されてよい。基板温度は、基板を保持するペデスタルまたはウエハホルダが設定された温度を意味すると理解される。図5に示す動作は、図3に関して上述したように実行されうる動作の例をまとめたものである。動作503~507は、図3の動作312に対応し、動作509~515は、図3の動作314に対応しうる。図3の動作310は、図5の動作501の前に実行されてよい。いくつかの実施形態において、動作501~599は、同じチャンバ内で、または、同じツール内で、または、真空を中断することなしに実行される。
動作501において、以前にリソグラフィを受けた基板がチャンバに提供される。様々な実施形態において、基板は、炭素含有材料を含む。上述のように、炭素含有材料は、フォトレジスト、グラフェン、または、非晶質炭素を含んでよい。動作501は、図2の201aおよび202aに示した概略図に対応しうる。動作501で提供された基板は、不完全に規定されたフィーチャ(図1Bの第2フィーチャ142など)および完全に規定されたフィーチャ(図1Bの第1フィーチャ140など)の両方を含む。
動作503において、基板は、炭素含有材料の層を基板上に形成するために、炭素含有化学物質に暴露される。蒸着が、アスペクト比に依存する方法で実行される。例えば、いくつかの実施形態において、より小さいフィーチャの底部よりも、より大きいフィーチャの底部に、多くの炭素含有材料が蒸着されるように、基板の表面上に炭素含有材料を形成するために、炭素含有化学物質を用いて、PECVDが実行される。これは、いくつかの実施形態において、基板の炭素含有表面上の裂け目を埋めるために用いられてよい。この動作は、図3の動作312に対応しうる。いくつかの実施形態において、蒸着は、プラズマを用いて実行され、いくつかの実施形態においては、バイアスが印加されてよい。炭素蒸着は、低バイアス(例えば、自己バイアス電力=約5V~約15V)および約30W~約500Wの範囲の低RFプラズマ電力で実行されてよい。いくつかの実施形態において、炭素含有化学物質は、プラズマを生成するために、1または複数の希釈剤と混合されてもよい。希釈剤の例は、窒素、ヘリウム、アルゴン、水素、および、それらの組み合わせを含む。
動作505は、任意選択的である。動作505において、任意選択的に、基板は、炭素含有材料が基板の水平面上に優先的に蒸着されるように、基板の領域を不動態化して、後続のサイクルで優先的蒸着を可能にするために、不活性ガスプラズマに暴露される。不活性ガスプラズマは、水素、ヘリウム、窒素、アルゴン、および、ネオンの内の任意の1または複数を流して、プラズマを点火することによって生成されてよい。プラズマは、約30W~約500Wの間のプラズマ電力を用いて点火されてよい。
いくつかの実施形態において、チャンバは、動作503および505の実行の合間にパージされてもよい。いくつかの実施形態において、基板は、記載されている動作の実行の合間に1または複数回にパージされてもよい。様々な実施形態において、動作503および505は、任意選択的に、循環的に繰り返されてもよく、それらのサイクルは、動作503および504の実行の合間にパージ動作ありまたはパージなしで実行されてよい。
動作507で、反応チャンバは、任意選択的に、パージされてよい。いくつかの実施形態において、パージは、動作503と505との合間、または、動作503の後のみ、または、動作505の後のみに実行される。本明細書に記載のパージ動作は、任意の適切なパージ技術を用いて、チャンバからガスをポンプで出すことによって、1または複数の不活性ガスを流すことによって、もしくは、それらの組みあわせによって実行されてよいことがわかる。
動作509において、基板は、基板の表面を改質するために、酸素含有ガス(酸素、COなど)、または、一部の例ではフッ化炭素ガスなどの改質剤に暴露される。いくつかの実施形態において、動作503で蒸着された炭素含有材料が改質される。いくつかの実施形態において、以前にリソグラフィを受けた炭素含有材料の下層のフォトレジストが改質される。いくつかの実施形態において、改質される基板表面上の材料は、フィーチャごとに異なる。例えば、いくつかの実施形態において、一部のフィーチャの底部はフォトレジストを含み、一方、その他のフィーチャの底部は炭素含有材料を含む。フィーチャの底部の材料は、フィーチャのアスペクト比、フィーチャ深さ、および、基板が受けた蒸着およびエッチングのサイクル回数に依存して決まりうる。様々な開示した実施形態において、基板上の炭素含有材料は改質される。この動作は、図2の201bおよび202bに示した概略図に対応しうる。様々な実施形態において、基板は、基板の単分子層を改質するのに十分な期間よりも長い期間にわたって改質剤に暴露される。いくつかの実施形態において、改質剤は、改質される材料の約1~約5原子層が改質されるように、基板を過飽和するために用いられる。改質剤は、第1ハードマスク材料(フォトレジストなど)および第2ハードマスク材料(炭素含有材料など)の両方を改質するのに適するように選択されてよい。
動作511において、チャンバは、余分な改質剤(弱酸化剤、すなわちCOなど)をチャンバから除去するために、任意選択的にパージされる。この動作は、図2の202dに対応しうる。チャンバは、余分な気相改質剤を除去するために、チャンバを排気するかまたは改質剤の流れを停止して、ヘリウムまたはアルゴンなどの非反応性不活性ガスを流すことによってパージされてよい。
動作513において、基板は、改質表面を除去するために、不活性ガスプラズマなどの除去剤に暴露される。動作407中、不活性ガスプラズマが基板をスパッタリングすることなしに改質表面を除去するのに十分なエネルギを生成するために、バイアスが印加される。いくつかの実施形態において、プラズマを用いなくてもよい。いくつかの実施形態において、バイアスを用いなくてもよい。いくつかの実施形態では、改質表面を除去するのに、バイアスなしのプラズマ単独で十分である。除去剤は、第1ハードマスク材料(フォトレジストなど)および第2ハードマスク材料(炭素含有材料など)の両方をエッチングするのに適するように選択されてよい。除去剤は、選択された改質剤に応じて選択されてよい。
動作515において、チャンバは、気相の改質材料をチャンバから除去するために、任意選択的にパージされる。
動作517において、動作509~515が、任意選択的に、循環的に繰り返されてよい。いくつかの実施形態において、動作517は、全く実行されず、動作509~515の1サイクルのみが、動作503での炭素含有材料の蒸着ごとに実行される。
動作599において、基板が、基板上に所望の表面を形成するのに十分にエッチングされた否かが判定される。十分でない場合、動作503~517が、任意選択的に、xサイクル繰り返されてよい(xは、1以上の整数)。いくつかの実施形態において、動作509~517は、すべての反復サイクルではなく一部のサイクルでのみ繰り返されるが、いくつかの実施形態において、動作509~517は、すべてのサイクルで繰り返される。
様々な実施形態において、動作503~517は、幅広いフォトレジストおよび下層材料、ならびに、他のタイプのパターン(ライン-スペース回折格子など)に対して、調節または変更されてもよい。例えば、反復サイクル回数、または、SCDの各選択された期間に対して実行されるALEサイクル回数は、他の要素に加えて、処理される基板上に提供された材料、SCDによって蒸着される材料、処理される基板に提供された材料のパターン、および、処理される基板に提供された材料の下にある下層の材料、に依存してよい。ガス化学物質、印加する場合にはバイアス電力、プラズマ条件、温度、圧力、および、その他の処理条件も、他の要素に加えて、処理される基板上に提供された材料、SCDによって蒸着される材料、処理される基板に提供された材料のパターン、および、処理される基板に提供された材料の下にある下層の材料、に依存して変化してよい。
ALE処理および選択的蒸着処理を組み合わせることにより、フォトレジスト欠陥が修復される。次いで、この改善は、下層のハードマスク(酸化シリコン/窒化シリコン(SiO/SiN)層など)ひいては対象の構造に伝わり、デバイスのばらつきおよび性能の改善につながる。
サイクルごとにデジタル量の材料を除去するALE動作は、穏やかで正確であるため、軟らかいレジスト材料をエッチングしすぎないようにエッチングを容易に制御できる。同様に、炭素系の選択的蒸着は、非常に低いソース電力(例えば、トランス結合プラズマすなわちTCP)および無バイアスを利用し、蒸着はレジストを損傷することなしに実行されうる。
いくつかの実施形態において、選択的炭素蒸着は、任意選択的であってもよい。例えば、これらの特定の実施形態は、いくつかの欠陥が許容されうる応用例で用いられてよい。いくつかの実施形態において、他の蒸着技術が、選択的炭素蒸着の代わりに実行される。例えば、いくつかの実施形態において、PECVDが実行されてもよく、それは、反応物質の連続的暴露およびフィーチャ形状により、より大きいフィーチャがより多くの材料を蒸着され、より小さいフィーチャがより少ない材料を蒸着されるようなローディング効果のためにアスペクト比依存で蒸着する。
特定の実施形態において、元々のクリティカルディメンションがフォトレジストを用いるパターニング処理を通して維持される場合に、ローカルクリティカルディメンション均一性(LCDU)を改善すると共にクリティカルディメンションを回復させるために、開示したALE動作および炭素蒸着の組みあわせが、炭素含有材料に用いられてよい。
装置
開示されている実施形態は、任意の適切なエッチングチャンバまたは装置(カリフォルニア州フレモントのLam Research社製のKiyo(登録商標)FXなど)で実行されてよい。利用可能なプラズマエッチングチャンバの別の例は、カリフォルニア州フレモントのLamResearch社製のFlex(商標)Flex(商標)反応性イオンエッチングツールである。プラズマエッチングチャンバのさらなる説明が、米国特許第6,841,943号および第8,552,334号に記載されており、それらの全体が参照によって本明細書に組み込まれる。
いくつかの実施形態では、誘導結合プラズマ(ICP)リアクタが用いられてよい。一例が図6に提供されている。かかるICPリアクタは、2013年12月10日出願で2016年6月7日発行の米国特許第9,362,133号「METHOD FOR FORMING A MASK BY ETCHING CONFORMAL FILM ON PATTERNED ASHABLE HARDMASK」にも記載されており、本明細書に記載の技術の実施に適切なICPリアクタを記載する目的で参照によって本明細書に組み込まれる。本明細書ではICPリアクタが記載されているが、いくつかの実施形態において、容量結合プラズマリアクタが用いられてもよいことを理解されたい。エッチングチャンバまたは装置の一例は、本明細書に記載されるように、チャンバ壁を有するチャンバと、ウエハをチャックまたはデチャックするための静電電極を備えてよくRF電源を用いて帯電されうる処理対象の基板またはウエハを保持するためのチャックと、プラズマを生成するためにコイルに電力を供給するよう構成されたRF電源と、ガスを流入させるのためのガス流入口と、を備えてよい。例えば、改質剤ガスおよび/または蒸着化学物質が、それぞれ、ALEおよび/または蒸着を実行するためにエッチングチャンバに流されてよい。いくつかの実施形態において、装置が、2以上のチャンバを備えてもよく、各チャンバは、基板のエッチング、蒸着、または、処理に用いられてよい。チャンバまたは装置は、チャンバ圧、不活性ガス流量、プラズマ電力、プラズマ周波数、反応ガス流量(例えば、弱酸化剤ガス、炭素含有ガスなど)、バイアス電力、温度、真空設定、および、その他の処理条件を調節するなど、チャンバまたは装置の動作の一部または全部を制御するためのシステムコントローラを備えてよい。チャンバは、基板上に炭素含有材料を蒸着させるために用いられてもよい。
図6は、本明細書の特定の実施形態を実施するのに適した誘導結合プラズマ統合エッチング/蒸着装置600の概略断面図であり、その一例は、カリフォルニア州フレモントのLam Research社製のKiyo(商標)リアクタである。誘導結合プラズマ統合エッチングおよび蒸着装置600は、チャンバ壁および窓611によって構造的に規定されたチャンバ601を備える。チャンバ壁は、ステンレス鋼またはアルミニウムから製造されてよい。窓611は、石英またはその他の誘電材料から製造されてよい。任意選択的なグリッド650が、チャンバ601を上側サブチャンバ602および下側サブチャンバ603に分割する。ほとんどの実施形態において、プラズマグリッド650を取り除くことにより、サブチャンバ602および603でできたチャンバ空間を利用することができる。チャック617が、下側サブチャンバ603内で底部内面付近に配置されている。チャック617は、エッチング処理および蒸着処理が実行されるウエハ619を受けて保持するよう構成されている。チャック617は、ウエハの存在時にウエハ619を支持するための静電チャックでありうる。いくつかの実施形態では、エッジリング(図示せず)が、チャック617を取り囲んでおり、ウエハがチャック617上に存在する時にウエハ619の上面とほぼ同一平面上にある上面を有する。チャック617は、ウエハをチャックおよびデチャックするための静電電極も備える。フィルタおよびDCクランプ電源(図示せず)が、そのために提供されてよい。ウエハ619をチャック617から持ち上げるための他の制御システムも準備されうる。チャック617は、RF電源623を用いて帯電されうる。RF電源623は、接続627を通して整合回路621に接続される。整合回路621は、接続625を通してチャック617に接続される。このように、RF電源623が、チャック617に接続されている。
プラズマ生成のための要素には、窓611の上方に配置されたコイル633が含まれる。いくつかの実施形態においては、開示された実施形態でコイルは利用されない。コイル633は、導電材料から製造され、少なくとも1つの完全な巻きを含む。図6に示すコイル633の例は、3回の巻き数を含む。コイル633の断面が記号で示されており、「X」のコイルは、紙面の表から裏に向かって回転して伸び、「●」のコイルは、紙面の裏から表に向かって回転して伸びている。プラズマ生成のための要素には、コイル633にRF電力を供給するように構成されたRF電源641も含まれる。一般に、RF電源641は、接続645を通して整合回路639に接続される。整合回路639は、接続643を通してコイル633に接続される。このように、RF電源641が、コイル633に接続されている。任意選択的なファラデーシールド649が、コイル633と窓611との間に配置されている。ファラデーシールド649は、コイル633に対して離間された関係に維持される。ファラデーシールド649は、窓611の直上に配置される。コイル633、ファラデーシールド649、および、窓611は、各々、互いに実質的に水平になるように構成される。ファラデーシールドは、金属またはその他の種がチャンバ601の誘電体窓上に蒸着することを防ぎうる。
処理ガス(例えば、酸素、二酸化炭素、メタンなど)が、上側サブチャンバ602内に配置された1または複数のガス流入口660ならびに/もしくは1または複数の側方ガス流入口670を通してチャンバ601に流されてよい。同じように、明示されていないが、同様のガス流入口が、容量結合プラズマ処理チャンバに処理ガスを供給するために用いられてよい。真空ポンプ(例えば、1または2段の機械的乾式ポンプおよび/またはターボ分子ポンプ)640が、チャンバ601から処理ガスを引き出すため、および、チャンバ601内の圧力を維持するために用いられてよい。例えば、ポンプは、ALEのパージ動作中にチャンバ601を排気するために用いられてよい。バルブ制御された導管が、真空ポンプをチャンバ601に流体接続して、真空ポンプによって提供される真空環境の印加を選択的に制御するために用いられてよい。これは、動作プラズマ処理中、スロットルバルブ(図示せず)または振り子バルブ(図示せず)などの閉ループ制御された流量制限装置を用いて行われてよい。同様に、真空ポンプ、および、容量結合プラズマ処理チャンバへのバルブ制御された流体接続が、用いられてもよい。
装置の動作中、1または複数の処理ガスが、ガス流入口660および/または670を通して供給されてよい。特定の実施形態において、処理ガスは、ガス流入口660を通してのみ、または、サイドガス流入口670を通してのみ供給されてよい。いくつかの場合、図に示したガス流入口は、例えば、より複雑なガス流入口、1または複数のシャワーヘッドで置き換えられてもよい。ファラデーシールド649および/または任意選択的なグリッド650は、チャンバ601への処理ガスの供給を可能にする内部チャネルおよび孔を備えてよい。ファラデーシールド649および任意選択的なグリッド650の一方または両方が、処理ガスの供給のためのシャワーヘッドとして機能してよい。いくつかの実施形態において、液体反応物質または前駆体が気化されて、気化した反応物質または前駆体がガス流入口660および/または670を介してチャンバ601に導入されるように、液体気化/供給システムが、チャンバ601の上流に配置されてもよい。
高周波電力が、RF電源641からコイル633へ供給されることで、RF電流がコイル633を流れる。コイル633を流れるRF電流は、コイル633の周りに電磁場を生成する。電磁場は、上側サブチャンバ602内で誘導電流を発生させる。生成された様々なイオンおよびラジカルとウエハ619との物理的および化学的な相互作用が、ウエハのフィーチャをエッチングすると共にウエハ上に層を蒸着する。
上側サブチャンバ602および下側サブチャンバ603の両方が存在するようにプラズマグリッドが利用される場合、誘導電流は、上側サブチャンバ602に存在するガスに作用して、上側サブチャンバ602内で電子イオンプラズマを生成する。任意選択的なグリッド650は、下側サブチャンバ603内のホットエレクトロンの量を制限する。いくつかの実施形態において、装置は、下側サブチャンバ603に存在するプラズマがイオン-イオンプラズマになるように設計および動作される。
上側の電子-イオンプラズマおよび下側のイオン-イオンプラズマは両方とも、正イオンおよび負イオンを含むが、イオン-イオンプラズマの方が、正イオンに対する負イオンの比が大きい。揮発性のエッチング副生成物および/または蒸着副生成物が、ポート622を通して下側サブチャンバ603から除去されてよい。本明細書に開示されたチャック617は、約10°C~約250°Cの範囲の高温で動作されてよい。温度は、処理動作および個々のレシピに依存する。
チャンバ601は、クリーンルームまたは製造施設に設置される時に、設備(図示せず)に接続されてよい。設備は、処理ガス、真空、温度制御、および、環境粒子制御を提供する配管を備える。これらの設備は、対象となる製造施設に設置される時に、チャンバ601に接続される。さらに、チャンバ601は、典型的なオートメーションを用いてロボット技術により半導体ウエハをチャンバ601の内外に移送することを可能にする移送チャンバに接続されてよい。
いくつかの実施形態において、コントローラ630(1または複数の物理または論理コントローラを含みうる)が、処理チャンバの動作の一部または全部を制御する。コントローラ630は、1または複数のメモリデバイスと、1または複数のプロセッサとを備えてよい。いくつかの実施形態において、装置は、開示された実施形態が実行される時に流量および持続期間を制御するための切り替えシステムを備える。いくつかの実施形態において、装置は、最長約500msまたは最長約650msまでの切り替え時間を有しうる。切り替え時間は、フローケミストリ、選択されたレシピ、リアクタアーキテクチャ、および、その他の要素に依存しうる。
チャンバ601または装置は、システムコントローラを備えてよい。例えば、いくつかの実施形態において、コントローラ630は、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラ630は、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラ630は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理動作を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラ630は、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラ630は、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理動作を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。一部の例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理動作の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理動作に応じて、コントローラ630は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
チャンバ601は、マルチステーションツール(図7に示すようなものなど)に組み込まれてよい。各ステーションは、異なる動作を処理するために用いられてよい。例えば、或るステーションは、ALEなどの方向性エッチングを実行するために用いられてよく、別のステーションは、蒸着を実行するために用いられてよい。開示されている実施形態は、真空を中断することなしに実行されてよく、同じ装置内で実行されてよい。様々な実施形態において、方向性エッチング(ALEなど)および蒸着は、真空を中断することなしに実行される。様々な実施形態において、方向向性エッチング(ALEなど)および蒸着は、同じチャンバ内で実行される。
図7は、真空移送モジュール738(VTM:vacuum transfer module)に接続された様々なモジュールを備えた半導体処理クラスタアーキテクチャの図である。複数の保管設備および処理モジュールの間でウエハを「移送する」移送モジュールの配置は、「クラスタツール構造」システムと呼ばれることがある。エアロックモジュール730(ロードロックまたは移送モジュールとしても知られる)が、4つの処理モジュール720a~720dと共にVTM738内に図示されており、処理モジュールは、様々な製造処理を実行するために個別に最適化されうる。例えば、処理モジュール720a~720dは、基板エッチング、蒸着、イオン注入、ウエハ洗浄、スパッタリング、および/または、その他の半導体処理を実行するために実装されてよい。いくつかの実施形態において、ALEおよび蒸着は、同じモジュール内で実行される。いくつかの実施形態において、ALEおよび蒸着は、同じツールの異なるモジュールで実行される。基板エッチング処理モジュールの内の1または複数(720a~720dの内のいずれか)は、本明細書に開示されたように、すなわち、開示されている実施形態に従って、ALE、炭素含有材料の蒸着、および、その他の適切な機能を実行するために、実装されてよい。エアロックモジュール730および処理モジュール720は、「ステーション」と呼ばれてもよい。各ステーションは、ステーションをVTM738とつなぐファセット736を有する。各ファセットにおいて、ウエハがそれぞれのステーションの間で移動された時にウエハ726の通過を検出するために、センサ1~18が用いられる。
ロボット722が、ステーション間でウエハ726を移送する。一実施形態において、ロボット722は、1つのアームを有し、別の実施形態において、ロボット722は2つのアームを有し、各アームは、移送のためにウエハ(ウエハ726など)をつかむエンドエフェクタ724を有する。大気移送モジュール(ATM:atmospheric transfer module)740内のフロントエンドロボット732が、ロードポートモジュール(LPM:Load Port Module)742内のカセットまたは前開き一体型ポッド(FOUP:Front Opening Unified Pod)734からエアロックモジュール730へウエハ726を移送するために用いられる。処理モジュール720内のモジュールセンタ728が、ウエハ726を配置するための1つの場所となる。ATM740内のアライナ744が、ウエハを整列させるために用いられる。
処理方法の一例において、ウエハは、LPM742内のFOUP734の1つに配置される。フロントエンドロボット732は、FOUP734からアライナ744へウエハを移送し、アライナ744は、ウエハ726をエッチングまたは処理の前に適切に中心に配置することを可能にする。整列後、ウエハ726は、フロントエンドロボット732によってエアロックモジュール730へ移動される。エアロックモジュールは、ATMおよびVTMの間で環境に合わせることができるので、ウエハ726は、損傷されることなしに2つの圧力環境の間で移動されうる。エアロックモジュール730から、ウエハ726は、ロボット722によってVTM738を通して、処理モジュール720a~720dの1つに移動される。このウエハ移動を達成するために、ロボット722は、そのアームの各々にあるエンドエフェクタ724を用いる。ウエハ726は、処理されると、ロボット722によって処理モジュール720a~720dからエアロックモジュール730へ移動される。ここから、ウエハ726は、フロントエンドロボット732によってFOUP734の1つまたはアライナ744へ移動されてよい。
ウエハの移動を制御するコンピュータは、クラスタ構造にローカルに配置されてもよいし、製造フロア内でクラスタ構造の外側すなわち離れた位置に配置され、ネットワークを介してクラスタ構造に接続されてもよいことに注意されたい。図6に関して上述したようなコントローラが、図7のツールと共に実装されてよい。
実験
パターン上に材料を蒸着した後に、対象膜への転写エッチングを行うことにより、EUVリソグラフィによって以前にエッチングされた基板に、技術1を実行した。この処理は、サイクル処理ではない。ローカルクリティカルディメンション均一性(LCDU)の低下は、図8に示すように、クリティカルディメンション(CD)の減少に比例し、アスペクト比には依存しない。より多くのトリムが、より大きいLCDU低下につながる。この処理は、LCDUを低下させるクリティカルディメンションを減少させるが、リソグラフィからの入力ウエハからのフィーチャ(特に、蒸着がフィーチャを閉じるので、より小さいクリティカルディメンションのフィーチャ)のクリティカルディメンションの分布を扱わず、これらのフィーチャの回復は困難でありうる。技術1は、フィーチャのCDを成長させるが、ピッチ限界に達する可能性があり、ピッチの密なフィーチャは、2つのフィーチャ間の空間が非常に小さいので、リソグラフィで規定されない。
技術2は、EUVリソグラフィによってエッチングされた基板に対して、炭素の蒸着および原子層エッチングの10~15サイクルを用いることを含む。入力クリティカルディメンションは、約22~23nmであった。上述のLCDUは、図8に示すように、約1.6nmである。CDを入力CDに近く維持しつつ、LCDUを約1.6nmまで低減することができる。また、フィーチャの閉塞のリスクなく、リソグラフィCDの調節なしに、クリティカルディメンションを19nm以下まで調節することができる。LCDUおよびCDの両方は、この技術によって独立して制御される。
結び
理解を深めるために、本実施形態について、ある程度詳しく説明したが、本開示の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。さらなる開示が、いくつかの特定の実施形態に向けられた添付の請求項によって提供されるが、限定の意図はない。本発明の処理、システム、および、装置を実施する多くの他の方法が存在することに注意されたい。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されない。

Claims (33)

  1. 半導体基板を処理する方法であって、
    リソグラフィによって第1ハードマスク材料に形成された第1フィーチャおよび第2フィーチャを有する基板を提供し、
    前記第1フィーチャは、前記リソグラフィによって部分的に形成され、底部を備え、前記第1ハードマスク材料は、前記第1フィーチャのフィーチャ開口部および前記第2フィーチャのフィーチャ開口部の間にフィールド領域を備え、
    前記第1フィーチャ内の第2ハードマスク材料の厚さよりも大きい厚さまで前記第2フィーチャ内における前記第2ハードマスク材料を選択的に形成するのに十分な期間にわたって、前記第1ハードマスク材料の上に前記第2ハードマスク材料を蒸着させ、
    前記第1フィーチャの前記底部の材料および前記第2フィーチャの前記底部の材料を除去するために、前記第2ハードマスク材料を方向性エッチングし、前記第1フィーチャの前記底部の前記材料は、前記第1ハードマスク材料または前記第2ハードマスク材料であり、前記第2フィーチャの前記底部の前記材料は、前記第2ハードマスク材料であること
    を備える、方法。
  2. 請求項1に記載の方法であって、前記第2フィーチャの底部は、前記第1ハードマスク材料の下の第3ハードマスク材料を含み、前記方向性エッチングは、前記第2ハードマスク材料を貫通エッチングして、前記第1フィーチャの前記底部の前記第1ハードマスク材料の下の前記第3ハードマスク材料を露出させるために実行される、方法。
  3. 請求項1に記載の方法であって、前記第1フィーチャおよび第2フィーチャ内に蒸着される前記第2ハードマスク材料の量は、前記第1フィーチャのアスペクト比および前記第2フィーチャのアスペクト比によって決まる、方法。
  4. 請求項1に記載の方法であって、方向性エッチングは、前記第1および第2フィーチャのアスペクト比とは無関係に実行される、方法。
  5. 請求項1に記載の方法であって、前記第1フィーチャのアスペクト比は、前記第2フィーチャのアスペクト比と異なる、方法。
  6. 請求項1に記載の方法であって、前記第1フィーチャの深さは、前記第2フィーチャの深さと異なる、方法。
  7. 請求項1に記載の方法であって、前記第1フィーチャは、リソグラフィ中に露光不足となる、方法。
  8. 請求項1に記載の方法であって、前記蒸着および前記方向性エッチングの後の前記第1フィーチャのクリティカルディメンションは、前記蒸着および前記方向性エッチングの前の前記第2フィーチャのクリティカルディメンションの0.5%~1%の範囲内である、方法。
  9. 請求項1に記載の方法であって、前記蒸着および前記方向性エッチングの後の前記第1フィーチャのアスペクト比は、前記蒸着および前記方向性エッチングの前の前記第2フィーチャのアスペクト比の1~10%の範囲内である、方法。
  10. 請求項1に記載の方法であって、蒸着および方向性エッチングの後の前記第1および第2フィーチャの平均クリティカルディメンションは、少なくとも15nmである、方法。
  11. 請求項1に記載の方法であって、前記蒸着および前記方向性エッチングの後の前記基板上の前記第1および第2フィーチャにおけるクリティカルディメンションの変動は、リソグラフィによって規定された後の前記第1および第2フィーチャにおけるクリティカルディメンションの変動よりも小さい、方法。
  12. 請求項1に記載の方法であって、前記第1フィーチャの前記底部の前記第1ハードマスク材料を除去するために、前記第2ハードマスク材料の蒸着と、前記第2ハードマスク材料の方向性エッチングとを、十分なサイクルだけ繰り返す、方法。
  13. 請求項12に記載の方法であって、前記第2フィーチャに各サイクルで蒸着された前記第2ハードマスク材料は、前記第1ハードマスク材料の下層の材料をエッチングから保護し、各サイクルの前記方向性エッチングは、前記第1フィーチャの深さと前記第2フィーチャの深さとの間の差が0に近づくように、前記第1フィーチャの前記底部の第1ハードマスク材料を除去する、方法。
  14. 請求項1に記載の方法であって、前記第2ハードマスク材料に対する方向性エッチングは、改質表面を形成するために前記第2ハードマスク材料をエッチング種に暴露し、前記改質表面を除去するためにバイアスを印加しつつ前記エッチング種なしに不活性ガス環境でプラズマを点火することによって実行される、方法。
  15. 請求項14に記載の方法であって、前記第2ハードマスク材料は、前記プラズマに暴露された時に、前記第2ハードマスク材料の前記改質表面において5nm~10nmの間の材料を除去するために十分な期間にわたって、前記エッチング種に暴露される、方法。
  16. 請求項1ないし15のいずれかに記載の方法であって、前記第2ハードマスク材料の蒸着は、化学蒸着によって形成される、方法。
  17. 請求項1ないし15のいずれかに記載の方法であって、前記第2ハードマスク材料の蒸着は、プラズマ強化化学蒸着によって形成される、方法。
  18. 請求項1ないし15のいずれかに記載の方法であって、前記第2ハードマスク材料は、炭素含有材料である、方法。
  19. 請求項18に記載の方法であって、前記炭素含有材料は、III族、IV族、V族、VI族、または、VII族、もしくは、それらの組み合わせから選択された元素でドープされた非晶質炭素である、方法。
  20. 請求項1から15のいずれか一項に記載の方法であって、前記第2ハードマスク材料は、シリコン含有材料およびスズ含有材料からなる群より選択される、方法。
  21. 請求項20に記載の方法であって、前記シリコン含有材料は、二酸化シリコンおよび窒化シリコンからなる群より選択される、方法。
  22. 請求項1から15のいずれか一項に記載の方法であって、前記第2ハードマスク材料は、前記第1ハードマスク材料とは異なる組成を有する、方法。
  23. 請求項1から15のいずれか一項に記載の方法であって、前記第2ハードマスク材料は、前記第1ハードマスク材料とは異なる格子構造を有する、方法。
  24. 請求項1から15のいずれか一項に記載の方法であって、前記基板は、前記第1ハードマスク材料の下層に第3ハードマスク材料を備える、方法。
  25. 請求項24に記載の方法であって、前記第2ハードマスク材料は、前記第2ハードマスク材料のエッチング速度が前記第3ハードマスク材料の少なくとも3倍になるような、前記第3ハードマスク材料に対するエッチング選択比を有する、方法。
  26. 請求項25に記載の方法であって、前記第3ハードマスク材料は、酸窒化シリコン、シリコン含有反射防止コーティング材料、スピンオンガラス、底部反射防止コーティング、酸化スズ、窒化スズ、硫化スズ、酸化鉛、窒化鉛、硫化鉛、および、それらの組み合わせ、からなる群より選択される、方法。
  27. 請求項1から15のいずれか一項に記載の方法であって、前記第2フィーチャのフィーチャ開口部の幅は、15nm~100nmの間である、方法。
  28. 請求項1から15のいずれか一項に記載の方法であって、前記フィールド領域に蒸着された前記第2ハードマスク材料は、前記第2ハードマスク材料の前記方向性エッチング中に前記第1ハードマスク材料を保護する、方法。
  29. 請求項1から15のいずれか一項に記載の方法であって、前記第2ハードマスク材料の蒸着および前記方向性エッチングは、同じツール内で実行される、方法。
  30. 請求項1から15のいずれか一項に記載の方法であって、前記第2ハードマスク材料の蒸着および前記方向性エッチングは、真空を中断することなしに実行される、方法。
  31. 請求項1から15のいずれか一項に記載の方法であって、前記第1ハードマスク材料は、フォトレジストおよびスピンオン炭素からなる群より選択される、方法。
  32. 請求項1から15のいずれか一項に記載の方法であって、前記第1および第2フィーチャは、極紫外線リソグラフィによって形成される、方法。
  33. 請求項1から15のいずれか一項に記載の方法であって、前記第1および第2フィーチャは、液浸リソグラフィによって形成される、方法。
JP2019563508A 2017-05-16 2018-05-15 リソグラフィにおける確率的な歩留まりへの影響の排除 Active JP7199381B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022202758A JP7504977B2 (ja) 2017-05-16 2022-12-20 リソグラフィにおける確率的な歩留まりへの影響の排除

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762506803P 2017-05-16 2017-05-16
US62/506,803 2017-05-16
US15/979,340 US10796912B2 (en) 2017-05-16 2018-05-14 Eliminating yield impact of stochastics in lithography
US15/979,340 2018-05-14
PCT/US2018/032783 WO2018213318A1 (en) 2017-05-16 2018-05-15 Eliminating yield impact of stochastics in lithography

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022202758A Division JP7504977B2 (ja) 2017-05-16 2022-12-20 リソグラフィにおける確率的な歩留まりへの影響の排除

Publications (3)

Publication Number Publication Date
JP2020521320A JP2020521320A (ja) 2020-07-16
JP2020521320A5 JP2020521320A5 (ja) 2021-06-10
JP7199381B2 true JP7199381B2 (ja) 2023-01-05

Family

ID=64272020

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019563508A Active JP7199381B2 (ja) 2017-05-16 2018-05-15 リソグラフィにおける確率的な歩留まりへの影響の排除

Country Status (6)

Country Link
US (3) US10796912B2 (ja)
JP (1) JP7199381B2 (ja)
KR (2) KR102649013B1 (ja)
CN (1) CN110892509B (ja)
TW (2) TWI800414B (ja)
WO (1) WO2018213318A1 (ja)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10795270B2 (en) * 2017-08-25 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of defect inspection
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10566194B2 (en) 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
CN109411415B (zh) * 2018-09-07 2021-04-30 上海集成电路研发中心有限公司 一种半导体结构的形成方法
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
JP7229750B2 (ja) * 2018-12-14 2023-02-28 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
EP3719576A1 (en) * 2019-04-04 2020-10-07 IMEC vzw Resistless pattering mask
US11837471B2 (en) 2019-12-17 2023-12-05 Tokyo Electron Limited Methods of patterning small features
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN113078043A (zh) * 2021-03-24 2021-07-06 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构
US11550229B1 (en) * 2021-06-18 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Enhancing lithography operation for manufacturing semiconductor devices
US20220415648A1 (en) * 2021-06-28 2022-12-29 Applied Materials, Inc. Selective carbon deposition on top and bottom surfaces of semiconductor substrates

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010016083A (ja) 2008-07-02 2010-01-21 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2013526061A (ja) 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド スタック欠陥率を改善するアモルファスカーボン堆積法
JP2013145874A (ja) 2011-12-21 2013-07-25 Imec Euvフォトレジスト封入
JP2016131238A (ja) 2015-01-12 2016-07-21 ラム リサーチ コーポレーションLam Research Corporation 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
US20160293405A1 (en) 2015-04-02 2016-10-06 Tokyo Electron Limited Trench and hole patterning with euv resists using dual frequency capacitively coupled plasma (ccp)
JP2016208027A (ja) 2015-04-24 2016-12-08 ラム リサーチ コーポレーションLam Research Corporation コバルトのエッチバック
US20160379824A1 (en) 2015-06-23 2016-12-29 Lam Research Corporation Low roughness euv lithography
US20170069462A1 (en) 2015-09-04 2017-03-09 Lam Research Corporation Ale smoothness: in and outside semiconductor industry

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3576755A (en) 1964-09-24 1971-04-27 American Cyanamid Co Photochromism in plastic film containing inorganic materials
US3442648A (en) 1965-06-16 1969-05-06 American Cyanamid Co Photographic dodging method
US3513010A (en) 1966-07-11 1970-05-19 Kalvar Corp Conversion foil
US3529963A (en) 1966-08-23 1970-09-22 Du Pont Image-yielding elements and processes
US3720515A (en) 1971-10-20 1973-03-13 Trw Inc Microelectronic circuit production
US4241165A (en) 1978-09-05 1980-12-23 Motorola, Inc. Plasma development process for photoresist
US4328298A (en) 1979-06-27 1982-05-04 The Perkin-Elmer Corporation Process for manufacturing lithography masks
JPS60115222A (ja) 1983-11-28 1985-06-21 Tokyo Ohka Kogyo Co Ltd 微細パタ−ン形成方法
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
US4834834A (en) 1987-11-20 1989-05-30 Massachusetts Institute Of Technology Laser photochemical etching using surface halogenation
US4845053A (en) 1988-01-25 1989-07-04 John Zajac Flame ashing process for stripping photoresist
GEP20002074B (en) 1992-05-19 2000-05-10 Westaim Tech Inc Ca Modified Material and Method for its Production
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
EP0635884A1 (de) * 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
TW276353B (ja) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
US6007963A (en) 1995-09-21 1999-12-28 Sandia Corporation Method for extreme ultraviolet lithography
US6261938B1 (en) 1997-02-12 2001-07-17 Quantiscript, Inc. Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
US6348239B1 (en) 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
WO2001059825A1 (en) * 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
US6517602B2 (en) 2000-03-14 2003-02-11 Hitachi Metals, Ltd Solder ball and method for producing same
US20040191423A1 (en) 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US20060001064A1 (en) 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
US6797439B1 (en) 2001-03-30 2004-09-28 Schott Lithotec Ag Photomask with back-side anti-reflective layer and method of manufacture
US6933673B2 (en) 2001-04-27 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Luminescent device and process of manufacturing the same
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6926957B2 (en) 2001-06-29 2005-08-09 3M Innovative Properties Company Water-based ink-receptive coating
JP2003213001A (ja) 2001-11-13 2003-07-30 Sekisui Chem Co Ltd 光反応性組成物
WO2003085709A1 (en) 2002-04-11 2003-10-16 Hoya Corporation Reflection type mask blank and reflection type mask and production methods for them
JP3806702B2 (ja) 2002-04-11 2006-08-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
DE10219173A1 (de) 2002-04-30 2003-11-20 Philips Intellectual Property Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung
JP2006504136A (ja) 2002-10-21 2006-02-02 ナノインク インコーポレーティッド ナノメートル・スケール設計構造、その製造方法および装置、マスク修復、強化、および製造への適用
JP4153783B2 (ja) 2002-12-09 2008-09-24 株式会社東芝 X線平面検出器
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7018469B2 (en) 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
GB0323805D0 (en) 2003-10-10 2003-11-12 Univ Southampton Synthesis of germanium sulphide and related compounds
US7126128B2 (en) 2004-02-13 2006-10-24 Kabushiki Kaisha Toshiba Flat panel x-ray detector
JP2006253282A (ja) 2005-03-09 2006-09-21 Ebara Corp 金属膜のパターン形成方法
US20060068173A1 (en) 2004-09-30 2006-03-30 Ebara Corporation Methods for forming and patterning of metallic films
US7885387B2 (en) 2004-12-17 2011-02-08 Osaka University Extreme ultraviolet light and X-ray source target and manufacturing method thereof
KR100607201B1 (ko) 2005-01-04 2006-08-01 삼성전자주식회사 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070117040A1 (en) * 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
JP2007207530A (ja) 2006-01-31 2007-08-16 Toshiba Corp 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
EP2203943A4 (en) 2007-10-12 2015-10-14 Omnipv Inc SOLAR MODULES WITH INCREASED EFFICIENCIES THROUGH THE USE OF SPECTRAL CONCENTRATORS
KR100921932B1 (ko) 2007-10-25 2009-10-15 포항공과대학교 산학협력단 다원자분자를 이용한 패터닝방법
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US7985513B2 (en) 2008-03-18 2011-07-26 Advanced Micro Devices, Inc. Fluorine-passivated reticles for use in lithography and methods for fabricating the same
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
JP2009294439A (ja) 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US20090321707A1 (en) 2008-06-25 2009-12-31 Matthew Metz Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films
WO2010007955A1 (ja) 2008-07-14 2010-01-21 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
US20100022078A1 (en) 2008-07-24 2010-01-28 Joerg Rockenberger Aluminum Inks and Methods of Making the Same, Methods for Depositing Aluminum Inks, and Films Formed by Printing and/or Depositing an Aluminum Ink
JP5085595B2 (ja) 2008-09-08 2012-11-28 株式会社東芝 コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
US7977235B2 (en) 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
JP5193121B2 (ja) 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
US7759239B1 (en) 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
US8114306B2 (en) * 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120100308A1 (en) 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
US8470711B2 (en) 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation
US8836082B2 (en) 2011-01-31 2014-09-16 Brewer Science Inc. Reversal lithography approach by selective deposition of nanoparticles
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
JP5708522B2 (ja) 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
CN103502506B (zh) 2011-04-29 2016-06-08 应用材料公司 用于在涂覆工艺中钝化柔性基板的装置和方法
FR2975823B1 (fr) * 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
EP2729844B1 (en) 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8809994B2 (en) * 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US20130177847A1 (en) 2011-12-12 2013-07-11 Applied Materials, Inc. Photoresist for improved lithographic control
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
SG193093A1 (en) 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
CN104284776B (zh) 2012-05-14 2016-01-06 柯尼卡美能达株式会社 气体阻隔性膜、气体阻隔性膜的制造方法及电子设备
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969997B2 (en) * 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9337068B2 (en) * 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP5913077B2 (ja) 2012-12-18 2016-04-27 信越化学工業株式会社 ポジ型レジスト材料及びこれを用いたパターン形成方法
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102399752B1 (ko) 2013-09-04 2022-05-20 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9405204B2 (en) 2013-09-18 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of overlay in extreme ultra-violet (EUV) lithography
US9257431B2 (en) 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
JP6347695B2 (ja) 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
WO2015146749A1 (ja) 2014-03-26 2015-10-01 東レ株式会社 半導体装置の製造方法及び半導体装置
US10685846B2 (en) 2014-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit fabrication with pattern-reversing process
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
GB201412201D0 (en) 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
EP3230294B1 (en) 2014-10-23 2021-06-30 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9551924B2 (en) 2015-02-12 2017-01-24 International Business Machines Corporation Structure and method for fixing phase effects on EUV mask
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
EP4273625A3 (en) 2015-10-13 2024-02-28 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US20180308687A1 (en) 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010016083A (ja) 2008-07-02 2010-01-21 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2013526061A (ja) 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド スタック欠陥率を改善するアモルファスカーボン堆積法
JP2013145874A (ja) 2011-12-21 2013-07-25 Imec Euvフォトレジスト封入
JP2016131238A (ja) 2015-01-12 2016-07-21 ラム リサーチ コーポレーションLam Research Corporation 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
US20160293405A1 (en) 2015-04-02 2016-10-06 Tokyo Electron Limited Trench and hole patterning with euv resists using dual frequency capacitively coupled plasma (ccp)
JP2016208027A (ja) 2015-04-24 2016-12-08 ラム リサーチ コーポレーションLam Research Corporation コバルトのエッチバック
US20160379824A1 (en) 2015-06-23 2016-12-29 Lam Research Corporation Low roughness euv lithography
US20170069462A1 (en) 2015-09-04 2017-03-09 Lam Research Corporation Ale smoothness: in and outside semiconductor industry

Also Published As

Publication number Publication date
US20220122846A1 (en) 2022-04-21
TW202240744A (zh) 2022-10-16
JP2020521320A (ja) 2020-07-16
US11257674B2 (en) 2022-02-22
KR20190142426A (ko) 2019-12-26
TWI800414B (zh) 2023-04-21
TW201907445A (zh) 2019-02-16
JP2023036764A (ja) 2023-03-14
CN110892509A (zh) 2020-03-17
US20180337046A1 (en) 2018-11-22
CN110892509B (zh) 2024-02-09
WO2018213318A1 (en) 2018-11-22
KR102649013B1 (ko) 2024-03-18
TWI772422B (zh) 2022-08-01
KR20240038826A (ko) 2024-03-25
US20200402801A1 (en) 2020-12-24
US10796912B2 (en) 2020-10-06

Similar Documents

Publication Publication Date Title
JP7199381B2 (ja) リソグラフィにおける確率的な歩留まりへの影響の排除
US10685836B2 (en) Etching substrates using ALE and selective deposition
US20190131130A1 (en) Etching metal oxide substrates using ale and selective deposition
CN114026501A (zh) 利用卤化物化学品的光致抗蚀剂显影
KR20210149893A (ko) 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
CN115004110A (zh) 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
TW202215570A (zh) 光阻的乾式背側及斜角緣部清潔
JP7504977B2 (ja) リソグラフィにおける確率的な歩留まりへの影響の排除
KR102676684B1 (ko) 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
KR20240095473A (ko) 금속 함유 포토레지스트로부터 금속성 오염의 제어
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
KR20240056603A (ko) 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210426

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210426

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220825

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221220

R150 Certificate of patent or registration of utility model

Ref document number: 7199381

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150