KR20210149893A - 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스 - Google Patents

극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스 Download PDF

Info

Publication number
KR20210149893A
KR20210149893A KR1020217039129A KR20217039129A KR20210149893A KR 20210149893 A KR20210149893 A KR 20210149893A KR 1020217039129 A KR1020217039129 A KR 1020217039129A KR 20217039129 A KR20217039129 A KR 20217039129A KR 20210149893 A KR20210149893 A KR 20210149893A
Authority
KR
South Korea
Prior art keywords
euv resist
plasma
patterned euv
carbon
gas
Prior art date
Application number
KR1020217039129A
Other languages
English (en)
Inventor
정이 유
사만다 에스.에이치. 탄
리우 양
첸-웨이 리앙
보리스 볼로스키
리처드 와이즈
양 판
다 리
게 위안
앤드류 리앙
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210149893A publication Critical patent/KR20210149893A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

EUV 레지스트들의 거칠기를 감소시키고 에칭된 피처들을 개선하기 위한 방법들 및 시스템들이 본 명세서에 제공된다. 방법들은 EUV 레지스트를 디스커밍하고, EUV 레지스트의 디봇들을 충진하고, 그리고 캡으로 EUV 레지스트들을 보호하는 것을 수반한다. 발생되는 EUV 레지스트는 보다 평활한 피처들 및 하부 층에 대한 증가된 선택도를 갖고, 이는 에칭된 피처들의 품질을 개선한다. 하부 층의 에칭에 이어서, 캡이 제거될 수도 있다.

Description

극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
박막들의 패터닝은 종종 반도체 디바이스들의 제조를 위한 반도체 프로세싱에서와 같이, 마이크로스케일 및 나노스케일 디바이스들의 제조에서 중요한 단계이다. 패터닝은 리소그래피를 수반한다. 193 ㎚ 포토 리소그래피와 같은 종래의 포토 리소그래피에서, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 패턴을 감광성 포토 레지스트 상에 프린팅함으로써 프린팅되고, 이에 따라 현상 후, 패턴을 형성하기 위해 포토 레지스트의 특정한 부분들을 제거하는 포토 레지스트 내의 화학 반응을 유발한다.
(반도체들에 대한 국제 기술 로드맵에 의해 규정된 바와 같이) 첨단 기술 노드들은 22 ㎚, 16 ㎚, 이를 넘어서는 (beyond) 노드들을 포함한다. 16 ㎚ 노드에서, 예를 들어, 다마신 (Damascene) 구조체 내의 통상적인 비아 또는 라인의 폭은 통상적으로 약 30 ㎚보다 크지 않다. 첨단 반도체 집적 회로들 (ICs) 및 다른 디바이스들 상의 피처들의 스케일링은 분해능을 개선하기 위해 리소그래피를 구동한다.
EUV (Extreme Ultraviolet) 리소그래피는 상이한 광원 및 포토 레지스트 재료들을 사용하여 30 nm 스케일에서 작동한다. EUV 리소그래피는 확률적 효과들로 인해 포토 레지스트에 거칠기를 유발할 수 있다. EUV 리소그래피는 또한 에칭될 하부 층에 대해 불충분한 에칭 선택도를 갖는 포토 레지스트 재료들을 사용할 수도 있다. 두 특성들 모두 바람직하지 않다.
참조로서 인용
PCT 출원서 양식은 본 출원의 일부로서 본 명세서와 동시에 제출된다. 본 출원이 동시에 제출된 PCT 출원서에서 식별된 바의 이점 또는 우선권을 주장하는 출원 각각은 모든 목적을 위해 전체가 참조로서 본 명세서에 인용된다.
EUV 레지스트의 거칠기를 감소시키고 에칭된 피처들을 개선하기 위한 방법들 및 시스템들이 본 명세서에 개시된다. 이는 디스커밍 (descumming), 디봇 충진 (divot filling), 및 EUV 레지스트들의 보호에 의해 이루어질 수도 있다. 발생되는 EUV 레지스트는 보다 평활한 피처들 및 하부 층에 대한 증가된 선택도를 갖고, 이는 에칭된 피처들의 품질을 개선한다.
본 명세서에 제시된 실시 예들의 일 양태에서, 방법은: 하부 금속 옥사이드 층의 일부를 노출하는 패터닝된 EUV 레지스트를 포함하는 반도체 기판을 프로세싱 챔버에 제공하는 단계; 할로겐-함유 플라즈마로 금속 옥사이드 층의 노출된 부분을 처리하는 단계; 패터닝된 EUV 레지스트의 탄소-함유 피처들 상에 실리콘-함유 전구체를 선택적으로 증착하는 단계; 및 패터닝된 EUV 레지스트의 탄소-함유 피처들 상의 실리콘-함유 전구체를 실리콘 옥사이드 캡으로 변환하도록 실리콘-함유 전구체를 처리하는 단계를 포함한다. 일부 실시 예들에서, 할로겐-함유 플라즈마로 금속 옥사이드 층의 노출된 부분을 처리하는 단계는 0 V 내지 100 V를 포함하는 (between 0V and 100V, inclusive) 전압 바이어스를 사용하여 수행된다. 다양한 실시 예들에서, 할로겐-함유 플라즈마는 수소 할라이드를 포함한다. 일부 구현 예들에서, 할로겐-함유 플라즈마는 HBr을 포함한다. 다양한 실시 예들에서, 실리콘-함유 전구체는 약 10:1 보다 큰 비로 할로겐-함유 플라즈마로 처리된 금속 옥사이드 층과 비교하여 탄소-함유 피처들에 대해 선택적이다.
일부 실시 예들에서, 방법은 실리콘 옥사이드 캡 및 패터닝된 EUV 레지스트를 마스크로서 사용하여 하부 금속 옥사이드 층을 에칭하는 단계를 더 포함한다. 일부 실시 예들에서, 실리콘-함유 전구체는 SiH4, Si2H2, 또는 SiCl4 중 하나 이상을 포함한다. 다양한 실시 예들에서, 실리콘-함유 전구체를 처리하는 것은 산소-함유 반응 물질을 사용한다. 일부 구현 예들에서, 산소-함유 반응 물질은 H2O, NO, N2O, CO2, O2, 또는 O3의 그룹으로부터 선택된다.
다양한 실시 예들에서, 방법은 할로겐-함유 플라즈마로 금속 옥사이드 층을 처리하기 전에, 탄소-함유 피처들의 표면 상의 스컴 (scum) 을 개질하기 위해 패터닝된 EUV 레지스트를 할로겐-함유 가스에 노출시키는 단계; 및 개질된 스컴을 제거하기 위해 패터닝된 EUV 레지스트의 표면 상의 개질된 스컴을 불활성 가스의 플라즈마에 노출시키는 단계를 포함하는 ALE (atomic layer etch) 프로세스를 사용하여 패터닝된 EUV 레지스트의 탄소 함유 피처들로부터 바람직하지 않은 탄소 재료 (스컴) 를 제거하는 단계를 더 포함한다. 일부 실시 예들에서, 할로겐-함유 가스는 할로겐 가스 및 할라이드 가스 중 하나 이상을 포함한다. 일부 실시 예들에서, 할로겐 가스는 Cl2 또는 Br2이다. 다양한 실시 예들에서, 할라이드 가스는 CF4 또는 HBr이다. 일부 실시 예들에서, 불활성 가스는 헬륨, 네온, 아르곤, 또는 제논을 포함한다. 일부 실시 예들에서, 패터닝된 EUV 레지스트의 표면 상의 개질된 스컴을 플라즈마에 노출시키는 단계는 0 V 내지 100 V를 포함하는 전압 바이어스를 사용하여 수행된다.
다양한 실시 예들에서, 방법은 실리콘-함유 전구체를 선택적으로 증착한 후, 전구체의 표면 층을 개질하는 단계, 및 ALE에 의해 전구체의 개질된 층을 제거하도록 반도체 기판을 불활성 가스의 플라즈마에 노출시키는 단계를 더 포함한다. 일부 구현 예들에서, 방법은 패터닝된 EUV 레지스트의 탄소-함유 피처들 상의 디봇들 (divots) 을 충진하기 위해 선택적인 증착 동작 및 ALE 동작을 반복하는 단계를 더 포함한다. 다양한 실시 예들에서, 방법은 실리콘 옥사이드 캡 및 패터닝된 EUV 레지스트를 마스크로서 사용하여 금속 옥사이드 층을 에칭하는 단계를 더 포함한다.
본 명세서에 개시된 실시 예들의 또 다른 양태에서, 방법은 하부 금속 옥사이드 층의 일부를 노출하는 패터닝된 EUV 레지스트를 포함하는 반도체 기판을 프로세싱 챔버에 제공하는 단계; 반도체 기판을 플라즈마의 존재시 탄화수소, 수소, 및 불활성 가스를 포함하는 가스 혼합물에 노출시킴으로써 패터닝된 EUV 레지스트의 탄소-함유 피처들 상에 비정질 탄소 캡을 선택적으로 증착하는 단계를 포함한다. 일부 실시 예들에서, 탄화수소는 CH4 또는 C2H2이다. 일부 구현 예들에서, 불활성 가스는 헬륨, 네온, 아르곤, 또는 제논을 포함한다. 다양한 실시 예들에서, 방법은 비정질 탄소 캡을 선택적으로 증착하기 전에, 탄소-함유 피처들의 표면 상의 스컴을 개질하기 위해 할로겐-함유 가스에 패터닝된 EUV 레지스트를 노출시키는 단계; 및 패터닝된 EUV 레지스트의 표면 상의 개질된 스컴을 불활성 가스의 플라즈마에 노출시키는 단계를 포함하는 ALE 프로세스를 사용하여 패터닝된 EUV 레지스트의 탄소 함유 피처들로부터 바람직하지 않은 탄소 재료 (스컴) 를 제거하는 단계를 더 포함한다. 일부 구현 예들에서, 할로겐-함유 가스는 할로겐 가스 및 할라이드 가스 중 하나 이상을 포함한다. 일부 실시 예들에서, 할로겐 가스는 Cl2, 또는 Br2이다. 일부 실시 예들에서, 할라이드 가스는 CF4 또는 HBr이다. 일부 실시 예들에서, 불활성 가스는 헬륨, 네온, 아르곤, 또는 제논을 포함한다. 다양한 실시 예들에서, 패터닝된 EUV 레지스트의 표면 상의 개질된 스컴을 플라즈마에 노출시키는 단계는 0 V 내지 100 V를 포함하는 전압 바이어스에서 수행된다. 일부 실시 예들에서, 방법은 패터닝된 EUV 레지스트의 탄소-함유 피처들 상에 증착된 비정질 탄소 캡을 에칭하는 단계를 더 포함한다. 일부 구현 예들에서, 증착된 비정질 탄소 캡을 에칭하는 단계는: 비정질 탄소를 개질하도록 산소-함유 반응 물질에 상기 비정질 탄소 캡을 노출시키는 단계; 및 개질된 비정질 탄소를 불활성 가스의 플라즈마에 노출시키는 단계를 포함한다. 다양한 실시 예들에서, 산소-함유 반응 물질은 O2, O3, H2O, N2O, NO, 또는 CO2이다. 일부 실시 예들에서, 방법은 패터닝된 EUV 레지스트의 탄소-함유 피처들 상의 디봇들을 충진하기 위해 선택적인 증착 및 증착된 비정질 탄소 캡을 에칭을 반복하는 단계를 더 포함한다. 다양한 구현 예들에서, 방법은 마스크로서 비정질 탄소 캡 및 패터닝된 EUV 레지스트를 사용하여 하부 금속 옥사이드 층을 에칭하는 단계를 더 포함한다.
본 명세서에 개시된 실시 예들의 또 다른 양태에서, 하부 층의 일부를 노출하는 패터닝된 EUV 레지스트를 포함하는 반도체 기판을 챔버에 제공하는 단계; 및 패터닝된 EUV 레지스트의 표면 상의 스컴을 개질하기 위해 할로겐-함유 가스에 패터닝된 EUV 레지스트를 노출시키는 단계, 및 패터닝된 EUV 레지스트의 표면 상의 개질된 스컴을 불활성 가스의 플라즈마에 노출시키는 단계를 포함하는 ALE 프로세스를 사용하여 패터닝된 EUV 레지스트의 탄소 함유 피처들로부터 바람직하지 않은 탄소 재료 (스컴) 를 제거하는 단계를 포함하는 방법이 제공된다. 일부 구현 예들에서, 하부 층은 SOG (spin-on glass) 층 또는 금속 함유 옥사이드이다. 일부 실시 예들에서, 방법은 패터닝된 EUV 레지스트의 표면 상의 스컴을 개질하기 위해 패터닝된 EUV 레지스트를 할로겐-함유 가스에 노출시키는 단계; 및 패터닝된 EUV 레지스트의 표면 상의 개질된 스컴을 불활성 가스의 플라즈마에 노출시키는 단계를 사이클들로 반복하는 단계를 더 포함한다. 다양한 실시 예들에서, 할로겐-함유 가스는 할로겐 가스 또는 할라이드 가스 중 하나 이상을 포함한다. 일부 실시 예들에서, 할로겐 가스는 Cl2 또는 Br2이다. 일부 구현 예들에서, 할라이드 가스는 CF4 또는 HBr이다. 일부 실시 예들에서, 불활성 가스는 헬륨, 네온, 아르곤, 네온, 또는 제논을 포함한다. 일부 실시 예들에서, 패터닝된 EUV 레지스트의 표면 상에 개질된 스컴을 노출시키는 단계는 0 V 내지 100 V를 포함하는 전압 바이어스로 수행된다. 다양한 실시 예들에서, 방법은 패터닝된 EUV 레지스트 상에 실리콘-함유 옥사이드 전구체를 선택적으로 증착하는 단계; 패터닝된 EUV 레지스트의 표면 상의 스컴을 개질하기 위해 패터닝된 EUV 레지스트를 할로겐-함유 가스에 노출시키는 단계, 및 패터닝된 EUV 레지스트의 표면 상의 개질된 스컴을 불활성 가스의 플라즈마에 노출시키는 단계를 포함하는 ALE 프로세스를 반복하는 단계; 및 패터닝된 EUV 레지스트의 탄소 함유 피처들 상에 실리콘 옥사이드 캡을 현상하도록 실리콘-함유 전구체를 처리하는 단계를 포함한다.
일부 구현 예들에서, 방법은 패터닝된 EUV 레지스트의 탄소-함유 피처들 상에 실리콘 옥사이드 캡을 현상하도록 실리콘-함유 옥사이드 전구체를 처리하기 전에, 하나 이상의 사이클들 동안 패터닝된 EUV 레지스트 상에 실리콘-함유 전구체를 선택적으로 증착하는 단계; 및 패터닝된 EUV 레지스트의 표면 상의 스컴을 개질하기 위해 패터닝된 EUV 레지스트를 할로겐-함유 가스에 노출시키는 단계, 및 패터닝된 EUV 레지스트의 표면 상의 개질된 스컴을 불활성 가스의 플라즈마에 노출시키는 단계를 포함하는 ALE 프로세스를 반복하는 단계를 더 포함한다. 다양한 구현 예들에서, 패터닝된 EUV 레지스트와 하부 층의 노출된 부분들 사이의 종횡비는 5:1 이하이다. 다양한 실시 예들에서, 방법은 실리콘 옥사이드 캡 및 패터닝된 EUV 레지스트를 마스크로서 사용하여 하부 층을 에칭하는 단계를 더 포함한다.
다양한 실시 예들에서, 방법은: 플라즈마의 존재시 탄화수소, 수소, 및 불활성 가스를 포함하는 가스 혼합물에 반도체 기판을 노출시킴으로써 패터닝된 EUV 레지스트 상에 탄소-함유 재료를 선택적으로 증착하는 단계; 선택적으로 증착된 탄소-함유 재료의 표면 층을 개질하는 단계; 및 ALE (atomic layer etch) 에 의해 개질된 표면 층을 제거하기 위해 반도체 기판을 불활성 가스의 플라즈마에 노출시키는 단계를 더 포함한다. 다양한 실시 예들에서, 불활성 가스는 헬륨, 네온, 아르곤, 또는 제논을 포함한다. 일부 실시 예들에서, 방법은 패터닝된 EUV 레지스트의 탄소-함유 피처들 상의 디봇들을 충진하기 위해 선택적인 증착 동작 및 ALE 동작을 반복하는 단계를 더 포함한다. 다양한 구현 예들에서, 방법은 탄소-함유 재료의 표면을 개질하기 위해 탄소-함유 재료를 포함하는 기판을 할로겐-함유 가스에 노출시키는 단계; 및 개질된 표면을 제거하기에 충분한 지속 기간 (duration) 동안 개질된 층을 불활성 가스 플라즈마에 노출시키는 단계를 더 포함한다.
개시된 실시 예들의 이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 상세히 기술될 것이다.
도 1은 일 예시적인 실시 예에 대한 동작들의 프로세스 흐름도를 제시한다.
도 2는 일 예시적인 실시 예의 예시를 제시한다.
도 3은 디스커밍을 위한 동작들의 프로세스 흐름도를 제시한다.
도 4a 내지 도 4d는 스컴 및 제거하는 스컴의 예시들을 제시한다.
도 5는 또 다른 예시적인 실시 예에 대한 동작들의 프로세스 흐름도를 제시한다.
도 6은 또 다른 예시적인 실시 예의 예시를 제시한다.
도 7은 일 예시적인 실시 예에 대한 동작들의 프로세스 흐름도를 제시한다.
도 8은 또 다른 예시적인 실시 예의 예시를 제시한다.
도 9a 내지 도 9c는 본 명세서에 개시된 실시 예들에 따른 디봇 충진의 예시들을 제시한다.
도 10은 ALE (atomic layer etch) 프로세스의 개략적인 예시이다.
도 11 및 도 12는 개시된 실시 예들에 따른 방법들을 수행하기 위한 프로세스 챔버들의 예들의 개략도들이다.
이하의 기술에서, 제시된 실시예들의 완전한 이해를 제공하도록 다수의 특정한 상세들이 제시된다. 본 명세서에 개시된 실시 예들은 이들 특정한 상세들 중 일부 또는 전부없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 또한, 개시된 실시 예들이 특정한 실시 예들과 함께 기술될 것이지만, 특정한 실시 예들은 개시된 실시 예들을 제한하도록 의도되지 않는다는 것이 이해될 것이다.
EUV (Extreme Ultraviolet) 리소그래피는 30 ㎚ 이하의 기술 노드들에서 반도체 제조에서 용도가 발견된다. 패터닝된 EUV 레지스트들은 하부 층들로 패턴을 에칭하도록 사용될 수도 있고, 이는 층들이 에칭되는 동안 패턴을 유지하기 위해 패터닝된 EUV 레지스트의 충분한 두께 및/또는 에칭 선택도를 필요로 한다. 패터닝된 EUV 레지스트의 두께 또는 에칭 선택도를 증가시키는 것은 에칭 프로세스 후 하부 층들로 패턴의 전사를 개선할 수 있다.
패터닝된 EUV 레지스트의 두께 및 에칭 선택도를 증가시키기 위한 접근법은 패터닝된 EUV 레지스트 상에 희생 마스크 또는 캡을 증착하는 것이다. 캡은 레지스트의 에칭을 억제하여, 레지스트를 보호할 수도 있다. 캡의 선택적인 증착은 하부 금속 옥사이드 층과 같은 노출된 피처들의 에칭을 허용하는 한편, 패터닝된 EUV 레지스트의 상단을 보호하도록 수행될 수도 있다.
패터닝된 EUV 레지스트들은 또한 레지스트의 라인 에지 거칠기 (line edge roughness; LER) 및 라인 폭 거칠기 (line width roughness; LWR) 및 발생되는 에칭에 의해 측정될 수도 있는 거칠기를 나타낼 수도 있다. 레지스트의 거칠기 및 발생되는 에칭을 감소시키는 (예를 들어, 최소화하는) 것은 점점 더 작아지는 임계 치수들 (critical dimensions) 에서 프로세스 수율 및 디바이스 성능을 개선할 수 있다. LER 및 LWR 모두를 감소시키는 (예를 들어, 최소화하는) 것은 EUV 리소그래피 에칭 프로세스의 결과들을 향상시킬 수 있다.
패터닝 동작들 동안 포토 레지스트들의 극자외선 리소그래피 프로세싱 후 재료의 불완전한 제거는 패터닝된 EUV 레지스트들 상에 "스컴 (scum)"으로 지칭되는 잔류물들을 남김으로써 거칠기를 증가시킬 수 있다. 스컴은 하부 층들로의 패턴의 에칭에 영향을 줄 수 있고, 이는 바람직하지 않다. 반도체 기판 상의 다른 피처들 또는 구조체들을 손상시키지 않고 스컴 제거, 또는 "디스커밍"은 패터닝 정밀도를 위해 바람직하다. 디스커밍 (Descumming) (또는 "디스컴 (descum)") 은 탄소-함유 웨이퍼 피처들, 예컨대 패터닝된 EUV 레지스트들 사이로부터 바람직하지 않은 탄소 재료를 제거하는 프로세스를 지칭한다. 따라서, 스컴의 제거는 패터닝 방법들을 개선하고 거칠기를 감소시킬 수 있다.
패터닝 동작들 동안 포토 레지스트들의 극자외선 리소그래피 프로세싱은 주변 EUV 레지스트보다 얇은 영역들인, 패터닝된 EUV 레지스트들 상의 "디봇들"을 생성할 수 있다. 디봇들은 에칭 프로세스 동안 하부 층들을 마스킹하지 않음으로써 하부 층들의 결함들을 유발할 수도 있고, 이는 하부 층의 원치 않은 에칭을 야기한다. 디봇 충진은 패터닝된 EUV 레지스트들과 같은 웨이퍼 피처들의 라인 두께의 변동을 감소시키기 위해 재료를 추가하는 프로세스를 지칭한다. 반도체 기판 상의 피처들 또는 구조체들을 붕괴시키거나 모호하게 하지 않고 디봇 충진은 패터닝 정밀도를 위해 바람직하다. 따라서, 디봇들의 충진은 패터닝 방법들을 개선하고 브리지 결함들 (bridging defects) 을 방지할 수 있다.
일부 실시 예들에서, 디봇 충진은 종횡비를 의미있게 증가시키지 않고 또는 피처들 사이에 재료를 증착하지 않고 디봇들을 충진하기 위해 선택적인 증착 및 선택적인 에칭을 순환하는 것 (cycling) 을 포함할 수도 있다. 선택적인 증착은 패터닝된 EUV 레지스트의 탄소-함유 피처들에만 증착될 것이다. 선택적인 에칭은 비 디봇 (non-divot) 영역들보다 낮은 레이트로 디봇들 내부를 에칭할 것이다. 따라서, 이들 2 개의 동작들을 반복함으로써 디봇들은 패터닝된 EUV 레지스트의 임계 치수를 유지하면서 충진될 것이다. 일부 실시 예들에서, 디봇 충진은 캡 증착의 일부로서 발생한다.
다이 (die), 웨이퍼 내에서 그리고 EUV 레지스트들에 대해 로트-투-로트 (lot-to-lot) 로부터 패터닝 정밀도를 개선하기 위해 캡을 증착하고, 스컴을 제거하고, 디봇들을 충진하는 방법들이 본 명세서에 제공된다. 이러한 기법들은 패터닝된 EUV 레지스트의 에칭 선택도를 선택적으로 개선하고 피처 임계 치수들을 수정하지 않고 에칭된 피처들의 거칠기를 감소시킨다. 개시된 실시 예들은 캡 증착, 스컴 제거, 및 디봇들 충진 중 하나, 둘 또는 세 개 모두를 수행할 수도 있다.
본 명세서에 개시된 다양한 실시 예들에서, 반도체 기판이 프로세스 챔버에 제공된다. 반도체 기판은 실리콘 웨이퍼 또는 다른 반도체 웨이퍼, 예를 들어, 그 위에 증착된 유전체, 도전, 또는 반도전 재료와 같은 하나 이상의 재료 층들을 갖는 웨이퍼들을 포함한, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 일부 실시 예들에서, 반도체 기판은 비정질 실리콘과 같은 실리콘의 블랭킷 층, 또는 게르마늄의 블랭킷 층을 포함한다. 반도체 기판은 반도체 기판 상에 이전에 증착되고 패터닝된 패터닝된 EUV 레지스트를 포함한다.
프로세스 챔버는 반도체 프로세싱 챔버이고, 예를 들어 도 11 및 도 12에 도시된 것과 같은, 멀티-챔버 장치 또는 단일 챔버 장치 내의 프로세스 챔버일 수도 있다. 반도체 기판은 기판을 홀딩하기 위해 페데스탈 상에 상주할 (reside) 수도 있다.
패터닝된 EUV 레지스트 층은 다양한 재료들로 이루어질 수도 있다. 일부 실시 예들에서, 패터닝된 EUV 레지스트 층은 Inpria Corp.로부터 입수 가능한 유기 주석 옥사이드들, 또는 Dow/Rohm, Fujifilm, JSR, TOK 및 Shin-Etsu Polymer로부터의 전통적인 화학적으로 증폭된 레지스트들과 같은 유기 금속 옥사이드-함유 막들로 이루어질 수도 있다. 패터닝된 EUV 레지스트들은 또한 화학적으로 증폭된 레지스트들을 포함할 수도 있다. 패터닝된 EUV 레지스트 층은 예를 들어 10 내지 40 ㎚ 두께일 수도 있다.
도 1은 개시된 실시 예들에 따른 방법의 동작들을 수행하기 위한 프로세스 흐름도를 제공한다. 도 1에 도시된 방법은 금속 옥사이드 층을 에칭하기 위한 프로세스의 일부로서 수행될 수도 있다. 동작 102에서, 하부 금속 옥사이드 층의 일부를 노출하는 패터닝된 EUV 레지스트를 갖는 반도체 기판 (또는 기판) 이 프로세스 챔버 내에 수용된다. 반도체 기판은 이전 동작으로부터 프로세스 챔버 내에 있을 수도 있고 또는 프로세스 챔버로 도입될 수도 있다.
동작 104는 패터닝된 EUV 레지스트를 디스컴하기 위한 선택 가능한 (optional) 동작이다. 일부 실시 예들에서, 동작 104는 패터닝된 EUV 레지스트를 디스컴하도록 수행되는 한편, 다른 실시 예들에서 동작 104는 수행되지 않을 수도 있다. 동작 104가 수행되는지 여부는 기판 상의 임의의 스컴이 패터닝된 EUV 레지스트의 임계 치수에 영향을 주는지 여부에 종속될 수도 있다.
도 3은 동작 104에서 수행되는 바와 같이, 패터닝된 EUV 레지스트를 디스커밍하기 위한 프로세스 흐름도를 제공한다. 동작 302에서 패터닝된 EUV 레지스트를 갖는 기판이 프로세스 챔버 내에 수용된다. 반도체 기판은 이전 동작으로부터 프로세스 챔버 내에 있을 수도 있고 또는 프로세스 챔버로 도입될 수도 있다. 패터닝된 EUV 레지스트는 하부 층의 부분들을 노출한다. 도 1의 맥락에서, 하부 층은 금속 옥사이드 층이다. 그러나, 도 3의 방법은 유전체 층들 및 도전 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함하는, 다양한 층들과 함께 사용될 수도 있다.
동작 308 및 동작 310 모두를 포함하는 동작 306은 ALE (atomic layer etch) 프로세스에 의해 스컴을 제거한다. ALE의 배경 지식은 동작 306을 설명하는데 도움이 된다. 일반적으로, ALE는 임의의 적합한 기법을 사용하여 수행될 수도 있다. 원자 층 에칭 기법들의 예들은 예시적인 ALE 및 에칭 기법들을 기술할 목적으로 본 명세서에 참조로서 인용된 2014년 11월 11일 허여된 미국 특허 제 8,883,028 호; 및 2014년 8월 19일에 허여된 미국 특허 제 8,808,561 호에 기술된다. ALD (atomic layer deposition) 기법들과 통합된 ALE 기법들의 예들은 본 명세서에 참조로서 인용된 2017년 2월 21일에 허여된 미국 특허 제 9,576,811 호에 기술된다. 다양한 실시 예들에서, ALE는 플라즈마를 사용하여 수행될 수도 있고, 또는 열적으로 수행될 수도 있다.
ALE는 사이클들로 수행된다. "ALE 사이클"의 개념은 본 명세서의 다양한 실시 예들의 논의와 관련된다. 일반적으로, ALE 사이클은 모노 레이어 (monolayer) 를 에칭하는 것과 같은 에칭 프로세스를 한번 수행하도록 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 막 층의 적어도 일부가 에칭된다는 것이다. 통상적으로, ALE 사이클은 반응성 층을 형성하기 위한 개질 동작, 이어서 이 개질된 층만을 제거하거나 에칭하기 위한 제거 동작을 포함한다. 사이클은 반응 물질들 또는 부산물들 중 하나를 퍼지하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다.
일반적으로, ALE 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다. 예로서, ALE 사이클은 다음의 동작들: (i) 반응 물질 가스의 전달, (ii) 챔버로부터 반응 물질 가스의 퍼지, (iii) 제거 가스 및 선택 가능한 플라즈마의 전달, 및 (iv) 챔버의 퍼지를 포함할 수도 있다. 일부 실시 예들에서, 에칭은 비컨포멀하게 (non-conformally) 수행될 수도 있다. 도 10은 ALE 사이클의 2 개의 예시적인 개략적 예시들을 도시한다. 도 1071a 내지 도 1071e는 일반적인 ALE 사이클을 도시한다. 1071a에서, 기판이 제공된다. 1071b에서, 기판의 표면이 개질된다. 1071c에서, 다음 동작이 준비된다. 1071d에서, 개질된 층이 에칭된다. 1071e에서, 개질된 층이 제거된다. 유사하게, 도표 (diagram) 1072a 내지 도표 1072e는 탄소 함유 막을 에칭하기 위한 ALE 사이클의 예를 도시한다. 1072a에서, 많은 탄소 원자들을 포함하는 탄소 함유 기판이 제공된다. 1072b에서, 반응 물질 가스 테트라플루오로메탄 (CF4) 은 기판으로 도입되고 이는 기판의 표면을 개질한다. 1072b의 개략도는 일부 CF4가 예로서 기판의 표면 상에 흡착되는 것을 도시한다. CF4가 도 2에 도시되지만, 임의의 할로겐-함유 종들 (species) 또는 적합한 반응 물질이 사용될 수도 있다. 1072c에서, 반응 물질 가스 CF4는 챔버로부터 퍼지된다.
1072d에서, 제거 가스 헬륨은 He+ 플라즈마 종들 및 화살표들로 나타낸 바와 같이 지향성 플라즈마와 함께 도입되고, 기판의 개질된 표면을 제거하기 위해 이온 충격이 수행된다. He가 도 2에 도시되지만, 헬륨, 질소, 아르곤, 및 이들의 조합들과 같은 다른 제거 가스들이 사용될 수도 있다는 것이 이해될 것이다. 제거 동안, 기판을 향해 이온들을 끌어당기기 위해 바이어스가 기판에 인가될 수도 있다. ALE에 대해, 기판을 향한 목표된 정도의 이온 지향성 (ion directionality) 을 달성하기 위해 바이어스가 종종 기판에 인가된다. 따라서, 이온들은 기판으로부터 스컴을 효과적으로 제거하기 위해 타깃팅될 수도 있다. 그러나, 일부 실시 예들에서, 기판의 스퍼터링을 감소시키고 보다 적은 재료를 제거하기 위해 바이어스가 사용되지 않는다. 1072e에서, 챔버가 퍼지되고 부산물들이 제거된다.
완전한 ALE 사이클은 약 0.1 nm 내지 약 50 nm의 재료, 또는 약 0.1 nm 내지 약 5 nm의 재료, 또는 약 0.2 nm 내지 약 50 nm의 재료, 또는 약 0.2 nm 내지 약 5 nm의 재료만을 부분적으로 에칭할 수도 있다. 한 사이클에서 에칭된 재료의 양은 에칭의 목적에 종속할 수도 있고; 예를 들어, 에칭된 재료의 양은 패턴을 형성하기 위해 탄소-함유 재료를 에칭한 후 패터닝된 탄소-함유 재료를 사용하여 에칭될 층의 목표된 임계 치수, 예를 들어 3 Å 미만, 또는 2 Å 내지 20 Å의 범위 내에 종속한다.
도 3으로 돌아가면, 동작 308에서, 기판은 예를 들어, 기판 상에 맨드릴들 (mandrels) (100) 상의 탄소-함유 재료의 표면을 개질하기 위해, 플라즈마를 점화하거나 점화하지 않고 할로겐-함유 가스, 예를 들어, 탄소 테트라플루오라이드 (CF4) 에 노출된다. 개질 동작 308은 차후의 제거 동작에서 개질되지 않은 재료보다 쉽게 제거되는, 예를 들어 3 Å 미만의 두께를 갖는 박형의 반응성 표면 층을 형성한다. 적합한 할로겐-함유 가스들은 CF4를 포함하는 플루오로카본 (CxFy), 하이드로플루오로카본 (CxHyFz), 유기클로라이드들, 유기브로마이드들 (CxBry) 및 유기아이오다이드들 (CxIy) 을 포함하는 할로카본들, HBr, HCl, HF 및 HI를 포함하는 할라이드들, 및 Br2, Cl2, F2, 및 I2를 포함한 할로겐 가스들을 포함하지만, 이에 제한되지는 않는다. 일부 실시 예들에서, 할로겐-함유 가스는 Br2 또는 Cl2이다. 일부 실시 예들에서, 할로겐-함유 가스는 CF4 또는 HBr이다. 기판의 할로겐 도징은 기판 상에 포화된 모노 레이어 (monolayer) 또는 서브-모노 레이어 (sub-monolayer) 를 생성한다. 할로겐들은 탄소-함유 재료와 반응하지 않고 기판의 표면 상에 흡착될 수도 있다. 할로겐-함유 가스들은 헬륨, 질소, 아르곤, 네온, 제논, 및 이들의 조합들 중 임의의 것일 수도 있는 캐리어 가스를 선택적으로 동반할 수도 있다. 동작 308은 할로겐-함유 가스로 기판 표면의 완전한 포화를 획득하기에 충분한 지속 기간 동안 수행될 수도 있다. 일부 실시 예들에서, 지속 기간은 약 0.1 초일 수도 있다. 일부 실시 예들에서, 지속 기간은 약 0.1 초 내지 약 3 초, 예컨대 약 0.5 초, 또는 약 1 초일 수도 있다. 예를 들어, 일부 실시 예들에서, 챔버 압력은 약 5 mTorr 내지 100 mTorr, 60 ℃ 미만의 챔버 온도, 약 50 W 내지 약 150 W의 기판 스테이션 당 플라즈마 전력, 및 0 V 내지 60 V의 전압 바이어스일 수도 있다. 반응 물질 플로우는 100 sccm 내지 300 sccm 일 수도 있다. 모든 프로세스 조건 범위들은 포괄적이다 (inclusive).
동작 310에서, 포화된 모노 레이어를 포함하는 기판은 불활성 가스에 노출되고 플라즈마는 개질된 표면을 제거하기 위해 점화된다. 동작 310에서 인가된 플라즈마는 13.56 ㎒ 또는 27 ㎒의 주파수를 갖는 헬륨-함유 플라즈마, 또는 헬륨-유도된 플라즈마일 수도 있다. N2로부터 유도되거나 또는 생성된 플라즈마가 또한 채용될 수도 있다. 플라즈마의 선택은 주어진 가스로부터 생성된 플라즈마와 연관된 리간드들, 또는 공-리간드들의 수에 따라 결정될 수도 있다. 예를 들어, 보다 적은 양의 리간드들, 또는 공-리간드들은 상대적으로 지향성 거동을 나타내는 플라즈마를 발생시키는 경향이 있다. 그러나, 보다 적은 양의 리간드들, 또는 공-리간드들은 예를 들어 플라즈마의 상대적으로 에너자이징된 이온들을 발생시킬 수도 있고, 따라서 보다 많이 에칭된다.
일부 실시 예들에서, 400 ㎑ 및 60 ㎒의 주파수들이 이온 에너지를 제어하도록 채용될 수도 있다. 또한, 선택 가능하게, 펄싱된 플라즈마 활성화 기법들 및 듀얼-주파수 활성화, 예를 들어 탠덤 (tandem) 저주파수 및 고주파수가 채용될 수도 있다. 플라즈마 소스들은 SHD로부터 전달될 때 CCP들 (capacitively-coupled reactors) 또는 ICP들 (inductively coupled reactors) 을 포함할 수도 있고, 또는 열-기반, 자외선-기반, 또는 광자-기반이다.
불활성 가스는 이로 제한되는 것은 아니지만, Ar, He, N2를 포함하는 그룹으로부터 선택될 수도 있고, 또는 기판은 대안적으로 진공에 노출될 수도 있다. 동작 308에 도시된 바와 같이 할로겐-함유 가스에 대한 노출시 개질된 스컴을 제거하기 위해, 기판 및 피처들의 표면은 동작 310에서 에너지 소스에 노출될 수도 있다. 적합한 에너지 소스들은 지향성 스퍼터링에 의해 기판을 에칭 (또는 디스컴) 하도록 헬륨과 같은 제거를 유도하는 활성화 또는 스퍼터링 가스들 또는 화학적으로 반응성 종들을 포함할 수도 있다. 일부 실시 예들에서, 제거 동작은 이온-충격 (ion-bombardment) 에 의해 수행될 수도 있다. 일부 실시 예들에서, 동작 302 내지 동작 312는 목표된 프로파일을 달성하기 위해 에칭의 지향성을 조절하도록 전압 바이어스를 사용하여 수행된다. 일부 실시 예들에서, 동작 302 내지 동작 312는 피처들의 표면으로부터 스컴을 등방성으로 제거하기 위해 전압 바이어스없이 수행된다.
스퍼터링 가스의 양은 3 Å 미만, 또는 2 Å 내지 20 Å의 명시된 범위 내와 같은 목표된 양의 재료만을 에칭 (또는 디스컴) 하도록 제어될 수도 있다. 예를 들어, 헬륨 또는 아르곤과 같은 스퍼터링 가스들은 100 내지 300 sccm으로 프로세스 챔버로 흐를 수도 있다. 또한, 기판의 에칭 (또는 디스컴) 프로파일은 헬륨 대 아르곤의 비를 수정함으로써 제어될 수도 있다. 일반적으로, 보다 큰 헬륨 함량은 보다 적게 에칭되고 스퍼터링을 감소시키는 한편, 보다 큰 아르곤 함량은 보다 많이 에칭되고 스퍼터링을 증가시킬 것이다. 일부 실시 예들에서, 챔버의 압력은 개질 동작과 제거 동작 사이에서 가변할 수도 있다. 가스의 압력은 챔버의 사이즈, 가스의 플로우 레이트, 반응기의 온도, 기판의 타입, 및 에칭될 기판의 사이즈에 종속될 수도 있다. 일부 실시 예들에서, 가스의 보다 높은 압력은 상대적으로 보다 빠른 사이클 완료 시간들을 허용할 수도 있다. 일부 실시 예들에서, 챔버 압력은 5 mTorr 내지 100 mTorr이다.
플라즈마는 사이클 각각에서 에칭된 재료의 양을 제어하면서 기판 표면 상의 재료의 스퍼터링을 감소시키기 위해 선택된 플라즈마 전력으로 점화된다. 일부 실시 예들에서, 단일 기판 스테이션에 대한 플라즈마 전력은 약 50 W 내지 약 150 W, 예를 들어 100 W일 수도 있다. 플라즈마의 사용이 일반적으로 일부 스퍼터링을 유발할 수도 있지만, 스퍼터링은 일반적으로 사이클 당 에칭되는 재료의 양에 대한 미세-튜닝된 제어를 획득하도록 그리고 수직, 또는 깨끗한 피처 측벽들을 획득하기 위해 이에 따라 탄소-함유 재료를 패터닝하도록 저 플라즈마 전력 및 저 전압 바이어스에서 개시된 실시 예들을 수행함으로써, 또는 전압 바이어스를 펄싱함으로써 제어될 수도 있다. 예를 들어, 일부 실시 예들에서, 챔버 압력은 약 5 mTorr 내지 100 mTorr, 기판 스테이션 당 플라즈마 전력은 약 50 W 내지 약 150 W, 및 전압 바이어스는 0 V 내지 100 V 일 수도 있다. 일부 실시 예들에서, 전압 바이어스는 0 V 내지 약 400 V, 또는 0 V 내지 약 100 V 사이에서 펄싱될 수도 있다. 일부 실시 예들에서, 플라즈마는 약 5 초 미만, 예컨대 약 1 초 내지 약 5 초의 지속 기간 동안 점화될 수도 있다. 모든 프로세스 조건들은 포괄적이다 (inclusive).
동작 312에서, 기판이 충분히 에칭되었는지 또는 세정되었는지가 결정된다. 그렇지 않다면, 동작 308 내지 동작 312는 선택 가능하게 반복될 수도 있다. 동작 306을 수행하는 것은 일 ALE 사이클을 구성할 수도 있다. 다양한 실시 예들에서, 에칭, 또는 디스커밍은 사이클들로 수행될 수도 있다. 사이클들의 수는 특정한 적용 예에 대해 목표된 에칭 량에 종속한다. 다양한 실시 예들에서, 약 1 사이클 내지 약 100 사이클들이 사용될 수도 있다. 일부 실시 예들에서, 약 5 사이클들 내지 약 100 사이클들이 사용될 수도 있다. 일부 실시 예들에서, 사이클들의 수는 약 1 내지 약 40 사이클들, 또는 약 1 내지 약 20 사이클들, 또는 약 30 내지 약 40 사이클들일 수도 있다. 목표된 양의 막을 에칭하기 위해 임의의 적합한 수의 ALE 사이클들이 포함될 수도 있다. 일부 실시 예들에서, ALE는 기판 상의 층들의 표면의 약 1 Å 내지 약 50 Å를 에칭하도록 사이클들로 수행된다. 일부 실시 예들에서, ALE의 사이클들은 기판 상의 층들의 표면의 약 2 Å 내지 약 50 Å를 에칭한다. 일부 실시 예들에서, 사이클들의 수는 에칭 량을 식별하고 엔드 포인트에서 에칭을 중단하도록 엔드 포인트를 설정하기 위해 OES (optical emission spectroscopy) 를 사용함으로써 선택될 수도 있다. 일부 실시 예들에서, 사이클 시간 (단일 사이클에 대한 지속 기간) 은 1 초 미만일 수도 있다. 도 3에 제시되고 논의된 바와 같이, ALE는 사이클 당 0.5 Å 내지 3 Å의 에칭 레이트로 수행될 수도 있다. 많은 ALE 프로세스들은 보다 통상적으로 사이클 당 약 4 Å 내지 10 Å를 제거한다.
도 4a 내지 도 4d는 도 3의 프로세스에 의해 디스커밍된 패터닝된 EUV 레지스트의 사시도 및 측면도를 도시한다. 도 4a는 맨드릴 (400) 상에 또는 맨드릴 (400) 로부터 연장하는 포토 레지스트 (photoresist; PR) 스컴 (402) 을 갖는 맨드릴 (400) 과 같은 피처들을 갖는 패터닝된 EUV 레지스트의 사시도를 도시한다. 스컴 (402) 은 도 4b에 도시된 바와 같이 맨드릴 (400) 로부터 ALE (atomic layer etch) 프로세스에 의해 제거된다. 도 4c 및 도 4d는 기판 (406) 상에 형성된 맨드릴들 (400) 의 어레이 (404) 의 단면 측면도들을 도시한다. 당업자는 기판 (406) 이 또한 에칭 정지 층들, 캡 층들, 배리어 층들, 및 다른 하부 층들과 같은 다른 층들을 포함할 수도 있는 반도체 프로세싱에 적합한 다층 스택을 포함할 수도 있다는 것을 이해할 것이다.
리소그래피 후 기판 상에 남아있는 푸팅들 (footings), 스트링거들 (stringers), 또는 다른 형태들의 바람직하지 않은 기판 표면 거칠기와 같은 목표되지 않은 탄소-기반 재료는 본 명세서에서 집합적으로 "스컴", 예를 들어, 스컴 (402) 으로 지칭된다. 일부 실시 예들에서, 스컴 (402) 은 또한 탄소 함유 피처로서 지칭되는 맨드릴 (400) 과 유사하거나 동일한 레벨의 탄소를 함유할 수도 있다. 도 4c에 도시된 바와 같이, 몇몇 맨드릴들 (400) 은 어레이 (404) 내에서 서로 인접하게 배향될 수도 있다. 스컴 (402) 은, 예를 들어, 어레이 (404) 내에 구성되거나 위치될 때, 맨드릴들 (400) 을 연결하도록 리소그래피 후에 기판 (406) 상에 남아 있음으로써 패터닝 위험을 제기할 수도 있다. 스컴 (402) 에 의한 맨드릴들 (400) 의 이러한 연결은 바람직하지 않고, 따라서 스컴 (402) 은 예를 들어 도 3을 참조하여 도시되고 기술된 바와 같이 ALE 프로세스에 의해 세정되어, 도 4d에 도시된 바와 같이 스컴 (402) 없는 맨드릴들 (400) 의 어레이 (404) 를 남긴다.
일부 실시 예들에서, 스컴 (402) 은 도 4a에 도시된 바와 같이, 맨드릴 (400) 에 걸쳐 상대적으로 분산되는 EUV 레지스트 현상 프로세스의 잔여물이고, 따라서 맨드릴 상에 박층을 형성한다. 따라서 이러한 스컴 (402) 은 상대적으로 높은 표면적 대 체적 (volume) 비를 갖고, 따라서 ALE 프로세스에 의한 제거에 보다 민감할 수도 있다. 또한, 일부 실시 예들에서, 스컴 (402) 은 일반적으로 스컴 (402) 과 동일한 재료로 형성되는 맨드릴 (400) 과 통합되거나 맨드릴 (400) 내로 통합될 수도 있다. 따라서, 스컴 (402) 은 맨드릴 (400) 의 바람직하지 않은 돌출부로 생각될 수도 있다.
도 1을 다시 참조하면, 동작 104에서 패터닝된 EUV 레지스트의 선택 가능한 디스커밍 후에, 동작 106에서 기판은 금속 옥사이드 층의 노출된 부분들을 처리하기 위해 할로겐-함유 플라즈마에 노출된다. 적합한 할로겐-함유 플라즈마는 수소 할라이드들 (HF, HCl, HBr, 또는 HI) 및 이원자 할로겐들 (Br2, Cl2, F2, 및 I2) 을 포함한다. 할로겐-함유 플라즈마는 충분히 저 전력 및 저 바이어스가 금속 옥사이드 층 상에 할라이드 층을 형성하도록 그리고 금속 옥사이드 층을 에칭하지 않거나 명목상 에칭하지 않도록 사용된다는 점에서, '약한 (gentle)' 플라즈마이다. 일부 실시 예들에서, 약한 플라즈마는 10 W 내지 150 W, 예를 들어 100 W의 단일 스테이션에 대한 전력, 및 0 V 내지 60 V, 예를 들어 0 V의 전압 바이어스를 갖는다. 일부 실시 예들에서, 챔버 압력은 10 mTorr 내지 100 mTorr이고, 그리고 챔버 온도는 60 ℃ 미만, 예를 들어 20 ℃이다. 일부 실시 예들에서, 할로겐-함유 가스의 플로우 레이트는 100 sccm 내지 300 sccm, 예를 들어 100 sccm이다. 일부 실시 예들에서, 노출 시간, 또는 할로겐-함유 가스가 챔버 내로 흐르는 시간은 30 초 내지 90 초, 예를 들어 60 초이다.
할라이드 층들은 나중의 증착 동작들을 위해 핵 생성 지연을 증가시킴으로써 금속 옥사이드 층 상의 증착을 억제할 수 있다. 저 전력 및 저 바이어스로 수행될 때, 할라이드 층은 노출된 부분들의 개방 영역들, 또는 패터닝된 EUV 레지스트의 피처들에 대해 낮은 종횡비, 예컨대 약 1 이하의 종횡비를 갖는 영역들을 포함하는, 금속 옥사이드 층의 노출된 부분들 상에 형성될 것이다. 할라이드 층은 이러한 반응 물질들에 대한 핵 생성 지연을 증가시킴으로써, 특정한 반응 물질들, 구체적으로 실리콘-함유 전구체들의 증착을 억제한다.
동작 108에서, 실리콘-함유 옥사이드 전구체의 전구체 층이 패터닝된 EUV 레지스트 상에 증착된다. 전구체는 일반적으로 측벽들보다 피처들의 상단 또는 금속 옥사이드 층의 노출된 부분들 상에 보다 많은 전구체가 증착되도록 패터닝된 EUV 레지스트의 피처들의 상단에 대해 "선택적으로" 증착된다. 예를 들어, 일부 실시 예들에서, 전구체의 측벽 증착이 없다. 할라이드 층은 전구체가 금속 옥사이드 층 상에 증착되지 않도록 전구체의 증착을 억제한다. 이러한 억제, 또는 핵 생성 지연 시간은 1 초 내지 6 초, 또는 약 3 초 동안 지속될 수도 있고, 그 후 실리콘-함유 전구체는 또한 금속 옥사이드 층의 노출된 부분들 또는 할라이드 층 상에 증착될 수도 있다. 일부 실시 예들에서, 실리콘-함유 전구체는 SiH4, Si2H2, 또는 SiCl4이다.
실리콘 -함유 전구체를 증착하기 위한 예시적인 프로세스 조건들은 100 W 내지 500 W, 예를 들어, 300 W의 전력, 50 V 내지 200 V, 예를 들어, 120 V 바이어스, 60 ℃ 이하의 챔버 온도, 5 mTorr 내지 100 mTorr, 예를 들어 50 mTorr의 챔버 압력, 및 1 초 내지 5 초의 노출 시간이다. 반응 물질 플로우는 10 sccm 내지 50 sccm SiCl4, 0 sccm 내지 200 sccm H2, 및 100 sccm 내지 300 sccm He이다. 모든 프로세스 조건 범위들은 포괄적이다.
동작 110은 전구체 층을 에칭 백하기 위해 (etch back) 선택 가능한 동작이다. 일부 실시 예들에서, 전구체 층은 금속 옥사이드 층의 노출된 부분들 또는 할라이드 층 상에 형성되는 충분한 시간 동안 증착된다. 이어서 동작 108 및 동작 110의 순 효과는 패터닝된 EUV 레지스트 상에만 전구체 층의 증착이고, 할라이드 층 또는 금속 옥사이드 층 상의 증착은 없도록, 동작 110은 이러한 바람직하지 않은 증착을 제거하도록 수행될 수도 있다. 일부 실시 예들에서, 동작 110은 또한 금속 옥사이드 층의 노출된 부분들이 실리콘-함유 전구체들의 증착을 위해 더 이상 핵 생성 지연 시간을 나타내지 않도록 할라이드 층을 제거할 수도 있다. 일부 실시 예들에서, 전구체 층의 증착 시간은 할라이드 층에 의해 유발된 핵 생성 지연을 극복하기에 불충분하고, 전구체 층은 할라이드 층 또는 금속 옥사이드 층 상에 형성되지 않는다. 이러한 실시 예들에서, 동작 110은 전구체 층이 할라이드 층 또는 금속 옥사이드 층 상에 증착되지 않기 때문에 수행되지 않을 수도 있다. 동작 110은 상기 기술된 바와 같이 도 3의 프로세스 흐름도에 따라 수행될 수도 있다.
일부 실시 예들에서, 동작 106 내지 동작 110은 패터닝된 EUV 레지스트의 디봇들을 충진하기 위해 순환된다. 도 9a 내지 도 9c는 순환된 증착 및 에칭 동작들에 의해 충진된 디봇들을 갖는 패터닝된 EUV 레지스트의 사시도 및 측면도를 도시한다. 도 9a는 맨드릴 (900) 내에 디봇들 (901) 을 갖는 예를 들어, 맨드릴들 (900) 과 같은 피처들을 갖는 패터닝된 EUV 레지스트의 사시도를 도시한다. 디봇들 (901) 은 맨드릴들 (902) 을 형성하기 위해 도 9b 및 도 9c에 도시된 바와 같이, 증착 및 에칭 동작들을 순환함으로써 충진된다. 당업자는 맨드릴들 (900 및 901) 이 또한 에칭 정지 층들, 캡 층들, 배리어 층들, 및 다른 하부 층들과 같은 다른 층들을 포함할 수도 있는, 반도체 프로세싱에 적합한 다층 스택을 포함할 수도 있다는 것을 이해할 것이다.
도 9b는 단일 사이클의 디봇 충진 동안 맨드릴의 측면도를 도시한다. 동작 910에서 디봇들 (916) 을 갖는 패터닝된 EUV 레지스트 (912) 를 갖는 기판이 프로세스 챔버 내에 수용된다. 기판은 이전 동작으로부터 프로세스 챔버 내에 있을 수도 있고 또는 프로세스 챔버로 도입될 수도 있다. 라인 (914) 은 EUV 레지스트에 대한 이상적인 두께를 나타내는 가상의 라인이다.
동작 920에서 증착 층 (922) 이 패터닝된 EUV 레지스트 (912) 상에 선택적으로 증착된다. 일부 실시 예들에서, 패터닝된 EUV 레지스트 (912) 의 주변 영역들보다 많은 재료가 디봇들 (916) 내에 증착될 것이다. 증착은 패터닝된 EUV 레지스트 하부의 층의 노출된 부분들과 비교하여 패터닝된 EUV 레지스트에 대해 선택적이다. 증착 프로세스를 위한 프로세스 조건들은 전구체 또는 재료의 캡 층을 증착하기 위해 본 명세서에 논의된 임의의 증착 프로세스 조건들을 포함할 수도 있다.
동작 930에서 기판이 에칭되고, 충진된 디봇들 (936) 및 캡핑된 EUV 레지스트 (932) 를 형성한다. 충진된 디봇들 (936) 은 디봇들 (916) 보다 작지만, 캡핑된 EUV 레지스트 (932) 는 패터닝된 EUV 레지스트 (912) 와 유사한 두께를 갖는다. 동작 930의 에칭 프로세스는 디봇들 내부의 재료가 디봇들 외부의 재료보다 덜 에칭되어 EUV 레지스트의 두께를 유지하면서 디봇들의 순 충진을 유발한다는 점에서 선택 가능하다. 에칭 프로세스를 위한 프로세스 조건들은 증착된 재료에 종속되고, 일반적으로 디봇 내의 재료는 디봇을 둘러싸는 영역 내의 재료보다 적게, 또는 보다 낮은 레이트로 에칭된다. 일부 실시 예들에서, 동작 930은 종횡비 종속 에칭 또는 표면적 종속 에칭에 의해 달성될 수도 있다. 일부 실시 예들에서, 동작 930은 상기 기술된 바와 같이 도 3의 프로세스 흐름도에 따라 수행될 수도 있다. 다른 실시 예들에서, 동작 930은 이하에 기술된 바와 같이, 도 5의 동작 508에 따라 수행될 수도 있다.
도 9c는 4개 사이클들의 디봇 충진을 완료한 후 패터닝된 EUV 레지스트의 측면도이다. 측면 프로파일들 (942a 내지 942d) 은 도 9b에 도시된 바와 같이, 증착 및 에칭의 연속적인 사이클들 후 디봇들의 충진을 도시한다. 발생되는 EUV 프로파일 (942d) 은 EUV 레지스트에 대한 이상적인 두께를 나타내는 라인 (914) 과 실질적으로 유사하다.
도 1을 다시 참조하면, 동작 106 내지 동작 110은 패터닝된 EUV 레지스트의 디봇들을 충진하기 위해 순환될 수도 있다. 동작 106은 금속 옥사이드 층의 노출된 부분들 상에 할라이드 층을 형성하도록 수행될 수도 있다. 동작 108은 할라이드 층 또는 금속 옥사이드 층의 노출된 부분들 상에 증착하지 않고 또는 보다 적은 증착으로 디봇들의 내부를 포함하여 패터닝된 EUV 레지스트 상에 실리콘-함유 옥사이드 전구체를 증착하도록 수행될 수도 있다. 이어서 동작 110은 실리콘-함유 옥사이드 전구체, 그리고 일부 실시 예들에서 금속 옥사이드 층의 노출된 부분들 상의 할라이드 층을 에칭하도록 수행될 수도 있다. 따라서, 동작 106 내지 동작 110의 사이클 각각은 주변 영역보다 디봇들 내부에 보다 큰 증착을 발생시킬 것이다. 동작 106 내지 동작 110은 패터닝된 EUV 레지스트가 실질적으로 디봇들을 갖지 않을 때까지 순환될 수도 있다.
일부 실시 예들에서, 동작 108 및 동작 110만이 순환된다. 할라이드 층은 동작 110에서 실리콘-함유 전구체와 반응하기 때문에, 실리콘-함유 전구체와 완전히 반응하지 않았다면 핵 생성 지연 시간을 증가시킴으로써 동작 108에서 실리콘-함유 전구체의 증착을 계속해서 억제할 수도 있다. 이러한 실시 예들에서, 동작 106은 동작 108에서 증착의 선택도를 증가시키기 위해 불필요하다.
동작 112에서, 실리콘-함유 옥사이드 전구체의 전구체 층은 실리콘 옥사이드 캡을 형성하도록 산화된다. 이는 패터닝된 EUV 레지스트 상에 실리콘 옥사이드 캡을 형성하기 위해 실리콘 함유 옥사이드 전구체와 반응하는 플라즈마를 점화하면서, 기판을 산화제, 즉 산소 함유 가스에 노출시킴으로써 행해진다. 실리콘 옥사이드 캡은 측벽들 대신 패터닝된 EUV 레지스트의 피처들의 상단 상에 선택적으로 형성되어 피처들의 측벽 프로파일을 유지한다. 적합한 산화제는 이로 제한되는 것은 아니지만, 아산화 질소 (N2O) 가스, 디올들, 물, 산소, 오존, 알코올들, 에스테르들, 케톤들, 카르복시산들, 및 이들의 혼합물들을 포함한다. 실리콘-함유 옥사이드 전구체를 산화하기 위한 예시적인 프로세스 조건들은 10 W 내지 150 W, 예를 들어 100 W, 0 V 바이어스, 60 ℃ 이하의 프로세스 챔버 온도, 5 mTorr 내지 100 mTorr, 예를 들어 5 mTorr의 압력, 및 5 초 내지 15 초, 예를 들어 5 초의 노출 시간이다. 반응 물질 플로우는 100 sccm 내지 300 sccm O2일 수도 있다. 모든 프로세스 조건 범위들이 포함된다.
동작 114에서, 패터닝된 EUV 레지스트 및 실리콘 옥사이드 캡을 마스크로서 사용하여 금속 옥사이드 층이 에칭된다. 기판은 에칭 가스들 및 금속 옥사이드 층에 선택적인 지향성 플라즈마에 노출되고, 즉 금속 옥사이드 층은 EUV 레지스트 또는 실리콘 옥사이드 캡보다 높은 레이트로 에칭된다. 실리콘 옥사이드 캡은 에천트 가스로부터 패터닝된 EUV 레지스트를 보호하고, 실리콘 옥사이드 캡이 에칭될 때까지 패터닝된 EUV 레지스트의 에칭을 방지하거나 억제한다. 일부 실시 예들에서, 실리콘 옥사이드 캡은 금속 옥사이드 층의 에칭 동안 완전히 제거되는 한편, 다른 실시 예들에서 실리콘 옥사이드 캡은 제거되지 않는다. 잠재적인 에칭 가스들은 할로겐-함유 가스들을 포함한다. 실리콘 옥사이드 캡과 비교하여 금속 옥사이드 층에 대한 에칭 선택도는 약 10:1 내지 15:1 일 수도 있다. 금속 옥사이드 층을 에칭하기 위한 예시적인 프로세스 조건들은 100 W 내지 500 W, 예를 들어 300 W의 전력, 100 V 내지 300 V의 바이어스, 60 ℃ 미만의 챔버 온도, 10 mTorr 내지 100 mTorr, 예를 들어 20 mTorr의 챔버 압력, 및 10 초 내지 30 초의 노출 시간이다. 반응 물질 플로우는 100 sccm 내지 300 sccm HBr 및 100 sccm 내지 300 sccm He 일 수도 있다. 모든 프로세스 조건 범위들은 포괄적이다.
도 1의 방법의 특정한 예는 도 2를 참조하여 이하에 논의된다. 도 2는 에칭 프로세스 동안 패터닝된 EUV 레지스트 상의 캡의 증착 동안 반도체 기판의 측면도의 예시적인 실시 예를 제시한다. 동작 200에서, 금속 옥사이드 층 (206), 탄소-함유 스컴 (204), 및 패터닝된 EUV 레지스트 피처들 (202) 을 갖는 반도체 기판 (208) 이 프로세싱 챔버에 제공된다.
동작 210에서, 기판은 기판의 표면들 상에 흡착하기 위해 CF4를 사용하고 흡착된 층을 스퍼터링하기 위해 He 이온들을 사용하는 ALE 프로세스에 의해 디스커밍된다. 동작 210은 스컴 (204) 이 충분히 제거될 때까지 반복되어 복수의 ALE 사이클들을 수행할 수도 있다. 동작 210의 결과는 스컴 (204) 의 제거이다. 일부 실시 예들에서, 동작 210은 또한 피처들 (202) 및 금속 옥사이드 층 (206) 을 에칭하지만, 스컴이 제거를 위해 에칭되는 것보다 훨씬 적은 정도로 에칭된다.
일부 실시 예들에서, 동작 210은 수행되지 않는다. 일부 실시 예들에서, 스컴 (204) 은 디스컴 동작이 불필요하도록 충분히 중요하지 않을 수도 있다. 일부 실시 예들에서, EUV 리소그래피 프로세스는 디스컴 동작을 보장하기에 충분한 스컴을 생성하지 않을 수도 있다. 일반적으로, 스컴이 에칭 프로세스의 임계 치수에 영향을 주지 않는다면, 디스컴 동작이 수행되지 않을 수도 있다.
동작 220에서, 금속 옥사이드 층의 노출된 부분들은 패시베이션 층 (221) 을 현상하도록 HBr 플라즈마로 처리된다. 패시베이션 층은 또한 노출된 영역의 폭과 피처들 (202) 의 높이 사이에 종횡비가 보다 낮은 개방 영역 (222) 상에 형성된다. HBr 처리는 금속 옥사이드 층을 패시베이팅하고 (passivate), 실리콘 테트라클로라이드 (SiCl4) 와 같은 실리콘-함유 전구체들의 증착을 억제한다.
동작 230에서, 실리콘-함유 전구체, 예컨대 SiCl4가 실리콘-함유 전구체 층 (233) 을 생성하도록 피처들 (202) 의 상단 상에 선택적으로 증착된다. SiCl4는 패시베이션 층 (221) 에 의해 유발된 핵 생성 지연 시간으로 인해 금속 옥사이드 층 (206) 상에 증착되지 않는다. SiCl4는 또한 피처들의 측벽들 상에 실질적으로 증착되지 않는다.
일부 실시 예들에서, SiCl4의 증착 시간은 SiCl4의 층이 또한 패시베이션 층 상에 형성되도록 충분히 길다. 이러한 원치 않은 증착은 상기 도 3에 기술된 프로세스 흐름도에 따라 에칭 백 동작을 수행함으로써 실리콘 옥사이드를 생성하도록 증착된 SiCl4를 산화시키기 전에 제거될 수도 있다. 일부 실시 예들에서, 동작 220, 동작 230, 및 에칭 백 동작은 디봇들을 충진하기 위해 순환될 수도 있다. 다른 실시 예들에서, 동작 230 및 에칭 백 동작만이 디봇들을 충진하기 위해 순환된다.
동작 240에서 실리콘-함유 전구체 층 (233) 은 실리콘 옥사이드 (SiO2) 캡 (243) 을 생성하도록 산소 플라즈마에 노출된다. 산소는 옥사이드를 형성하도록 실리콘-함유 전구체 층과 반응한다. 실리콘-함유 전구체 층은 실리콘-함유 전구체 층이 완전히 산화되는 것을 보장하기 위해, 실리콘-함유 전구체 층의 두께에 따라 가변적인 양의 시간 동안 산소에 노출된다.
마지막으로, 동작 250에서 금속 옥사이드 층은 HBr 플라즈마를 사용하여 에칭된다. 동작 220에서 사용된 HBr 플라즈마와 달리, 이러한 플라즈마는 상부에 HBr 층을 증착하기보다는 금속 옥사이드 층을 에칭하기 위해 보다 높은 에너지 및 보다 높은 전압 바이어스를 사용하여 생성된다. 금속 옥사이드 층 (206) 의 노출된 부분들이 HBr 플라즈마에 의해 에칭될 때, 패터닝된 금속 옥사이드 층 (256) 이 형성된다. 패터닝된 금속 옥사이드 층 (256) 은 패터닝된 EUV 레지스트의 피처들 (202) 과 동일한 패터닝을 갖는다. 실리콘 옥사이드 캡 (243) 은 또한 보다 얇은 캡 (253) 으로 에칭되지만, 금속 옥사이드 층보다 훨씬 낮은 레이트로 에칭된다. 일부 실시 예들에서, 실리콘 옥사이드 캡은 에칭 프로세스에 의해 동작 250에서 제거될 것이다.
도 5는 개시된 실시 예들에 따른 방법의 동작들을 수행하기 위한 또 다른 프로세스 흐름도를 제공한다. 도 5의 방법은 금속 옥사이드 층을 에칭하기 위한 또 다른 프로세스의 일부로서 수행될 수도 있다. 동작 502에서, 하부 금속 옥사이드 층의 일부를 노출하는 패터닝된 EUV 레지스트를 갖는 기판이 프로세스 챔버 내에 수용된다. 반도체 기판은 이전 동작으로부터 프로세스 챔버 내에 있을 수도 있고 또는 프로세스 챔버로 도입될 수도 있다.
동작 504는 패터닝된 EUV 레지스트를 디스컴하기 위한 선택 가능한 동작이다. 일부 실시 예들에서, 동작 504는 패터닝된 EUV 레지스트를 디스컴하도록 수행되는 한편, 다른 실시 예들에서 동작 504는 수행되지 않는다. 동작 504가 수행되는지 여부는 기판 상의 임의의 스컴이 패터닝된 EUV 레지스트의 임계 치수에 영향을 주는지 여부에 종속될 수도 있다. 동작 504는 상기 기술된 바와 같이 도 3의 프로세스 흐름도에 따라 수행될 수도 있다.
동작 506에서 비정질 탄소 캡이 패터닝된 EUV 레지스트 상에 증착된다. 일부 실시 예들에서 패터닝된 EUV 레지스트의 피처들의 측벽들 상에 증착이 있을 것이지만, 전압 바이어스는 탄소-기반 증착의 컨포멀성 (conformality) 을 증가시키기 위해 인가될 수도 있다. 비정질 탄소 증착은 패터닝된 EUV 레지스트의 피처들 상에 증착하기 위해 플라즈마의 존재하에 메탄 (CH4), 수소 (H2) 와 같은 탄화수소 (CxHy) 에 기판을 노출시킴으로써 수행될 수도 있다. H 이온들은 통상적으로 금속 옥사이드 층들을 에칭하지만, EUV 레지스트와 같은 탄소-기반 폴리머들은 H 이온 에칭에 내성이 있다. 부가적으로, 플라즈마 내의 탄소 라디칼들은 EUV 레지스트와 같은 탄소-기반 폴리머들 상에 증착될 것이다. 따라서, 탄화수소 및 H2 플라즈마는 하부 금속 옥사이드 층의 노출된 부분들 상에 증착하지 않거나 명목상 에칭하지 않으면서, 패터닝된 EUV 레지스트 상에 비정질 탄소를 증착하도록 튜닝될 수 있다.
일부 실시 예들에 대한 예시적인 프로세스 조건들은: 10 W 내지 200 W, 예를 들어 100 W의 단일 스테이션 전력, 0 V 내지 100 V, 예를 들어 60 V의 전압 바이어스, 60 °C 미만의 프로세스 챔버 온도, 1 mtorr 내지 100 mTorr의 압력, 및 1 초 내지 10 초의 노출 시간이다. 일부 실시 예들에서, 반응 물질들은 다른 불활성 가스들이 사용될 수도 있지만, 10 sccm 내지 50 sccm, 예를 들어 20 sccm의 플로우 레이트를 갖는 CH4, 0 sccm 내지 200 sccm, 예를 들어 50 sccm의 플로우 레이트를 갖는 H2, 및 200 sccm 내지 400 sccm, 예를 들어 250 sccm의 플로우 레이트를 갖는 헬륨과 같은 불활성 가스이다. 모든 프로세스 조건 범위들은 포괄적이다.
일부 실시 예들에서, 선택 가능한 동작 508은 패터닝된 EUV 레지스트의 피처들의 측벽들로부터 동작 506에서 증착된 탄소를 감소시키거나 제거하도록 수행된다. 동작 508은 기판을 에칭하고, 패터닝된 EUV 레지스트의 상단 및 측벽들로부터 탄소를 제거한다. 비정질 탄소는 측벽들보다 피처들의 상단 상에 보다 많이 증착되고, 따라서 동작 508은 패터닝된 EUV 레지스트의 피처들의 상단 상에 비정질 탄소의 순 증착을 발생시킨다. 이는 LER 또는 LWR을 감소시키거나 피처 임계 치수들을 유지하기 위해 행해질 수도 있다. 일부 실시 예들에서, 임의의 측벽 증착이 피처 임계 치수들에 영향을 주기에 불충분하기 때문에, 이러한 동작은 수행되지 않는다.
에칭 백 동작 508은 도 3 및 도 10에 대해 상기 논의된 디스컴 동작과 동일한 프로세스 조건들을 사용하여, ALE 방식으로 진행될 수도 있다. 일부 실시 예들에서, 디스컴 동작 (예를 들어, CF4) 의 반응 물질들은 에칭 백 동작을 위해 사용된다. 다른 실시 예들에서, 할로겐-함유 가스 대신, 산화제가 탄소-함유 피처들의 표면 상에 흡착되고, 이어서 헬륨 플라즈마에 의해 제거된다. 적합한 산화제는 이로 제한되지 않지만, 산소, 오존, 물, 이산화탄소 (CO2), 아산화 질소 (N2O) 가스, 디올들, 알코올들, 에스테르들, 케톤들, 및 카르복시산들을 포함한다.
일부 실시 예들에서, 동작 506 및 동작 508은 패터닝된 EUV 레지스트 내의 디봇들을 충진하기 위해 순환된다. 일부 실시 예들에서, 동작 506은 디봇들 내에 선택적으로 증착될 것이고, 즉, 디봇들을 둘러싸는 영역들보다 많은 탄소가 디봇들 내에 증착될 것이다. 일부 실시 예들에서, 동작 508은 주변의 보다 두꺼운 영역보다 작은 디봇들 내에서 에칭할 것이다. 동작 506 및 동작 508을 순환함으로써, 임의의 디봇들이 비정질 탄소 전구체 층에 의해 점진적으로 충진될 수도 있고, EUV 레지스트 두께의 가변성을 감소시킨다.
마지막으로, 동작 510에서, 패터닝된 EUV 레지스트 및 비정질 탄소 캡을 마스크로서 사용하여 금속 옥사이드 층이 에칭된다. 비정질 탄소 캡은 에천트 가스로부터 패터닝된 EUV 레지스트를 보호하고, 비정질 탄소 캡이 제거될 때까지 패터닝된 EUV 레지스트의 에칭을 방지하거나 억제한다. 일부 실시 예들에서, 비정질 탄소 캡은 금속 옥사이드 층의 에칭 동안 완전히 제거되는 한편, 다른 실시 예들에서 비정질 탄소 캡은 제거되지 않는다. 비정질 탄소 캡과 비교하여 금속 옥사이드 층에 대한 에칭 선택도는 약 10:1 내지 15:1 일 수도 있다. 동작 510에 대한 예시적인 프로세스 조건들은 상기 도 1의 동작 114에 대한 것과 동일하다.
도 5의 방법의 특정한 예는 도 6을 참조하여 이하에 논의된다. 도 6은 에칭 프로세스 동안 패터닝된 EUV 레지스트 상의 캡의 증착 동안 반도체 기판의 측면도의 예시적인 실시 예를 제시한다. 동작 600에서, 금속 옥사이드 층 (606), 탄소-함유 스컴 (604), 및 패터닝된 EUV 레지스트 피처들 (602) 을 갖는 반도체 기판 (608) 이 프로세싱 챔버에 제공된다.
동작 610에서 기판은 기판의 표면들 상에 흡착하기 위해 CF4를 사용하고 흡착된 층을 스퍼터링하기 위해 He 이온들을 사용하는 ALE 프로세스에 의해 디스커밍된다. 동작 610은 스컴 (604) 이 충분히 제거될 때까지 반복되어 복수의 ALE 사이클들을 수행할 수도 있다. 동작 610의 결과는 스컴 (604) 의 제거이다. 일부 실시 예들에서, 동작 610은 또한 피처들 (602) 및 금속 옥사이드 층 (606) 을 에칭한다.
일부 실시 예들에서, 동작 610은 수행되지 않는다. 일부 실시 예들에서, 스컴 (604) 은 디스컴 동작이 불필요하도록 충분히 중요하지 않을 수도 있다. 일부 실시 예들에서, EUV 리소그래피 프로세스는 디스컴 동작을 보장하기에 충분한 스컴을 생성하지 않을 수도 있다. 일반적으로, 스컴이 에칭 프로세스의 결함 성능 또는 임계 치수에 영향을 주지 않는다면, 디스컴 동작이 수행되지 않을 수도 있다.
동작 620에서 기판은 비정질 탄소 층 (623) 을 형성하기 위해 피처들 (602) 의 상단 상에 비정질 탄소를 선택적으로 증착하도록 메탄 및 수소 플라즈마에 노출된다. 상기 논의된 바와 같이, 프로세스 조건들은 탄소 플라즈마가 피처들 (602) 상에 증착될 것이지만, 수소 플라즈마는 금속 옥사이드 층 상의 임의의 비정질 탄소 증착을 제거하고 금속 옥사이드 층을 명목상으로 에칭할 수도 있도록 튜닝된다. 일부 실시 예들에서, 비정질 탄소는 측벽 탄소 (624) 를 형성하도록 피처들 (602) 의 측벽들 상에 증착될 수도 있다. 탄소는 증착의 이방성을 증가시키기 위해 전압 바이어스를 사용하여 증착되지만, 일부 측벽 증착은 항상 (invariably) 발생할 수도 있다.
동작 630에서, 비정질 탄소 전구체 층 (623) 및 측벽 탄소 (624) 는 기판의 탄소-함유 표면들 상에 흡착하도록 이산화탄소 (CO2) 를 사용하고 이어서 흡착된 층을 탈착하고 비정질 탄소 캡 (633) 을 형성하도록 He 이온들을 사용하는 ALE 프로세스에 의해 다시 에칭된다. 이 동작은 측벽들 상에 증착된 임의의 탄소를 제거하도록 사용되지만, 또한 피처들 (602) 의 상단 상의 탄소를 제거한다. 보다 많은 탄소가 측벽들보다 상단에 증착되도록 탄소가 동작 620에서 선택적으로 증착되기 때문에, 비정질 탄소 캡 (633) 은 여전히 동작 630 후에 남을 것이다.
일부 실시 예들에서, 동작 630은 수행되지 않는다. 일부 실시 예들에서, 측벽 탄소 (624) 는 에칭될 피처들의 임계 치수에 영향을 주지 않고, 이 동작은 쓰루풋 (throughput) 을 증가시키기 위해 생략될 수도 있다. 이러한 실시 예들에서, 탄소 층 (623) 은 동작 640에서 사용된 비정질 탄소 캡이다.
마지막으로, 동작 640에서 금속 옥사이드 층은 HBr 플라즈마를 사용하여 에칭된다. HBr 플라즈마는 고 에너지로 생성되고, 전압 바이어스가 반도체 기판 상에 HBr 층을 증착하기보다, 금속 옥사이드 층을 에칭하기 위해 반도체 기판에 인가된다. 금속 옥사이드 층 (606) 의 노출된 부분들이 HBr 플라즈마에 의해 에칭될 때, 패터닝된 금속 옥사이드 층 (646) 이 형성된다. 패터닝된 금속 옥사이드 층 (646) 은 패터닝된 EUV 레지스트의 피처들 (602) 과 동일한 패터닝을 갖는다. 비정질 탄소 캡 (633) (또는 비정질 탄소 전구체 층 (623)) 은 또한 보다 얇은 캡 (643) 으로 에칭되지만, 금속 옥사이드 층보다 낮은 레이트로 에칭된다. 일부 실시 예들에서, 비정질 탄소 캡은 에칭 프로세스에 의해 동작 640 동안 제거된다.
도 7은 개시된 실시 예들에 따른 또 다른 방법의 동작들을 수행하기 위한 프로세스 흐름도를 제공한다. 도 7의 방법은 금속 옥사이드 층일 수도 있고 아닐 수도 있는, 하부 층을 에칭하기 위한 프로세스의 일부로서 수행될 수도 있다. 동작 702에서, 하부 층의 일부를 노출하는 패터닝된 EUV 레지스트를 갖는 기판이 프로세스 챔버 내에 수용된다. 일부 실시 예들에서, 패터닝된 EUV 레지스트의 피처들은 약 1 내지 약 5 또는 약 1 내지 약 2, 예를 들어 약 1.5의 하부 층의 노출된 부분들에 대한 종횡비를 갖는다. 반도체 기판은 이전 동작으로부터 프로세스 챔버 내에 있을 수도 있고 또는 프로세스 챔버로 도입될 수도 있다.
동작 704에서, 상기 기술된 바와 같이, 도 3 및 도 10의 프로세스에 따라 패터닝된 EUV 레지스트가 디스커밍된다. 일부 실시 예들에서, 도 3에 따른 단일 ALE 사이클이 수행된다. 다른 실시 예들에서, 복수의 ALE 사이클들이 수행된다. 일부 실시 예들에서, 동작 704는 패터닝된 EUV 레지스트를 완전히 디스컴하지 않을 수도 있다. 동작 704가 수행되는 정도는 기판 상의 임의의 스컴이 패터닝된 EUV 레지스트의 임계 치수에 영향을 주는지 여부에 종속될 수도 있다. 일부 실시 예들에서, ALE 프로세스는 또한 하부 층을 에칭할 것이다.
동작 706에서, 실리콘-함유 전구체의 전구체 층이 패터닝된 EUV 레지스트 상에 증착된다. 전구체는 일반적으로 측벽들보다 피처들의 상단 또는 금속 옥사이드 층의 노출된 부분들상에 보다 많은 전구체가 증착되도록 패터닝된 EUV 레지스트의 피처들의 상단에 대해 "선택적으로" 증착된다. 예를 들어, 일부 실시 예들에서, 전구체의 측벽 증착이 없다. 일부 실시 예들에서, 실리콘-함유 옥사이드 전구체는 보다 높은 종횡비로 인해 하부 층 상에 증착되지 않는다. 종횡비가 보다 낮은 실시 예들에서, 실리콘-함유 전구체는 또한 하부 층 상에 증착될 수도 있다. 동작 706에 대한 예시적인 프로세스 조건들은 상기 도 1에 대한 동작 108과 동일하다. 일부 실시 예들에서, 실리콘-함유 전구체는 SiH4, Si2H2, 또는 SiCl4이다.
일부 실시 예들에서, 패터닝된 EUV 레지스트 및 전구체 층이 도 3의 프로세스에 따라 에칭되는 선택 가능한 동작 708이 수행된다. ALE 프로세스는 상기 동작 704에서 제거되지 않은 부가적인 스컴뿐만 아니라 하부 층의 부분들 및 전구체 층의 부분들을 제거할 수도 있다. 일부 실시 예들에서, 전구체 층이 EUV 레지스트의 피처들을 보호하는 한편 복수의 ALE 사이클들이 하부 층 상의 스컴 또는 다른 바람직하지 않은 증착을 제거하도록 수행된다.
일부 실시 예들에서, 동작 706 및 동작 708은 패터닝된 EUV 레지스트 내에 디봇들을 충진하기 위해 순환된다. 일부 실시 예들에서, 보다 편평한 주변 필드에 비해 큰 표면적을 갖는 디봇들로 인해, 동작 706에서 디봇들을 둘러싸는 영역들보다 많은 실리콘-함유 전구체가 디봇들 내에 증착될 것이다. 일부 실시 예들에서, 동작 708은 주변의 보다 두꺼운 영역보다 작은 디봇들 내에서 에칭할 것이다. 동작 706 및 동작 708을 순환함으로써, 임의의 디봇들이 실리콘-함유 전구체 층에 의해 점진적으로 충진될 수도 있고, EUV 레지스트 두께의 가변성을 감소시킨다.
동작 710에서, 실리콘 함유 전구체의 전구체 층은 실리콘 옥사이드 캡을 형성하도록 산화된다. 이는 패터닝된 EUV 레지스트 상에 실리콘 옥사이드 캡을 형성하기 위해 실리콘-함유 전구체와 반응하는 플라즈마를 점화하면서, 기판을 산화제, 즉 산소-함유 가스에 노출시킴으로써 행해진다. 실리콘 옥사이드 캡은 측벽들 대신 패터닝된 EUV 레지스트의 피처들의 상단 상에 선택적으로 형성되어 피처들의 측벽 프로파일을 유지한다. 동작 840에 적합한 산화제들 및 프로세스 조건들은 상기 동작 112와 동일하다.
동작 712에서, 패터닝된 EUV 레지스트 및 실리콘 옥사이드 캡을 사용하여 하부 층이 에칭된다. 실리콘 옥사이드 캡은 에천트 가스로부터 패터닝된 EUV 레지스트를 보호하고, 실리콘 옥사이드 캡이 에칭될 때까지 패터닝된 EUV 레지스트의 에칭을 방지하거나 억제한다. 일부 실시 예들에서, 실리콘 옥사이드 캡은 하부 층의 에칭 동안 완전히 제거되는 한편, 다른 실시 예들에서 실리콘 옥사이드 캡은 제거되지 않는다. 동작 712에 대한 예시적인 프로세스 조건들은 상기 도 1의 동작 114에 대한 것과 동일할 수도 있다. 일부 실시 예들에서, 하부 층이 마스크로서 패터닝된 EUV 레지스트 및 실리콘 옥사이드 캡의 조합을 사용하여 에칭될 수 있는 하부 층과 패터닝된 EUV 레지스트 및 실리콘 옥사이드 캡의 조합 사이에 충분한 에칭 선택도가 있는 한, 상이한 에칭 화학 물질들이 사용될 수도 있다. 사용될 특정한 에칭 화학 물질은 하부 층을 포함하는 재료들에 종속한다.
도 7의 방법의 특정한 예는 도 8을 참조하여 이하에 논의된다. 도 8은 에칭 프로세스 동안 패터닝된 EUV 레지스트의 캡핑 동안 반도체 기판의 측면도의 예시적인 실시 예를 제시한다. 동작 800에서, 금속 옥사이드 층 (806), 탄소-함유 스컴 (804), 및 패터닝된 EUV 레지스트 피처들 (802) 을 갖는 반도체 기판 (808) 이 프로세싱 챔버에 제공된다.
동작 810에서 기판은 기판의 표면들 상에 흡착하기 위해 CF4를 사용하고 흡착된 층을 스퍼터링하기 위해 He 이온들을 사용하는 ALE 프로세스에 의해 디스커밍된다. 동작 810은 스컴 (804) 이 충분히 제거될 때까지 반복되어 복수의 ALE 사이클들을 수행할 수도 있다. 동작 810의 결과는 스컴 (804) 의 제거이다. 일부 실시 예들에서 동작 810은 또한 피처들 (802) 및 하부 층 (806) 을 에칭한다. 동작 810은 상기 도 3에 의해 제시된 바와 동일한 프로세스 조건들을 사용하여 수행될 수도 있다.
일부 실시 예들에서, 동작 810은 수행되지 않는다. 일부 실시 예들에서, 스컴 (804) 은 디스컴 동작이 불필요하도록 충분히 중요하지 않을 수도 있다. 일부 실시 예들에서, EUV 리소그래피 프로세스는 패터닝된 EUV 레지스트의 임계 치수에 영향을 주기에 충분한 스컴을 생성하지 않을 수도 있다. 일반적으로, 스컴이 에칭 프로세스의 임계 치수에 영향을 주지 않는다면, 디스컴 동작이 수행되지 않을 수도 있다.
동작 820에서 SiCl4는 실리콘-함유 전구체 층 (823) 을 생성하기 위해 피처들 (802) 의 상단 상에 선택적으로 증착된다. SiCl4는 패터닝된 EUV 레지스트 및 하부 층의 노출된 부분들의 종횡비로 인해 하부 층 (806) 상에 증착되지 않거나 보다 적게 증착된다. SiCl4는 또한 피처들의 측벽들 상에 증착되지 않고, 전체적으로 또는 대부분 피처들 (802) 의 상단에 증착된다.
동작 830에서, 실리콘-함유 전구체 층은 실리콘-함유 전구체 층의 표면들 상에 흡착하기 위해 CF4, 및 흡착된 층을 탈착하기 위해 He 이온들을 이용하는 ALE 프로세스를 사용하여 에칭된다. 이는 실리콘-함유 전구체 층의 일부를 제거하도록 행해질 수도 있다. 일부 실시 예들에서, 이는 패터닝된 EUV 레지스트의 종횡비를 감소시키거나 패터닝된 EUV 레지스트의 피처들의 임계 치수를 유지하는데 유리할 수도 있다. 일부 실시 예들에서, SiCl4는 동작 820 동안 하부 층의 노출된 부분들 상에 증착되고, 동작 830은 이러한 증착을 제거한다.
일부 실시 예들에서, 동작 830은 수행되지 않는다. 일부 실시 예들에서, SiCl4는 에칭 동작을 필요로 하도록 하부 층의 노출된 부분들 상에 충분히 증착되지 않는다.
일부 실시 예들에서, 동작 820 및 동작 830은 EUV 레지스트의 디봇들을 충진하기 위해 순환된다. 동작 830은 보다 작은 표면적을 갖는 영역들보다 큰 표면적들을 갖는 영역들을 에칭할 것이고, 이에 따라 주변 영역보다 작은 디봇들 내에서 에칭할 것이다. 동작 820 및 동작 830을 순환함으로써, 임의의 디봇들이 실리콘-함유 전구체 층 (833) 에 의해 점진적으로 충진될 수도 있고, EUV 레지스트 두께의 가변성을 감소시킨다.
동작 840에서 실리콘-함유 전구체 층 (823) 은 실리콘 옥사이드 캡 (843) 을 생성하도록 산화된다. 이는 패터닝된 EUV 레지스트 상에 실리콘 옥사이드 캡을 형성하기 위해 실리콘-함유 전구체와 반응하는 플라즈마를 점화하면서, 기판을 산화제, 즉 산소-함유 가스에 노출시킴으로써 행해진다. 실리콘 옥사이드 캡은 측벽들 대신 패터닝된 EUV 레지스트의 피처들의 상단 상에 선택적으로 형성되어 피처들의 측벽 프로파일을 유지한다.
마지막으로, 동작 850에서 하부 층은 HBr 플라즈마를 사용하여 에칭된다. 하부 층 (806) 의 노출된 부분들이 HBr 플라즈마에 의해 에칭됨에 따라, 패터닝된 하부 층 (856) 이 형성된다. 패터닝된 하부 층 (856) 은 패터닝된 EUV 레지스트의 피처들 (802) 과 동일한 패터닝을 갖는다. 실리콘 옥사이드 캡 (843) 은 또한 보다 얇은 캡 (853) 으로 에칭되지만, 하부 층보다 낮은 레이트로 에칭된다. 일부 실시 예들에서, 실리콘 옥사이드 캡은 하부 층의 에칭 동안 완전히 제거되는 한편, 다른 실시 예들에서 실리콘 옥사이드 캡은 제거되지 않는다.
증착 재료들
전구체 층 또는 캡의 증착은 다양한 실시 예들에 따른 PECVD (plasma-enhanced chemical vapor deposition) 프로세스 또는 HDP-CVD (high-density plasma chemical vapor deposition) 프로세스를 포함하는 플라즈마 증착일 수도 있다. 에칭 프로세스가 용량 결합 플라즈마 에칭 장치에서 수행되는 실시 예들에서, PECVD 프로세스가 유리하게 수행될 수도 있고, 에칭 프로세스가 유도 결합 플라즈마 에칭 장치에서 수행되는 실시 예들에서, HDP-CVD 프로세스가 유리하게 수행될 수도 있다.
실리콘-함유 옥사이드 전구체를 증착할 때, 실란들 (예를 들어, SiH4), 폴리 실란들 (H3Si-(SiH2)n-SiH3) (여기서 n ≥ 1), 유기 실란들, 할로겐화된 실란들, 및 아미노 실란들을 포함하는 임의의 적절한 실리콘-함유 전구체가 사용될 수도 있다. 메틸 실란, 에틸 실란, 이소프로필 실란, t-부틸 실란, 디메틸 실란, 디에틸 실란, 디-t-부틸 실란, 알릴 실란, sec-부틸 실란, 텍실 실란, 이소 아밀 실란, t-부틸 디실란, 디-t-부틸 디실란, 등과 같은 유기 실란들이 사용될 수도 있다. 할로겐화된 실란은 적어도 하나의 할로겐기를 함유하고 수소들 및/또는 탄소기들을 함유할 수도 있고 함유하지 않을 수도 있다. 할로겐화된 실란들의 예들은 요오드 실란들, 브로모 실란들, 클로로 실란들 및 플루오로 실란들이다. 구체적인 클로로 실란들은 테트라클로로 실란 (SiCl4), 트리클로로 실란 (HSiCl3), 디클로로 실란 (H2SiCl2), 모노클로로 실란 (ClSiH3), 클로로알릴 실란, 클로로메틸 실란, 디클로로메틸 실란, 클로로디메틸실란, 클로로에틸 실란, t-부틸클로로 실란, 디-t-부틸클로로 실란, 클로로이소프로필 실란, 클로로-sec-부틸실란, t- 부틸디메틸클로로 실란, 텍실디메틸클로로 실란 등이다. 아미노 실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 수소들, 산소들, 할로겐들 및 탄소들도 포함할 수도 있다. 아미노 실란들의 예들은 모노-아미노 실란, 디-아미노 실란, 트리-아미노 실란 및 테트라-아미노 실란 (각각 H3Si(NH2, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4) 뿐만 아니라 치환된 모노-아미노 실란, 디-아미노 실란, 트리-아미노 실란 및 테트라-아미노 실란, 예를 들어, t-부틸 아미노 실란, 메틸 아미노 실란, tert-부틸 실란아민, bis(터티아릴 부틸 아미노)실란 (SiH2(NHC(CH3)3)2 (BTBAS), tert-부틸 실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이 있다.
증착된 막들은 a-SiC: H 막들을 생성하는 유기 실란들 및 a-SiN: H 또는 a-SiCN: H 막들을 생성하는 아미노 실란들과 함께 사용된 특정한 전구체 및 공-반응 물질들에 따른 막 조성으로, 비정질일 수도 있다.
탄소-기반 막들을 증착할 때, 화학식 CxHy의 탄화수소 전구체가 사용될 수도 있고, 여기서 X는 2 내지 10의 정수이고, Y는 2 내지 24의 정수이다. 예는 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 부탄 (C4H10), 사이클로 헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8)을 포함한다.
일부 실시 예들에서, 캡은 도핑되거나 붕소 또는 인과 같은 재료를 포함할 수도 있다. 부가적인 도펀트들은 비소, 황 및 셀레늄을 포함한다. 이러한 방식으로, 마스크 또는 캡 층에 대한 에칭 선택도가 개선될 수도 있다. 예를 들어, 도핑된 유전체들 (특히 실리콘 다이옥사이드-기반 유전체들) 에 대해, 프로세스 가스는 붕소-함유 가스, 인-함유 가스, 탄소-함유 가스, 또는 이들의 혼합물과 같은 도펀트 전구체를 포함할 수도 있다. 특정한 실시 예에서, 가스는 하나 이상의 붕소-함유 반응 물질 및 하나 이상의 인-함유 반응 물질을 포함하고 유전체 막은 인 및 붕소-도핑된 실리콘 옥사이드 유리 (BPSG) 를 포함한다. 적합한 붕소 및 인 전구체 가스들의 예들은 보란 (BH3), 디보란 (B2H6), 및 트리보란 (B3H7) 및 포스핀 (PH3) 을 포함한다. 비소-함유 가스, 황-함유 가스, 및 셀레늄-함유 가스의 예들은 셀레늄화 수소 (H2Se), 비소화 수소 (AsH3) 및 황화 수소 (H2S) 를 포함한다.
캡이 옥시나이트라이드 (예를 들어, 실리콘 옥시나이트라이드) 를 포함한다면, 증착 가스는 N2, NH3, NO, N2O 및 이들의 혼합물들과 같은 질소-함유 반응 물질을 포함할 수도 있다. 증착된 막들의 예들은 붕소-도핑된 실리콘, 실리콘 보라이드, 실리콘 보라이드 탄소, 등을 포함한다.
장치
도 11은 본 명세서의 특정한 실시 예들에 따른, 유도 결합 플라즈마 에칭 장치 (1100) 의 단면도를 개략적으로 도시한다. CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 KiyoTM 반응기는 본 명세서에 기술된 기법들을 구현하기 위해 사용될 수도 있는 적합한 반응기의 예이다. 유도 결합 플라즈마 에칭 장치 (1100) 는 챔버 벽들 (1101) 및 윈도우 (1111) 에 의해 구조적으로 규정된 전체 에칭 챔버를 포함한다. 챔버 벽들 (1101) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (1111) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (1150) 는 전체 에칭 챔버를 상부 서브-챔버 (1102) 및 하부 서브-챔버 (1103) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (1150) 는 제거될 수도 있고, 이에 따라 서브-챔버 (1102) 및 서브-챔버 (1103) 로 이루어진 챔버 공간을 활용한다. 척 (1117) 은 하단 내측 표면 근방에서 하부 서브-챔버 (1103) 내에 위치된다. 척 (1117) 은 에칭 프로세스가 수행되는 반도체 웨이퍼 (1119) 를 수용하고 홀딩하도록 구성된다. 척 (1117) 은 존재한다면 웨이퍼 (1119) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (1117) 을 둘러싸고, 척 (1117) 위에 존재할 때 웨이퍼 (1119) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (1117) 은 또한 웨이퍼를 척킹 및 디 척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (716) 으로부터 웨이퍼를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (1117) 은 RF 전력 공급부 (1123) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (1123) 는 연결부 (1127) 를 통해 매칭 회로 (1121) 에 연결된다. 매칭 회로 (1121) 는 연결부 (1125) 를 통해 척 (1117) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (1123) 는 척 (1117) 에 연결된다.
코일 (1133) 은 윈도우 (1111) 위에 위치된다. 코일 (1133) 은 전기적으로 전도성 재료로 제조되고 적어도 하나의 완전한 턴 (turn) 을 포함한다. 도 11에 도시된 예시적인 코일 (1133) 은 3 번의 턴들을 포함한다. 코일 (1133) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"을 갖는 코일들은 페이지 밖으로 회전하여 연장된다. RF 전력 공급부 (1141) 는 코일 (1133) 에 RF 전력을 공급하도록 구성된다. 일반적으로, RF 전력 공급부 (1141) 는 연결부 (1145) 를 통해 매칭 회로 (1139) 에 연결된다. 매칭 회로 (1139) 는 연결부 (1143) 를 통해 코일 (1133) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (1141) 는 코일 (1133) 에 연결된다. 선택 가능한 패러데이 차폐부 (Faraday shield) (1149) 가 코일 (1133) 과 윈도우 (1111) 사이에 위치된다. 패러데이 차폐부 (1149) 는 코일 (1133) 에 대해 이격된 관계로 유지된다. 패러데이 차폐부 (1149) 는 윈도우 (1111) 바로 위에 배치된다. 코일 (1133), 패러데이 차폐부 (1149), 및 윈도우 (1111) 각각은 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부는 금속 또는 다른 종들이 플라즈마 챔버의 유전체 윈도우 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 챔버 내에 위치된 주 주입 포트 (main injection port) (1160) 를 통해 그리고/또는 때때로 STG로 지칭되는 측면 주입 포트 (1170) 를 통해 공급될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 건식 펌프 및/또는 터보 분자 펌프 (1140) 는 프로세스 챔버 (1124) 로부터 프로세스 가스들을 인출하고, 동작가능한 플라즈마 프로세싱 동안 쓰로틀 밸브 (미도시) 또는 펜듈럼 밸브 (미도시) 와 같은 폐 루프 제어된 플로우 제한 디바이스를 사용하여 프로세스 챔버 (1100) 내 압력을 유지하도록 사용될 수도 있다.
장치의 동작 동안, 하나 이상의 반응 물질 가스들이 주입 포트들 (1160 및/또는 1170) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 가스는 주 주입 포트 (1160) 를 통해서만, 또는 측면 주입 포트 (1170) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 주입 포트들은 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (1149) 및/또는 선택 가능한 그리드 (1150) 는 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (1149) 및 선택 가능한 그리드 (1150) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할을 할 수도 있다.
RF 전류로 하여금 코일 (1133) 을 통해 흐르게 하도록 RF 전력 공급부 (1141) 로부터 코일 (1133) 로 RF 전력이 공급된다. 코일 (1133) 을 통해 흐르는 RF 전류는 코일 (1133) 주위에 전자기장을 생성한다. 전자기장은 상부 서브-챔버 (1102) 내에 유도 전류를 생성한다. 에칭 프로세스 동안, 웨이퍼 (1119) 와 다양한 생성된 이온들 및 라디칼들의 물리적 및 화학적 상호 작용들은 웨이퍼의 피처들을 선택적으로 에칭한다.
상부 서브-챔버 (1102) 및 하부 서브-챔버 (1103) 모두가 존재하도록 플라즈마 그리드가 사용된다면, 유도 전류는 상부 서브-챔버 (1102) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브-챔버 (1102) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (1150) 는 하부 서브-챔버 (1103) 내의 고온 전자들의 양을 제한한다. 일부 실시 예들에서, 장치는 하부 서브-챔버 (1103) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있고, 이온-이온 플라즈마를 통해 보다 큰 양이온에 대한 음이온의 비를 가질 것이다. 휘발성 에칭 부산물들은 포트 (1122) 를 통해 하부-서브 챔버 (1103) 로부터 제거될 수도 있다.
본 명세서에 개시된 척 (1117) 은 약 30 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 에칭 프로세스 동작 및 특정한 레시피에 종속될 것이다. 일부 실시 예들에서, 챔버 (1101) 는 또한 약 1 mTorr 내지 약 95 mTorr 범위의 압력들에서 동작할 수도 있다. 특정한 실시 예들에서, 압력은 상기 개시된 바와 같이 보다 높을 수도 있다.
챔버 (1101) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어 및 환경 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 챔버 (1101) 에 커플링된다. 부가적으로, 챔버 (1101) 는 로보틱스들로 하여금 통상적인 자동화를 사용하여 챔버 (1101) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함 할 수도 있는) 시스템 제어기 (1130) 는 에칭 챔버의 동작들 중 일부 또는 전부를 제어한다. 제어기들은 이하에 더 기술된다.
도 12는 다양한 실시 예들에 따른 용량 결합 플라즈마 에칭 장치의 예의 개략적인 도시이다. 플라즈마 에칭 챔버 (1200) 는 상부 전극 (1202) 및 하부 전극 (1204) 을 포함하고, 그 사이에 플라즈마가 생성될 수도 있다. 패터닝된 EUV 레지스트를 상부에 갖는 기판 (1299) 은 상기 기술된 바와 같이 하부 전극 (1204) 상에 위치될 수도 있고 정전 척 (ESC) 에 의해 제자리에 홀딩될 수도 있다. 다른 클램핑 메커니즘들이 또한 채용될 수도 있다. 플라즈마 에칭 챔버 (1200) 는 기판 위에 그리고 챔버 벽들로부터 이격되게 플라즈마를 유지하는 플라즈마 한정 (confinement) 링들 (1206) 을 포함할 수도 있다. 예를 들어 내측 벽으로 작용하는 슈라우드와 같은 다른 플라즈마 한정 구조체들이 채용될 수도 있다. 일부 실시 예들에서, 플라즈마 에칭 챔버는 이러한 모든 플라즈마 한정 구조체들을 포함하지 않을 수도 있다.
도 12의 예에서, 플라즈마 에칭 챔버 (1200) 는 상부 전극 (1202) 에 연결된 RF 소스 (1210) 및 하부 전극 (1204) 에 연결된 RF 소스 (1212) 를 갖는 2 개의 RF 소스들을 포함한다. RF 소스 (1210) 및 RF 소스 (1212) 각각은 2 ㎒, 13.56 ㎒, 27 ㎒, 및 60 ㎒를 포함하는 임의의 적절한 주파수의 하나 이상의 소스들을 포함할 수도 있다. 가스는 하나 이상의 가스 소스들 (1214, 1216, 및 1218) 로부터 챔버로 도입될 수도 있다. 예를 들어, 가스 소스 (1214) 는 상기 기술된 바와 같이 증착 또는 에칭 가스들을 포함할 수도 있다. 가스는 배기 펌프 (1222) 를 통해 배기된 과잉 가스 및 반응 부산물과 함께 유입구 (1220) 를 통해 챔버로 도입될 수도 있다.
채용될 수도 있는 플라즈마 에칭 챔버의 일 예는 CA, Fremont 소재의 Lam Research Corp.로부터 입수 가능한 2300® FlexTM 반응성 이온 에칭 툴이다. 플라즈마 에칭 챔버들의 추가 기술은 모든 목적들을 위해 본 명세서에 참조로서 인용된 미국 특허 제 6,841,943 호 및 미국 특허 제8,552,334호에서 발견될 수도 있다.
도 12를 다시 참조하면, 제어기 (1130) 는 RF 소스들 (1210 및 1212) 뿐만 아니라 가스 소스들 (1214, 1216, 및 1218) 과 연관된 밸브들, 그리고 배기 펌프 (1222) 에 연결될 수도 있다. 일부 실시 예들에서, 제어기 (1130) 는 플라즈마 에칭 챔버 (1200) 의 모든 액티비티들을 제어한다.
제어기 (1130) 의 이하의 논의는 도 11 및 도 12의 제어기 (1130) 에 적절하게 적용될 수도 있다. 제어기 (1130) 는 대용량 저장 디바이스에 저장되고, 메모리 디바이스로 로딩되고, 프로세서상에서 실행되는 제어 소프트웨어를 실행할 수도 있다. 대안적으로, 제어 로직은 제어기 (1130) 내에서 하드 코딩될 수도 있다. 대안적으로, 제어 로직은 제어기 (1130) 내에서 하드 코딩될 수도 있다. 주문형 집적 회로들, 프로그래밍 가능 로직 디바이스들 (예로써, 필드 프로그래밍 가능 게이트 어레이들 또는 FPGA들) 등이 이러한 목적으로 사용될 수도 있다. 이하의 논의뿐만 아니라 도 6의 제어기의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 유사한 하드 코딩된 로직이 대신 사용될 수도 있다.
제어 소프트웨어는 다음의 챔버 동작 조건들: 가스들의 혼합 및/또는 조성, 챔버 압력, 챔버 온도, 웨이퍼/웨이퍼 지지부 온도, 웨이퍼에 인가된 바이어스, 코일들 또는 다른 플라즈마 생성 컴포넌트들에 인가된 주파수 및 전력, 웨이퍼 위치, 웨이퍼 이동 속도, 및 툴에 의해 수행된 특정한 프로세스의 다른 파라미터들 중의 임의의 하나 이상의 크기 및/또는 적용 타이밍을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 예를 들어, 제어 소프트웨어는 실리콘-함유 전구체들, 할로겐-함유 가스들, 산화제들, 또는 불활성 가스들과 같은 상기 논의된 반응 물질들을 흘리기 위한 인스트럭션들을 포함할 수도 있다. 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브 루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 제어 소프트웨어는 임의의 적합한 컴퓨팅 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 제어기 (1130) 와 연관된 대용량 저장 디바이스 및/또는 메모리 디바이스 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 및 RF 소스 제어 프로그램들을 포함한다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 증착 및 처리 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 챔버 내의 압력을 안정화시키기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브, 챔버 내로의 가스 플로우, 등을 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. RF 소스 제어 프로그램은 본 명세서의 실시 예들에 따라 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 제어기 (1130) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 프로세스 조건들 및/또는 장치의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 제어기 (1130) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비 제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 압력, 온도, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다. 파라미터들은 본 명세서에 제공된 프로세스 조건들과 유사한 형태일 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1130) 의 아날로그 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 플라즈마 에칭 챔버의 아날로그 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 센서들의 비 제한적인 예들은 질량 유량 제어기들, (압력계들과 같은) 압력 센서들, 열전대들, 등을 포함한다. 적절히 프로그래밍된 피드백 및 제어 알고리즘은 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
제어기 (1130) 는 상기 기술된 지향성 증착 프로세스들뿐만 아니라 후속하는 에칭 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따라 캡-빌드 업 막들 (cap-build up films) 을 지향성으로 증착하도록 파라미터들을 제어할 수도 있다. 예를 들어, 인스트럭션들은 실리콘-함유 옥사이드 전구체들의 플로우 레이트, 또는 할로겐-함유 플라즈마의 전력을 제어할 수도 있다.
제어기 (1130) 는 통상적으로, 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 수행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독 가능 매체는 예를 들어 상기 기술된 바와 같이 제어기 (1130) 에 커플링될 수도 있다.
일부 구현 예들에서, 제어기 (1130) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부인 시스템 제어기이거나 이의 일부를 형성할 수도 있다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전에, 프로세싱 동안 및 프로세싱 후 그들의 동작을 제어하기 위해 전자 장치와 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 서브 부품들을 제어할 수 있는 "제어기"로 지칭될 수도 있다. 시스템 제어기는 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 수행될 프로세스의 타입 및 시스템 제어기가 인터페이싱하거나 제어하도록 구성된 툴의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 시스템 제어기는 예를 들어, 함께 네트워킹되고 공통 목적, 예컨대 본 명세서에 기술된 프로세스들 및 제어들을 향해 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 결합하는 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치된 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
일부 실시 예들에서, PECVD 증착은 리모트, 라디칼-보조된 플라즈마 또는 마이크로파 플라즈마를 채용할 수도 있다. 이러한 증착은 리모트 또는 마이크로파 플라즈마 생성기로 구성된 에칭 챔버에서 수행될 수도 있고 또는 진공 하에서 에칭 챔버에 연결된 증착 챔버에서 수행될 수도 있다. 유사하게, 일부 실시 예들에서, 처리 동작은 리모트 라디칼-보조 플라즈마 또는 마이크로파 플라즈마를 사용하여 수행될 수도 있다.
예시적인 프로세스 파라미터들은 다음과 같이 주어진다. 예시적인 압력 범위들은 5 mT 내지 1000 mT, 그리고 일부 실시 예들에서, 40 mT 내지 100 mT이다. 처리 동작에서, 예시적인 압력들은 5 mT 내지 300 mT의 범위일 수도 있다.
유도 결합 플라즈마 소스 (예를 들어, 캘리포니아 프레몬트 소재의 Lam Research로부터 입수 가능한 TCP (transformer coupled plasma) 소스에 대한 예시적인 플라즈마 전력들은 10 W 내지 1200 W, 20 W 내지 500 W, 또는 50 W 내지 300 W이다. 증착 동작 범위에 대한 예시적인 플라즈마 전력들은 20 W 내지 200 W의 범위이다. 처리 동작 범위에 대한 예시적인 플라즈마 전력들은 20 W 내지 1200 W의 범위이다.
예시적인 바이어스 전압들은 0 V로부터 -500 V까지, 0으로부터 -80 V까지, 예를 들어 -50 V의 범위이다. 바이어스 전압은 또한 예를 들어, 0 내지 500 V, 0 내지 80 V, 또는 0 내지 50 V의 크기로 표현될 수도 있다. 증착 단계에서 예시적인 플로우 레이트들은 1 sccm 내지 2000 sccm, 1 내지 300 sccm, 또는 100 sccm의 범위이다. 처리 단계에서 예시적인 플로우 레이트들은 1 내지 2000 sccm, 1 내지 500 sccm, 또는 300 sccm의 범위이다. 예시적인 기판 온도들은 40 ℃ 내지 250 ℃ 또는 60 ℃ 내지 120 ℃ 범위이다. 증착 및 처리 노출 시간은 일부 실시 예들에서 0.5 초 내지 20 초, 또는 멀티-사이클 프로세스를 위한 프로세스 시간의 예와 함께, 3 초 내지 10 초, 또는 4 초 내지 6 초의 범위일 수도 있다. 일부 예들에서, 10 내지 100 사이클들이 수행된다.
결론
전술한 실시 예들이 이해의 명료성을 위해 일부 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 유의해야한다. 따라서, 본 실시 예들은 예시적인 것이고 제한적인 것이 아닌 것으로 간주되어야 하고, 실시 예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (32)

  1. 하부 금속 옥사이드 층의 일부를 노출하는 패터닝된 EUV 레지스트를 포함하는 반도체 기판을 프로세싱 챔버에 제공하는 단계;
    할로겐-함유 플라즈마로 상기 금속 옥사이드 층의 상기 노출된 부분을 처리하는 단계;
    상기 패터닝된 EUV 레지스트의 탄소-함유 피처들 상에 실리콘-함유 전구체를 선택적으로 증착하는 단계; 및
    상기 패터닝된 EUV 레지스트의 상기 탄소-함유 피처들 상의 상기 실리콘-함유 전구체를 실리콘 옥사이드 캡 (silicon oxide cap) 으로 변환하도록 상기 실리콘-함유 전구체를 처리하는 단계 포함하는, 방법.
  2. 제 1 항에 있어서,
    할로겐-함유 플라즈마로 상기 금속 옥사이드 층의 상기 노출된 부분을 처리하는 단계는 0 V 내지 100 V를 포함하는 (between 0V and 100V, inclusive) 전압 바이어스를 사용하여 수행되는, 방법.
  3. 제 1 항에 있어서,
    상기 할로겐-함유 플라즈마는 수소 할라이드를 포함하는, 방법.
  4. 제 3 항에 있어서,
    상기 할로겐-함유 플라즈마는 HBr을 포함하는, 방법.
  5. 제 1 항에 있어서,
    상기 실리콘-함유 전구체는 약 10: 1 보다 큰 비로 상기 할로겐-함유 플라즈마로 처리된 상기 금속 옥사이드 층과 비교하여 상기 탄소-함유 피처들에 대해 선택적인, 방법.
  6. 제 1 항에 있어서,
    상기 실리콘 옥사이드 캡 및 상기 패터닝된 EUV 레지스트를 마스크로서 사용하여 상기 하부 금속 옥사이드 층을 에칭하는 단계를 더 포함하는, 방법.
  7. 제 1 항에 있어서,
    상기 실리콘-함유 전구체는 SiH4, Si2H2, 또는 SiCl4 중 하나 이상을 포함하는, 방법.
  8. 제 1 항에 있어서,
    상기 실리콘-함유 전구체를 처리하는 단계는 산소-함유 반응 물질을 사용하는, 방법.
  9. 제 8 항에 있어서,
    상기 산소-함유 반응 물질은 H2O, NO, N2O, CO2, O2, 또는 O3의 그룹으로부터 선택되는, 방법.
  10. 제 1 항에 있어서,
    할로겐-함유 플라즈마로 상기 금속 옥사이드 층을 처리하기 전에,
    탄소-함유 피처들의 표면 상의 스컴 (scum) 을 개질하기 위해 상기 패터닝된 EUV 레지스트를 할로겐-함유 가스에 노출시키는 단계, 및
    상기 개질된 스컴을 제거하기 위해 상기 패터닝된 EUV 레지스트의 상기 표면 상의 상기 개질된 스컴을 불활성 가스의 플라즈마에 노출시키는 단계를 포함하는 ALE (atomic layer etch) 프로세스를 사용하여 상기 패터닝된 EUV 레지스트의 상기 탄소 함유 피처들로부터 바람직하지 않은 탄소 재료 (스컴) 를 제거하는 단계를 더 포함하는, 방법.
  11. 제 10 항에 있어서,
    상기 할로겐-함유 가스는 할로겐 가스 및 할라이드 가스 중 하나 이상을 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 할로겐 가스는 Cl2 또는 Br2인, 방법.
  13. 제 11 항에 있어서,
    상기 할라이드 가스는 CF4 또는 HBr인, 방법.
  14. 제 10 항에 있어서,
    상기 불활성 가스는 헬륨, 네온, 아르곤, 또는 제논을 포함하는, 방법.
  15. 제 10 항에 있어서,
    상기 패터닝된 EUV 레지스트의 상기 표면 상의 상기 개질된 스컴을 플라즈마에 노출시키는 단계는 연속 모드에서 0 V 내지 100 V를 포함하는 전압 바이어스 또는 펄싱 모드에서 100 V 내지 400 V를 포함하는 전압 바이어스에서 수행되는, 방법.
  16. 제 1 항에 있어서,
    실리콘-함유 전구체를 선택적으로 증착한 후, 상기 전구체의 표면 층을 개질하는 단계, 및 ALE에 의해 상기 전구체의 상기 개질된 층을 제거하도록 상기 반도체 기판을 불활성 가스의 플라즈마에 노출시키는 단계를 더 포함하는, 방법.
  17. 제 16 항에 있어서,
    상기 패터닝된 EUV 레지스트의 탄소-함유 피처들 상의 디봇들 (divots) 을 충진하기 위해 상기 선택적인 증착 동작 및 ALE 동작을 반복하는 단계를 더 포함하는, 방법.
  18. 제 10 항에 있어서,
    상기 실리콘 옥사이드 캡 및 상기 패터닝된 EUV 레지스트를 마스크로서 사용하여 상기 금속 옥사이드 층을 에칭하는 단계를 더 포함하는, 방법.
  19. 하부 금속 옥사이드 층의 일부를 노출하는 패터닝된 EUV 레지스트를 포함하는 반도체 기판을 프로세싱 챔버에 제공하는 단계; 및
    상기 반도체 기판을 플라즈마의 존재시 탄화수소, 수소, 및 불활성 가스를 포함하는 가스 혼합물에 노출시킴으로써 상기 패터닝된 EUV 레지스트의 탄소-함유 피처들 상에 비정질 탄소 캡을 선택적으로 증착하는 단계를 포함하는, 방법.
  20. 제 19 항에 있어서,
    상기 탄화수소는 CH4 또는 C2H2인, 방법.
  21. 제 19 항에 있어서,
    상기 불활성 가스는 헬륨, 네온, 아르곤, 또는 제논을 포함하는, 방법.
  22. 제 19 항에 있어서,
    비정질 탄소 캡을 선택적으로 증착하기 전에,
    탄소-함유 피처들의 표면 상의 스컴을 개질하기 위해 할로겐-함유 가스에 상기 패터닝된 EUV 레지스트를 노출시키는 단계, 및
    상기 패터닝된 EUV 레지스트의 상기 표면 상의 상기 개질된 스컴을 불활성 가스의 플라즈마에 노출시키는 단계를 포함하는 ALE 프로세스를 사용하여 상기 패터닝된 EUV 레지스트의 상기 탄소 함유 피처들로부터 바람직하지 않은 탄소 재료 (스컴) 를 제거하는 단계를 더 포함하는, 방법.
  23. 제 22 항에 있어서,
    상기 할로겐-함유 가스는 할로겐 가스 및 할라이드 가스 중 하나 이상을 포함하는, 방법.
  24. 제 23 항에 있어서,
    상기 할로겐 가스는 Cl2, 또는 Br2인, 방법.
  25. 제 23 항에 있어서,
    상기 할라이드 가스는 CF4 또는 HBr인, 방법.
  26. 제 22 항에 있어서,
    상기 불활성 가스는 헬륨, 네온, 아르곤, 또는 제논을 포함하는, 방법.
  27. 제 22 항에 있어서,
    상기 패터닝된 EUV 레지스트의 상기 표면 상의 상기 개질된 스컴을 플라즈마에 노출시키는 단계는 연속 모드에서 0 V 내지 100 V를 포함하는 전압 바이어스 또는 펄싱 모드에서 100 V 내지 400 V를 포함하는 전압 바이어스에서 수행되는, 방법.
  28. 제 19 항에 있어서,
    상기 패터닝된 EUV 레지스트의 상기 탄소-함유 피처들 상에 상기 증착된 비정질 탄소 캡을 에칭하는 단계를 더 포함하는, 방법.
  29. 제 28 항에 있어서,
    상기 증착된 비정질 탄소 캡을 에칭하는 단계는,
    상기 비정질 탄소를 개질하도록 산소-함유 반응 물질에 상기 비정질 탄소 캡을 노출시키는 단계; 및
    상기 개질된 비정질 탄소를 불활성 가스의 플라즈마에 노출시키는 단계를 포함하는, 방법.
  30. 제 29 항에 있어서,
    상기 산소-함유 반응 물질은 O2, O3, H2O, N2O, NO, 또는 CO2인, 방법.
  31. 제 28 항에 있어서,
    상기 패터닝된 EUV 레지스트의 탄소-함유 피처들 상의 디봇들을 충진하기 위해 상기 선택적인 증착 및 상기 증착된 비정질 탄소 캡을 에칭을 반복하는 단계를 더 포함하는, 방법.
  32. 제 19 항에 있어서,
    마스크로서 상기 비정질 탄소 캡 및 상기 패터닝된 EUV 레지스트를 사용하여 상기 하부 금속 옥사이드 층을 에칭하는 단계를 더 포함하는, 방법.
KR1020217039129A 2019-04-30 2020-04-14 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스 KR20210149893A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962840687P 2019-04-30 2019-04-30
US62/840,687 2019-04-30
PCT/US2020/028151 WO2020223011A1 (en) 2019-04-30 2020-04-14 Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement

Publications (1)

Publication Number Publication Date
KR20210149893A true KR20210149893A (ko) 2021-12-09

Family

ID=73028670

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217039129A KR20210149893A (ko) 2019-04-30 2020-04-14 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스

Country Status (5)

Country Link
US (1) US20220216050A1 (ko)
KR (1) KR20210149893A (ko)
CN (1) CN113785381A (ko)
TW (1) TW202111812A (ko)
WO (1) WO2020223011A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
WO2021236359A1 (en) * 2020-05-19 2021-11-25 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching
US11915933B2 (en) * 2020-09-18 2024-02-27 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
US20220199418A1 (en) * 2020-12-17 2022-06-23 Tokyo Electron Limited Selective Etching with Fluorine, Oxygen and Noble Gas Containing Plasmas
US11721541B2 (en) * 2021-03-03 2023-08-08 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement formation
US11756790B2 (en) * 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
US20230108117A1 (en) * 2021-10-06 2023-04-06 Tokyo Electron Limited Method for Etching of Metal
US20230110474A1 (en) * 2021-10-13 2023-04-13 Applied Materials, Inc. Selective silicon deposition

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7771895B2 (en) * 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
WO2013078211A1 (en) * 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
TWI661072B (zh) * 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US11264206B2 (en) * 2014-03-10 2022-03-01 D2S, Inc. Methods and systems for forming a pattern on a surface using multi-beam charged particle beam lithography
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
JP7109785B2 (ja) * 2016-01-14 2022-08-01 ロズウェル バイオテクノロジーズ,インコーポレイテッド 分子センサーおよび関連方法
US10283566B2 (en) * 2017-06-01 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device with through-stack contact via structures and method of making thereof

Also Published As

Publication number Publication date
US20220216050A1 (en) 2022-07-07
TW202111812A (zh) 2021-03-16
WO2020223011A1 (en) 2020-11-05
CN113785381A (zh) 2021-12-10

Similar Documents

Publication Publication Date Title
JP7199381B2 (ja) リソグラフィにおける確率的な歩留まりへの影響の排除
US20220216050A1 (en) Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
US11170997B2 (en) Atomic layer deposition and etch for reducing roughness
KR102655848B1 (ko) 저 거칠기 euv 리소그래피
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
KR20170074777A (ko) 패터닝된 구조체들 상의 지향성 증착
KR20170093080A (ko) 3D 구조체들의 원자층 에칭: 수평 표면과 수직 표면 상의 Si 및 SiGe 및 Ge 평활도
JP2015111668A (ja) 先進のパターニングのためのソフトランディング・ナノラミネート
KR20160075358A (ko) 선택적인 질화물 에칭
US10446394B2 (en) Spacer profile control using atomic layer deposition in a multiple patterning process
JP2023540034A (ja) 誘電体に対する選択性を有した半導体、金属、または金属酸化物の原子層エッチング
KR20240056603A (ko) 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상