JP2015111668A - 先進のパターニングのためのソフトランディング・ナノラミネート - Google Patents

先進のパターニングのためのソフトランディング・ナノラミネート Download PDF

Info

Publication number
JP2015111668A
JP2015111668A JP2014226067A JP2014226067A JP2015111668A JP 2015111668 A JP2015111668 A JP 2015111668A JP 2014226067 A JP2014226067 A JP 2014226067A JP 2014226067 A JP2014226067 A JP 2014226067A JP 2015111668 A JP2015111668 A JP 2015111668A
Authority
JP
Japan
Prior art keywords
layer
substrate
nanolaminate
oxidant
grown
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014226067A
Other languages
English (en)
Other versions
JP2015111668A5 (ja
Inventor
フランク・エル.パスクァーレ
L Pasquale Frank
シャンカー・スワミナタン
Swaminathan Shankar
エイドリアン・ラボイエ
Lavoie Adrien
ネイダー・シャンマ
Shamma Nader
ギリッシュ・ディクシット
Dixit Girish
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/074,617 external-priority patent/US9287113B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2015111668A publication Critical patent/JP2015111668A/ja
Publication of JP2015111668A5 publication Critical patent/JP2015111668A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

【課題】マルチプルパターニング集積方式において使用する高品質共形膜の成長を可能にする、半導体基板を処理する方法を提供する。
【解決手段】プラズマをベースにした原子層成長技術を使用して、低い高周波数無線周波(HFRF)プラズマ電力によって薄い酸化シリコン又は酸化チタンの膜を成長させることと、それに続いて、高いHFRFプラズマ電力によって共形酸化チタン膜又はスペーサを成長させることとを伴う。
【選択図】図19

Description

先進の集積回路の製造は、多くの場合、半導体の大量生産において1Xnmハーフピッチの特徴をパターニングすることを伴う。マルチプルパターニング技術は、193nm液浸リソグラフィなどのリソグラフィ技術に基づいて特徴サイズを拡大縮小することを可能にしえる。自己整合型ダブルパターニングは、マルチプルパターニング技術の一例である。マルチプルパターニング技術の次数を11nmハーフピッチ及びそれ未満に拡張することが、難題である。
本明細書で提供されるのは、マルチプルパターニング集積方式において使用するための高品質共形膜の成長を可能にする、半導体基板を処理する方法である。
一態様は、半導体基板を処理する方法であって、基板の上にナノラミネート層を成長させることと、該ナノラミネート層の上に酸化チタン層を成長させることとによって半導体基板を処理する方法を伴う。ナノラミネート層は、約15Åから約200Åの厚さを有してよく、酸化チタン層の密度よりも低い密度を有してよい。様々な実施形態では、方法は、アモルファス炭素層を成長させることも含む。一部の実施形態では、アモルファス炭素層は、パターニングされる。様々な実施形態では、ナノラミネート層は、2枚以上の副層を含むスタックを含む。一部の実施形態では、これらの2枚以上の副層は、酸化シリコン、又は酸化チタン、又はそれらの組み合わせを含む。特定の実施形態では、スタックが含む副層は、2枚のみである。一部の実施形態では、ナノラミネート層は、酸化シリコンの第1の副層と、酸化チタンの第2の副層とを含む。
様々な実施形態では、ナノラミネート層は、酸化シリコン又は酸化チタンである。特定の実施形態では、ナノラミネート層は、プラズマ強化原子層成長(PEALD)などのプラズマベースのプロセスを使用して、基板をチタン含有前駆体又はシリコン含有前駆体に暴露することと、基板を酸化体に暴露することと、基板が酸化体に暴露されている間にプラズマを起こさせることとによって成長される。特定の実施形態では、ナノラミネート層は、約50℃から約150℃の温度で成長され、プラズマは、基板面積1平方ミリメートルあたりにして約1.768×10-4W/mm2から約1.768×10-3W/mm2の高周波数無線周波(HFRF)電力で起こされる。特定の実施形態では、ナノラミネート層は、約100℃未満の温度で成長される。本明細書で開示される方法に使用されえるチタン含有前駆体の一例は、テトラキス(ジメチルアミノ)チタン(TDMAT)である。シリコン含有前駆体の一例は、ビス(第三ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS)である。
様々な実施形態では、酸化チタン層は、PEALDによって、基板をチタン含有前駆体に暴露することと、基板を酸化体に暴露することと、基板が酸化体に暴露されている間に、基板面積1平方ミリメートルあたりにして少なくとも約1.768×10-3W/mm2のHFRF電力でプラズマを起こさせることとによって成長される。酸化体の例としては、亜酸化窒素、酸素、二酸化炭素、又はそれらの組み合わせが挙げられる。酸化チタン層は、一部の実施形態では、約50℃から約400℃の温度で成長されてよい。
別の一態様は、半導体基板を処理する方法であって、コア層を成長させることと、コア層の上にナノラミネート層を成長させることと、ナノラミネート層の上に金属窒化物層又は金属酸化物層を成長させることとによって半導体基板を処理する方法を伴う。一部の実施形態では、コア層は、パターニングされる。特定の実施形態では、アモルファス炭素又はフォトレジストであってよい。様々な実施形態では、ナノラミネート層は、酸化シリコン又は酸化チタンであってよい。一部の実施形態では、成長されるナノラミネート層の厚さは、約15Åから約200Åである。
特定の実施形態では、ナノラミネート層は、PEALDを使用して、基板をチタン含有前駆体又はシリコン含有前駆体に暴露することと、基板を酸化体に暴露することと、基板が酸化体に暴露されている間にプラズマを起こさせることとによって成長される。様々な実施形態では、ナノラミネート層は、約50℃から約150℃の温度で成長され、プラズマは、基板面積1平方ミリメートルあたりにして約1.768×10-4W/mm2から約1.768×10-3W/mm2のHFRF電力で起こされる。一部の実施形態では、ナノラミネート層は、約100℃未満の温度で成長される。
様々な実施形態では、金属窒化物層又は金属酸化物層は、酸化チタン又は酸化シリコンを含む。金属窒化物層又は金属酸化物層は、コアに対してエッチング選択性を有してよい。特定の実施形態では、金属窒化物層又は金属酸化物層は、PEALDを使用して、基板を金属含有前駆体に暴露することと、基板を酸化体に暴露することと、基板が酸化体に暴露されている間に、基板面積1平方ミリメートルあたりにして少なくとも約1.768×10-3W/mm2のHFRF電力でプラズマを起こさせることとによって成長される。酸化体の例としては、亜酸化窒素、酸素、二酸化炭素、又はそれらの組み合わせが挙げられる。一部の実施形態では、金属窒化物層又は金属酸化物層は、約50℃から約400℃の温度で成長される。
別の一態様は、半導体基板を処理する方法であって、(a)該基板を第1のチタン含有前駆体又はシリコン含有前駆体に暴露することと、(b)基板を第1の酸化体に暴露することと、(c)基板が第1の酸化体に暴露されている間に、基板面積1平方ミリメートルあたりにして約1.768×10-4W/mm2から約1.768×10-3W/mm2のHFRF電力で第1のプラズマを起こさせることと、(d)基板を第2のチタン含有前駆体に暴露することと、(e)基板を第2の酸化体に暴露することと、(c)基板が第2の酸化体に暴露されている間に、基板面積1平方ミリメートルあたりにして少なくとも約1.768×10-3W/mm2のHFRF電力で第2のプラズマを起こさせることとによって半導体基板を処理する方法を伴う。
一部の実施形態では、(a)〜(c)は、約50℃から約150℃の温度で処理される。一部の実施形態では、(d)〜(f)は、約50℃から約400℃の温度で処理される。一部の実施形態では、(d)〜(f)は、(c)から(d)への移行が基板温度を少なくとも約50℃、少なくとも約100℃、少なくとも約150℃、又は少なくとも約200℃上昇させることを含むように、(a)〜(c)よりも高い温度で処理される。
第1のチタン含有前駆体及び第2のチタン含有前駆体は、例えばTDMATなどの、同じ前駆体であってよい。一部の実施形態では、第1の酸化体は、第2の酸化体と同じ(例えば、亜酸化窒素、酸素、二酸化炭素、又はそれらの組み合わせ)であってよい。一部の実施形態では、異なる酸化体又は混合物を使用することが有利かもしれない。一部の実施形態では、基板は、アモルファス炭素を含む。一部の実施形態では、アモルファス炭素は、パターニングされる。
様々な実施形態では、方法は、また、第2のプラズマを起こさせた後に、アモルファス炭素を露出させるために基板を平坦化することと、マスクを形成するためにアモルファス炭素を選択的にエッチングすることとを含む。
別の一態様は、半導体基板をパターニングする方法であって、コア層の上に共形膜を成長させる前に、パターニングされたコア層の上にナノラミネート保護層を成長させることと、該ナノラミネート保護層の上に共形膜を成長させることと、コアを露出させるために共形膜を平坦化すること、マスクを形成するためにコアを選択的にエッチングすることとによって半導体基板をパターニングする方法を伴う。
特定の実施形態では、コア層は、アモルファス炭素を含む。様々な実施形態では、ナノラミネート保護層は、酸化シリコン又は酸化チタンを含む。特定の実施形態では、ナノラミネート保護層の厚さは、約15Åから約200Åである。多くの実施形態では、ナノラミネート保護層は、PEALDを使用して、基板をチタン含有前駆体又はシリコン含有前駆体に暴露することと、基板を酸化体に暴露することと、基板が酸化体に暴露されている間に第1のプラズマを起こさせることとによって成長される。
一部の実施形態では、ナノラミネート保護層は、約100℃未満の温度で成長される。様々な実施形態では、ナノラミネート保護層は、約50℃から約150℃の温度で成長され、第1のプラズマは、基板面積1平方ミリメートルあたりにして約1.768×10-4W/mm2から約1.768×10-3W/mm2のHFRF電力で起こされる。
一部の実施形態では、酸化チタン層は、PEALDを使用して、基板をチタン含有前駆体に暴露することと、基板を酸化体に暴露することと、基板が酸化体に暴露されている間に、基板面積1平方ミリメートルあたりにして少なくとも約1.768×10-3W/mm2のHFRF電力で第2のプラズマを起こさせることとによって成長される。
別の一態様は、半導体基板を処理するための装置であって、1つ以上のプロセスチャンバと、プロセスチャンバへの1つ以上のガス入口、及び関連の流量制御ハードウェアと、HFRF発生器と、メモリと少なくとも1つのプロセッサとを有するコントローラとを含み、メモリと少なくとも1つのプロセッサとは、互いに通信可能であるように接続され、少なくとも1つのプロセッサは、流量制御ハードウェア及びHRFR発生器に少なくとも可操作式に接続され、メモリは、基板を金属含有前駆体に暴露するためのコンピュータ実行可能命令と、基板を第1の酸化体に暴露するためのコンピュータ実行可能命令と、基板が第1の酸化体に暴露されている間に、約12.5Wから約125WのHFRF電力で第1のプラズマを起こさせるためのコンピュータ実行可能命令と、基板をチタン含有前駆体に暴露するためのコンピュータ実行可能命令と、基板を第2の酸化体に暴露するためのコンピュータ実行可能命令と、基板が第2の酸化体に暴露されている間に、少なくとも約125WのHFRF電力で第2のプラズマを起こさせるためのコンピュータ実行可能命令とを記憶している。
これらの及びその他の態様が、図面を参照にして以下で更に説明される。
開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。
開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。 開示される実施形態にしたがった集積方式を示した概略図である。
開示される実施形態にしたがった方法のプロセスフローチャートである。
開示される実施形態にしたがった方法のプロセスフローチャートである。 開示される実施形態にしたがった方法のプロセスフローチャートである。
開示される実施形態にしたがった方法を実践するための反応チャンバを示した図である。
開示される実施形態にしたがった操作を実施するために使用されえるマルチステーション装置を示した図である。
開示される実施形態にしたがった方法を実施する実験から得られた成長膜の画像である。 開示される実施形態にしたがった方法を実施する実験から得られた成長膜の画像である。 開示される実施形態にしたがった方法を実施する実験から得られた成長膜の画像である。
以下の説明では、提示される実施形態の完全な理解を与えるために、数々の具体的詳細が明記される。開示される実施形態は、しかしながら、これらの詳細の一部又は全部を伴わずとも実施されえる。また、開示される実施形態を不必要に不明瞭にしないために、周知のプロセス操作は省略される。開示される実施形態は、具体的な実施形態との関わりのもとで説明されるが、それらの具体的な実施形態は、開示される実施形態を限定することを意図しないことが理解される。
半導体素子の製造は、集積回路生産プロセスにおいて1枚以上の薄膜を成長させることを伴うことが一般的であり、パターニング工程を含んでいてよい。マルチプルパターニング技術は、より小さい特徴又はより高いアスペクト比を伴う、即ち2Xnmノード又は1Xnmノードまで下がった先進の集積回路を製造するために使用される。「1X」ノードという用語は、10nmから19nmのプロセスノードを意味し、「2X」ノードという用語は、20nmから29nmのプロセスノードを意味する。マルチプルパターニングの一例は、自己整合型ダブルパターニングであり、これは、従来のリソグラフィによって形成されるパターンの二倍の数の特徴を作成する技術である。素子サイズの縮小に伴って、クワドルプルパターニング、即ち「クワッドパターニング」などの更に先進のマルチプルパターニング技術を使用して、更に狭いハーフピッチ特徴が得られるだろう。
クワッドパターニング方式の一例が、図1から図11に提供されている。図1は、半導体処理に適したウエハの上などにある多積層スタックに含まれえる様々な層の一例を示した概略図を提供している。図1における多積層スタックは、第1のコア(第1のマンドレルとも呼ばれる)103の形に形成された第1のコア層を含み、これは、先行するプロセスにおいてリソグラフィによってハードマスク104の上に画定されえるものである。ハードマスク104は、第2のコア層105の上にあってよく、該コア層自体は、層107の上に成長される。層107は、ハードマスク層107aと、キャップ層107bと、マスク層107cとを含んでいてよく、マスク層107cは、後続のターゲット層150をパターニングするために使用されてよい。マスク層107cとターゲット層150との間には、バリア層、キャップ層、又はエッチング停止層があってよい。当業者ならば、上述されたいずれの層間にも1枚以上の層が成長されてよいこと、及びターゲット層150が1枚以上の追加の層を含んでいてよいことがわかるだろう。
第1のコア層(及びゆえに第1のコア103)は、例えばシリコン、及び/又はシリコンベースの酸化物若しくは窒化物などの、スタック内のその他の材料に対して高エッチング選択性であってよく、また、透光性であってよい。第1のコア層は、フォトレジストであってよい、又はアモルファス炭素材料若しくはアモルファスシリコン材料で作成されてよい。一部の実施形態では、第1のコア層は、プラズマ強化化学気相成長(PECVD)などの成膜技術によってハードマスク104の上に成長されてよく、該成膜技術は、炭化水素前駆体を含む成膜ガスから成膜チャンバのなかでプラズマを発生させることを伴ってよい。炭化水素前駆体は、xを2から10の整数、yを2から24の整数としたときに、化学式:Cxyによって定義されてよい。例として、メタン(CH4)、アセチレン(C22)、エチレン(C24)、プロピレン(C36)、ブタン(C410)、シクロヘキサン(C612)、ベンゼン(C66)、及びトルエン(C78)が挙げられる。高周波(HF)電力及び低周波(LF)電力を含む二重無線周波数(RF)のプラズマソースが使用されてよい。或いは、単一RFのプラズマソースが使用されてよい。このようなソースは、通常は、HFRFソースである。
第1のコア103の形に形成された第1のコア層の下は、第2のコア層105である。第1のコア層と第2のコア層105との間は、ハードマスク104であってよい。ハードマスク104は、第1のコア層がパターニングされている間に第2のコア層105をエッチングすることがないように、第1のコア層及び第2のコア層105に対して高いエッチング選択性を有してよい。第2のコア層105は、アモルファス炭素材料又はアモルファスシリコン材料で作成されてよい。成膜の方法及び成膜用の前駆体は、第1のコア層に関して上述されたうちの任意であってよい。パターニングされると、第2のコア層105は、第2のコア(第2のマンドレルとも呼ばれる)105’(図5に示される)の形に形成され、マスク層107cなどの後続の層にマスクをエッチングするために使用されてよく、マスク層107cは、次いで、ターゲット層150をパターニングするために使用されてよい。特定の実施形態では、マスク層107cは、アモルファス炭素材料又はアモルファスシリコン材料で作成されてよい。図1から図11に示されたようなクワドルプルパターニング方式では、マスク層107cは、フォトレジスト内の1つの特徴がパターニング及び転写を経てターゲット層150内に4つの特徴を形成するように、フォトレジストパターンの4分の1パターンであってよい。
第2のコア層105とターゲット層150との間は、ハードマスク層107a、又はキャップ層107b、又はターゲット層150をパターニングするために使用されるマスク層107cなどの、その他の層であってよい。ターゲット層150は、最終的にパターニングされる層であってよい。ターゲット層150は、半導体、誘電体、又はその他の層であってよく、例えば、シリコン(Si)、酸化シリコン(SiO2)、窒化シリコン(SiN)、又は窒化チタン(TiN)で作成されてよい。ターゲット層150は、原子層成長(ALD)、プラズマ強化ALD(PEALD)、化学気相成長(CVD)、又はその他の適切な成膜技術によって成長されてよい。
一例では、図1に示されるようなクワッドパターニングスタックの組成及び厚さは、400Åの第1のアモルファス炭素コア103、150Åのオルトケイ酸テトラエチル(TEOS)ハードマスク層104、350Åの第2のアモルファス炭素コア層105、150ÅのTEOSハードマスク層107a、100Åのアモルファスシリコンキャップ層107b、300Åのアモルファス炭素マスク層107c、200Åの窒化チタンバリア層108a、及び300ÅのTEOSハードマスク層108bが、全て、シリコンターゲット層又は基板150の上にあるものであってよい。一例では、リソグラフィによるパターニングの前に、図1の例に示されたような第1のコア層を画定するために、100Åから150ÅのSiONエッチング停止層、300Åの底部反射防止被覆(BARC)層、及び1000Åの第1のフォトレジストコア層が、400Åの第1のアモルファス炭素コア層の上に成長されてよい。
図2では、第1のコア103を覆って共形膜109が成長される。共形膜109は、「スペーサ」と呼ばれてもよく、多積層スタック上のパターンを覆う均等に分布した層を作成するために、パターンの形状に一致するように成長されてよい。以下で更に説明されるように、共形膜109は、第1のコア103の側壁にそれぞれ隣接する複数の個々のスペーサ内に形成されてよい。共形膜109は、コアに対して高いエッチング選択性を有する。例えば、共形膜109は、アモルファス炭素層に対して3:1を超えるエッチング選択性を有してよい。一部の実施形態では、共形膜109は、ハロゲン化物エッチング化学剤中のポリシリコンに対して15:1を超えるエッチング選択性を有する。
共形膜109は、SiO2などの誘電体材料で作成されてよい。共形膜109は、酸化チタン(TiO2)などの酸化物であってもよい、又は窒化シリコン(SiN)であってよい。一部の実施形態では、共形膜109は、パターニングによる更に多くの「貫通」に耐えられるように更に密な材料で作成され、以下で簡単に説明されるようなALD、PEALD、又は共形膜成長(CFD)方法によって成長されてよい。
ALDプロセスは、層ごとに膜をそれぞれ成長させるために、表面介在性の成膜反応を使用する。ALDプロセスの一例では、表面活性部位の集団を含む基板表面が、第1の膜前駆体(P1)の気相分布に暴露される。P1の化学吸着種及びP1の物理吸着分子を含む、P1の分子の一部が、基板表面の上に凝縮相を形成するだろう。リアクタは、次いで、化学吸着種のみを残留させるように気相及び物理吸着P1を除去するために排気される。次いで、第2の前駆体(P2)の分子の一部が基板表面に吸着するように、リアクタにP2が導入される。リアクタは、今度は非結合P2を除去するために、再び排気されてよい。続いて、基板に提供される熱エネルギが、吸着分子P1とP2との間の表面反応を活性化させて膜層を形成する。最後に、リアクタは、反応副生成物をそして場合によっては未反応のP1及びP2を除去するために排気され、ALDサイクルを終了させる。膜の厚みを増すために、追加のALDサイクルが含まれていてもよい。PEALDプロセスの一例では、P1と第2の膜前駆体P2との間の反応を活性化させるために、P2がリアクタに導入される間にプラズマが起こされる。
共形膜109を成長させるために、CFDが使用されてよい。CFDは、膜を形成するための反応前に1種以上の反応物を完全パージすることに依存しないのが一般的である。例えば、プラズマ(又はその他の活性化エネルギ)が打ち出されるときに、気相内に1種以上の反応物が存在していてよい。したがって、代表的なCFDプロセスでは、ALDプロセスで説明されたプロセス工程の1つ以上が短縮又は排除されてよい。更に、一部の実施形態では、プラズマによる成膜反応の活性化は、反応が熱的に活性化される場合よりも成膜温度が低く、これは、統合プロセスの熱収支を低減させる可能性がある。背景として、CFDの簡単な説明が提供される。CFDサイクルの概念は、本明細書における様々な実施形態の議論に関わりがある。ALDプロセスと同様に、通常は、一サイクルが、一度の表面成膜反応を実施するために必要とされる操作の最小集合である。1つのサイクルの結果は、基板表面上に少なくとも1枚の部分膜層が作成されることである。一般に、CFDサイクルは、各反応物を基板表面に供給する及び吸着させるために、並びに次いで吸着されたそれらの反応物を反応させて部分膜層を形成するために必要とされる工程のみを含む。サイクルは、反応物若しくは副生成物の1種以上を排出させる、及び/又は成長された部分膜を処理するなどの、特定の付随工程を含んでいてよい。総じて、サイクルは、固有の順序の一連の操作を一組のみ含む。一例として、サイクルは、(i)反応物Aを供給する/吸着させる操作と、(ii)反応物Bを供給する/吸着させる操作と、(iii)反応チャンバからBを排出させる操作と、(iv)AとBとの表面反応を推進して表面上に部分膜層を形成させるためにプラズマを印加する操作とを含んでいてよい。本明細書で言うPEALDは、CFDプロセスを含む。
以下の条件は、CFDプロセスによって酸化シリコン共形膜109を成長させるのに適した条件の例である。成膜は、約50℃から約400℃の温度、約0.5トールから約10トールの圧力、及び約100Wから約10kWの4ステーションRF電力で発生してよい。RF活性化周波数は、様々な実施形態において、約13.56MHzから約40MHzの間で可変である。酸化シリコン共形膜109の場合は、使用されえるプロセスガスとして、シリコンソースとしての、シリコンアミド(例えば、BTBAS、BDEAS(ビス−ジ−エチルアミノシラン)又はDIPAS(ジ−イソプロピルアミノシラン)と、酸素ソースとしての、酸素、又は亜酸化窒素、又は二酸化炭素とが挙げられ、これらは、例えばアルゴン又は窒素などの不活性キャリアガスによって希釈された状態で、別々に又は併せて使用されてよい。プロセスガス流量は、(液体)シリコン前駆体(例えば、BTBAS、BDEAS、及びDIPAS)の場合は、例えばBTBASの約2.5sccmのように、約1sccmから3sccmであってよく、酸素前駆体(O2、N2O)の場合は、例えばN2Oの5000sccmのように、約5000sccmから約10,000sccmであってよく、キャリアガス(Ar又はN2)の場合は、例えば5000sccmのArのように、約0sccmから約10,000sccmであってよい。
図3では、共形膜109は、第1のコア103を露出させるために、エッチバックされる又は平坦化される。様々な実施形態では、共形膜109は、多工程プロセスを通じて平坦化されてよい。一例では、共形膜109は、約30秒にわたり、先ず約10sccmから約100sccmの塩素(Cl2)を、次いで約10sccmから約100sccmのメタン(CH4)を、次いで約10sccmから約100sccmの窒素(N2)を流すことによってエッチバックされてよい。一部の実施形態では、共形膜109は、約30秒にわたり、約10℃から約20℃の温度及び約2ミリトールから約20ミリトールの圧力でエッチングされてよい。一部の実施形態では、基板は、約40℃から約60℃の温度及び約5ミリトールから約100ミリトールの圧力でエッチングされてよい。多くの実施形態では、コアを露出させて共形膜109からスペーサ109’の構造を画定するために、異方性プラズマエッチングが実施される。
図4では、第1のコアは、剥離又はエッチングされ、基板上に自立スペーサ109’を残らせる。もし、第1のコア層がフォトレジストであるならば、第1のコア103は、酸素(O2)を約40℃から約60℃の温度及び約5ミリトールから約20ミリトールの圧力で約100sccmから約200sccmの流量で流すことによってエッチングされてよい。
もし、第1のコア層がアモルファス炭素材料で作成されるならば、第1のコア103は、アッシング方法を使用して剥離又はエッチングされてよい。アッシング方法は、エネルギイオンの指向性運動よりも、材料除去のための化学反応に依存するだろう。例えば、アッシング操作で使用されるプロセスガスに暴露される表面は、その暴露ゆえに材料除去を受けるので、第1のコア103に使用されるアモルファス炭素材料は、第1のコア103がアッシングされている間にスペーサ109’がエッチングされないように、スペーサ109’に対して高いエッチング選択性を有してよい。また、一部の化学的エッチングプロセスとは対照的に、アッシング操作は、完全に気相の反応生成物を生成するだろう。炭素膜のためのアッシング操作は、例えば、解離した水素(H2)又は酸素(O2)をプロセスガスとして利用してよく、これは、炭素膜と反応して上記のような気相の反応副生成物を形成するだろう。一部の実施形態では、残留しているスペーサ109’は、様々なエッチング条件を使用する後続の処理のために成形されてよい。
図5では、スペーサ109’をマスクとして使用して第2のコア層105が下方へエッチングされ、それによってパターンが転写され、第2のコア105’が形成される。第2のコア層105は、スペーサ109’ではなく第2のコア層105をエッチングするのに適した化学剤を使用して、約50℃から約70℃の温度及び約5ミリトールから約100ミリトールの圧力でエッチングされてよい。第2のコア層105は、したがって、スペーサ109’に対して高エッチング選択性である。第2のコア層105は、アモルファス炭素層又はアモルファスシリコン層であってよい。第2のコア層105の上は、キャップ層104であってよく、これは、シリコン反射防止被覆、又はPECVD誘電体層、又はスピンオンガラスであってよい。
図6では、スペーサ109’及びキャップ層104がエッチング又はそれ以外のやり方で除去され、パターニングされた第2のコア105’を残らせる。一部の実施形態では、スペーサ109’は、約30秒にわたり、約10℃から約20℃の温度及び約2ミリトールから約20ミリトールの圧力で除去されてよい。一部の実施形態では、基板は、約40℃から約60℃の温度及び約5ミリトールから約100ミリトールの圧力でエッチングされてよい。多くの実施形態では、異方性プラズマエッチングが実施される。一例では、スペーサ109’は、約30秒にわたり、先ず約10sccmから約100sccmの塩素(Cl2)を、次いで約10sccmから約100sccmのメタン(CH4)を、次いで約10sccmから約100sccmの窒素(N2)を流すことによってエッチングされる。
図7では、パターニングされた第2のコア105’を覆って第2の共形膜119が成長される。多くの実施形態では、第2の共形膜119は、酸化チタンの層であってよく、PEALD方法によって成長されてよい。
図8では、第2の共形膜119は、第2のコア105’を露出させるためにエッチング又は平坦化される。条件及び方法は、図3に関して上述されたうちの任意であってよい。
図9では、第2のコア105’は、エッチング又は除去され、第2の自立スペーサ119’を残らせる。条件及び方法は、図4に関して上述されたうちの任意であってよい。
図10では、マスクとして第2のスペーサ119’を使用してマスク層107cが下方へエッチングされ、それによって第2のスペーサ119’からパターンが転写され、パターニングされたマスク107c’が形成される。マスク層107cは、第2の共形膜119に対して高エッチング選択性であってよく、マスク層107cの化学剤に応じ、図5に関して上述された任意の方法によってエッチングされてよい。
図11では、第2のスペーサ119’は、エッチング停止層107a及びキャップ層107bとともに除去され、パターニングされたマスク107c’を残らせる。第2のスペーサ119’を除去するための条件及び方法は、図6に関して上述されたうちの任意であってよい。マスク107c’は、次いで、ターゲット層150などの後続の層をパターニングするために使用されてよい。図1から図11に示されたプロセス工程の結果は、リソグラフィによって画定された1つの特徴(図1の第1のコア103など)がそれよりも小さい4つの特徴を基板上にもたらすクワッドパターニング方式である。クワッドパターニング方式は、現行のダブルパターニング方式では実現不可能である小ささの、10nmの又は10nmと20nmとの間のハーフピッチの特徴を形成するために使用されえる。
より小さい特徴を形成する特性ゆえに、第2の共形膜119に使用される材料は、後続のパターニング工程における過酷な条件に曝されたときに頑強性を維持すること及び座屈を防ぐことができるように、より広いコアのスペーサに使用される共形膜よりも高品質であってよい。高品質共形膜材料は、理想に近い定比性と、低い炭素含有量とを有してよく、もし、共形膜が酸化チタンであるならば、該高品質共形膜は、例えば1:2のような、理想に近いTi:Oの定比性を有するだろう。高品質共形膜は、ALDによって成長されてよく、これは、酸化半反応からの完全転換を実施し、それによって理想に近い定比性を形成しえる。したがって、高品質共形膜材料は、低いエッチング速度と、高いエッチング選択性とを有してよく、また、酸化物及び窒化物に対して限りなく選択性でもあってよい。これらの膜は、約150MPaを超えるなどの高い弾性率も有してよく、これは、スペーサとしての共形膜の機械的安定性の向上に寄与し、それによって限界寸法の均一性(CDU)を向上させる。本明細書で開示される高品質共形膜材料は、また、後続の集積工程に耐えられるように高密度であってもよい。
本明細書で提供されるのは、薄い共形ナノラミネート保護層を成長させる方法である。やはり提供されるのは、ナノラミネート層の成長を含む方法においてスペーサとして使用されえる高品質の膜を形成する方法である。ナノラミネート層は、その下にあるコア層を共形膜の成長中に劣化しないように保護しつつ、スペーサの頑強性を向上させることができる。
高品質酸化チタンなどの高品質膜をコア層の上に直接成長させると、スペーサの成長時における過酷な条件ゆえにコアが劣化又は消耗され、それによってその下のパターニングされたコアが傷つけられる恐れがある。例えば、高品質共形膜の成長時において、パターニングされたコア層は、N2O/O2シーケンシャルプラズマから少なくとも約1000Wの高HFRF電力によって生成されるような酸素ラジカルに暴露されるだろう。高い電力ゆえに、多くの酸素ラジカルが形成され、これは、コア層へのラジカル衝撃の増加、及びそれに続くコアパターンの劣化を招く。窒化物は、もし、それがコア層を覆うキャップとして使用されればこのような衝撃に耐えられるだろうが、しかしながら、特定のコア材料に対して選択性ではないゆえに適していない。共形膜が成長された後、基板は、コアを露出させる及び除去するために平坦化されてよいが、しかしながら、コアが消耗又は劣化されているゆえに、結果として得られるスペーサ間の幅が消滅しているかもしれず、これは、半導体基板上に不規則なパターンを生じる。本明細書で開示される方法は、コアの劣化を防ぐために低品質共形膜を使用するのではなく、高品質スペーサ材料を使用することを可能にする。
ナノラミネート保護層は、成長中に使用されるHFRF電力が低いゆえに、共形膜よりも低密度であってよい。このようなナノラミネート保護層は、コアを適切に保護する最小の厚みで成長されてよい。ナノラミネート保護層を最小の厚みにすることによって、後続の集積が促進されるだろう。ナノラミネート保護層は、その下にある層を、それを覆う高品質共形膜が成長されえる程度に保護してよい。それによって、コアの消耗及び劣化が低減され、コアは、高品質共形膜の成長中における過酷な条件に耐えることができる。後続のパターニング工程において、高品質共形膜は、その他の過酷な条件に耐えることができ、尚も、自立構造としてのその強い頑強性を維持することができる。ナノラミネート保護層は、また、コアの幾何学形状の統合性を維持するとともに自立スペーサ構造に対する機械的な支えを提供することによって、スペーサの傾きを防ぐとともに限界寸法の可変性も提供してよく、それによって、半導体処理において更に低いハーフピッチで更に微細な特徴を形成する能力を向上させるだろう。
ナノラミネート保護層を使用する方法の一例は、図1に関して上述されたような、リソグラフィによって画定された、即ちパターニングされた第1のコア層を多積層半導体基板に提供することによって開始されてよい。一部の実施形態では、ナノラミネート保護層は、図13に関する実施形態で後述されるように、共形膜109を成長させる前に第1のコア103の上に成長されてよい。様々な実施形態では、共形膜109は、図2にあるように、第1のコア103を覆って成長されてよい。図3に示されるように、共形膜109が平坦化された後、第1のコア103は、図4に示されるように、残留するスペーサ109’を露出させるためにエッチング又は除去されてよい。次いで、スペーサ109’をマスクとして使用して第2のコア層105が下方へエッチングされてよく、それによってパターンが転写されて、図5に示されるような第2のコア105’が形成されてよい。スペーサ109’は、図12に示されるようなパターニングされた第2のコア105を露出させるために除去されてよい。第2のコア層105は、アモルファス炭素層、又はアモルファスシリコン層であってよい。
図13では、ナノラミネート保護層が、第2のコア105’を覆って共形的に成長されてよい。ナノラミネート層111の厚さの例として、約15Åから約200Åの厚さ、約15Åから約100Åの厚さ、又は約15Åから約50Åの厚さが挙げられる。なお、図13に示されている厚さは、例示を目的として誇張されており、一例にすぎないことに留意せよ。
一部の実施形態では、ナノラミネート層111は、2枚以上の副層(不図示)を有しえるスタックを含んでいてよい。例えば、スタックは、二層であってよい。副層は、一部の実施形態では同じ組成を有し、一部の実施形態では異なる組成を有する。一部の実施形態では、ナノラミネート層111は、単層である。一例では、ナノラミネート層111は、酸化シリコンの単層である。別の一例では、ナノラミネート層111は、酸化チタンの単層である。二層ナノラミネート層111の一例では、上層が酸化シリコンであり、下層が酸化チタンである。二層ナノラミネート層111の別の例では、上層が酸化チタンであり、下層が酸化シリコンである。
ナノラミネート層111は、また、ナノラミネート層111を成長させるために使用されるだろうHFRF電力が低いゆえに、共形膜として成長される材料よりも低密度であってよい。一部の実施形態では、ナノラミネート層111は、「柔らかい」ALD酸化物と呼ばれる酸化シリコン(SiO2)又は酸化チタン(TiO2)などのALD酸化物であってよい。ナノラミネート層111の厚さは、パターニング方式のための処理条件を設定するときに及びエッチングされるパターンを決定するときに、考慮に入れられてよい。様々な実施形態では、ナノラミネート層111は、共形膜成長(CFD)又はPEALDによって成長される。
図14では、第2の共形膜129が、ナノラミネート層111を覆って成長される。特定の実施形態では、第2の共形膜129は、低いウェットエッチング速度と、酸化シリコン(SiO2)などの酸化物及び窒化シリコン(SiN)などの酸化物に対して限りない選択性を持つ高ドライエッチング選択性とを有する酸化チタン層などの、高品質酸化チタン膜である。例えば、第2の共形膜129は、アモルファス炭素層に対して3:1を超えるエッチング選択性を有してよい。一部の実施形態では、第2の共形膜129は、ハロゲン化物エッチング化学剤中のポリシリコン層に対して15:1を超えるエッチング選択性を有する。一例では、約15Åの厚さに成長されたナノラミネート層111が、約110Åの第2の共形膜129の成長から第2のコア105’を保護するのに十分だろう。様々な実施形態では、第2の共形膜129は、CFD又はPEALDによって成長される。一部の実施形態では、第2の共形膜129は、ナノラミネート層111よりも高密度である。
図15では、基板は、ナノラミネート層111側壁を伴う第2のコア105’を露出させる及び第2の側壁スペーサ129’を得るために、平坦化される。平坦化のための条件は、図3に関して上述されたうちの任意であってよい。
図16では、第2のコア105’は、エッチング及び除去される。条件及び方法は、図9に関して上述されたうちの任意であってよい。一部の実施形態では、エッチング工程の特性ゆえに、ナノラミネート層111のごく一部もエッチングされるだろう。一部の実施形態では、ナノラミネート層111は、十分にエッチング選択性であり、第2のコア105’が除去される間にエッチングされることはない。なお、第2のスペーサ129’は、その側壁に薄いナノラミネート層111を伴った状態で、薄いナノラミネート層111の上に座していることに留意せよ。これは、それによって、その安定性を強化するとともに傾きを防ぐことができるだろう。尚も更に、一部の実施形態では、ナノラミネート材料は、第2のコア105’とともに完全に除去されてよい。上記のように、一部の実施形態では、ナノラミネート層111の厚さ、及びそれがどの程度除去されるかは、パターニング方式を計画する際に、所望の寸法に到達するために考慮に入れられるだろう。しかしながら、一部の実施形態では、ナノラミネート層111の厚さは、パターニングされた寸法の許容差内であり、考慮に入れる必要が無いだろう。
図17では、マスクとして第2のスペーサ129’を使用して、マスク層107cが下方へエッチングされる。第2の高品質スペーサ129’の安定性及び頑強性が増しているゆえに、この操作は、更に容易に且つ更に効率良く完成され、微細で安定した高アスペクト比特徴を形成するだろう。
図18では、ナノラミネート層111及び第2のスペーサ129’は、エッチング又は除去され、結果として得られるパターニングされたマスク107c’を露出させる。条件及び方法は、図5に関して上述されたうちの任意であってよい。パターニングされたマスク107c’は、次いで、後続の層をパターニングするために使用されてよく、これは、ターゲット層150をパターニングするために使用することができる。一部の実施形態では、パターニングされたマスク107c’は、ターゲット層150をパターニングするために使用されてよい。
図19は、様々な実施形態にしたがった方法の段階のプロセスフローチャートである。本明細書で説明されるサイクル及び暴露時間は、使用される装置及びプラットフォームに依存してよく、当業者ならば、相応してサイクル及び暴露時間を調整しえる。操作1901では、チタン含有前駆体又はシリコン含有前駆体などの第1の前駆体に、基板が暴露されてよい。一部の実施形態では、基板は、コア層を含む。様々な実施形態では、コア層は、アモルファス炭素、アモルファスシリコン、又はフォトレジストである。一部の実施形態では、コア層は、リソグラフィによって画定又はパターニングされる。特定の一実施形態では、基板は、パターニングされたアモルファス炭素層を含む。
チタン含有前駆体の例としては、トリジメチルアミノチタン(TDMAT)、テトラエトキシチタン、テトラキス−ジメチル−アミドチタン、チタンイソプロポキシド、チタンテトライソプロポキシド、及び四塩化チタンが挙げられる。シリコン含有前駆体は、例えば、シラン、ハロシラン、又はアミノシランであってよい。シランは、水素及び/又は炭素基を含むが、ハロゲンは含まない。シランの例としては、シラン(SiH4)、ジシラン(Si26)、並びにメチルシラン、エチルシラン、イソプロピルシラン、t−ブチルシラン、ジメチルシラン、ジエチルシラン、ジ−t−ブチルシラン、アリルシラン、sec−ブチルシラン、テキシルシラン、イソアミルシラン、t−ブチルジシラン、ジ−t−ブチルジシラン、オルトケイ酸テトラエチル(テトラエトキシシラン、即ちTEOSとしても知られる)などの有機シランが挙げられる。ハロシランは、少なくとも1つのハロゲン基を含み、水素及び/又は炭素基は含んでいても含んでいなくてもよい。ハロシランの例には、イオドシラン、ブロモシラン、クロロシラン、及びフルオロシランがある。ハロシラン、なかでも特にフルオロシランは、シリコン材料をエッチングすることができる反応性のハロゲン化物種を形成しえるが、本明細書で説明される特定の実施形態では、プラズマが打ち出されるときにシリコン含有反応物は存在していない。クロロシランは、具体的には、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t−ブチルクロロシラン、ジ−t−ブチルクロロシラン、クロロイソプロピルシラン、クロロ−sec−ブチルシラン、t−ブチルジメチルクロロシラン、テキシルジメチルクロロシランなどである。アミノシランは、シリコン原子に結合された少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、及び炭素も含んでいてよい。アミノシランの例は、モノ−、ジ−、トリ−、及びテトラ−アミノシラン(それぞれ、H3Si(NH24)、H2Si(NH22)、HSi(NH23)、及びSi(NH24)である)、並びに例えばt−ブチルアミノシラン、メチルアミノシラン、tert−ブチルシランアミン、ビス(第三ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS))、tert−ブチルカルバミン酸シリル、SiH(CH3)−(N(CH)322、SiHCl−(N(CH322、(Si(CH32NH)3などの、置換されたモノ−、ジ−、トリ−、及びテトラ−アミノシランである。アミノシランの更なる例は、トリシリルアミン(N(SiH33)である。
操作1901の後、成膜チャンバは、排出段階において、注入器によるパージ又はポンプによる排気の工程によってパージされてよい。総じて、排出段階は、気相反応物の1種を反応チャンバから除去するものであり、通常は、そのような反応物の供給が完了した後に初めて生じる。要するに、その反応物は、排出段階時には、もう反応チャンバに供給されていない。しかしながら、反応物は、排出段階中も、基板上に吸着されたままである。通常は、排出は、反応物が基板表面上に所望のレベルまで吸着された後に残留しているチャンバ内の気相反応物を除去する働きをする。排出段階は、また、弱く吸着された種(例えば、特定の前駆体配位子又は反応副生成物)も基板表面から除去しえる。ALDでは、排出段階は、2種類の反応物どうしの間の気相相互作用を、又は1種類の反応物と、表面反応のための熱的力、プラズマ力、若しくはその他の推進力との相互作用を、必要に応じて防ぐためのものと見なされる。本明細書で別途明記されない限りは、排出/パージ段階は、総じて、(i)反応チャンバを排気すること、及び/又は(ii)排出対象とされる種を含まないガスを反応チャンバに流すことによって達成されてよい。(ii)の事例では、そのようなガスは、例えば不活性ガスであってよい。
操作1903では、基板は、第2の前駆体又は酸化体に暴露されてよい。一部の実施形態では、酸化体は、亜酸化窒素(N2O)、又は酸素(O2)、又は二酸化炭素(CO2)、又はそれらの混合若しくは組み合わせである。一部の実施形態では、酸化剤は、酸素(O2)と、N2O、CO、CO2、NO、NO2、SO、SO2、Cxyz、及び/又はH2Oなどの弱酸化剤との混合であってよい。その他の実装形態では、酸化反応物は、全て弱酸化剤からなっていてよい。或いは、酸化反応物は、O3を含んでいてよい。一部の実施形態では、酸化反応物は、約0%から約50%がO2であり、約50%から約100%が弱酸化剤である。
一部の事例では、反応物の1種が、(例えば、たとえその他の反応物の供給中及び/又はプラズマへの暴露中であっても)継続的に供給されてよい。例えば、酸化反応物が、継続的に供給されてよい。継続的に流される反応物は、アルゴンなどのキャリアガスと併せて反応チャンバに供給されてよい。一部の事例では、継続的に流れる反応物の、反応チャンバへの供給は、迂回弁/入口弁の切り替えを使用することによって制御される。ガス流量の変更は、迂回されてよい又は並行流にされてよい。一例では、継続的に流れる反応物は、特定の期間にのみ反応チャンバに供給されるように、反応チャンバから定期的に迂回される。継続的に流れるガスは、適切な弁を使用して出口/捨て場に迂回されてよい。例えば、酸化反応物は、継続的に流れていてよいが、反応チャンバへは、定期的にのみ供給される。酸化反応物は、反応チャンバに供給されていないときは、出口や再利用システムなどに迂回されていてよい。
操作1905では、基板が酸化体に暴露されている間に、低HFRF電力によってプラズマが起こされてよい。一部の実施形態では、ウエハの単位面積あたりの低HFRF電力は、約1.768×10-4W/mm2から約1.768×10-3W/mm2であってよく、ここで、mm2は、ウエハの表面積の単位を表している。プラズマ電力は、ウエハ表面積に線形に増減されてよい。例えば、低HFRF電力は、300mmウエハの場合はステーションごとに約12.5Wから約125Wであってよく、450mmウエハの場合は約28Wから約280Wであってよい。成膜温度は、約50℃から約150℃であってよい。操作1905の後、成膜チャンバは、再びパージされてよい。これらの工程は、所望の厚さの膜が成長されるまで繰り返されてよい。
一部の実施形態では、操作1905は、4ステーションツールにおいて約50Wから約500Wで300mm基板を酸化体に暴露している間に、HFRF電力によって約100℃未満などの低い温度で発生してよく、上記電力は、4ステーションツールに印加される合計電力である。
操作1907では、基板は、チタン含有前駆体に暴露されてよい。チタン含有前駆体の例は、操作1901に関して上述されたうちの任意であってよい。一例として、基板は、約2秒にわたってTDMATに暴露されてよく、これは、「ドーズ」として説明されえる。操作1907の後、成膜チャンバは、注入器によるパージ又はポンプによる排気の工程によってパージされてよい。一例として、パージは、約10秒にわたって持続してよい。
操作1909では、基板は、別の前駆体又は酸化体に暴露されてよい。多くの実施形態では、酸化体は、亜酸化窒素(N2O)、又は酸素(O2)、又は二酸化炭素(CO2)、又はそれらの混合である。一例として、操作1909は、約30秒であってよく、最初の25秒間は、酸化体は流されず、キャリアガス又は不活性ガスのみが流され、酸化体は、25秒が経過した後に初めて流されて、次の操作の間も継続される。キャリアガスの例として、アルゴン(Ar)及び窒素(N2)が挙げられる。これらのキャリアガスの流量は、約0sccmから約10,000sccmであってよい。
操作1911では、基板が酸化体に暴露されている間に、高HFRF電力によってプラズマが起こされてよい。多くの実施形態では、HFRF電力は、300mmウエハの場合にステーションごとに約125Wから約1500Wであってよい。一例として、HFRF電力は、ステーションごとに約625Wであってよい。プラズマは、約0.25秒から約3秒にわたって、又は約0.5秒にわたって起こされてよい。操作1907から1911までは、約50℃から約400℃、又は約50℃から約200℃、又は約150℃の温度、及び約3トールから約3.5トールの圧力で生じてよい。操作1911の後、プラズマは、オフにされてよく、チャンバは、開始時の状態までパージされてよい又はポンプ排気されてよい。操作1901、1903、1905、1907、1909、及び1911の後に使用するためのパージガスの例として、アルゴン(Ar)、又は窒素(N2)、又はその他の任意の適切なパージガスが挙げられる。一部の実施形態では、操作1901から1905までで成長される膜の密度は、操作1907から1911までで成長される膜よりも低密度であってよい。
様々な実施形態にしたがうと、操作1901から1905までは、操作1907から1911までよりも、低い温度、及び/又は低いRF電力、及び/又は短いRF時間、及び/又は低い圧力、及び/又は弱い酸化体で実施されてよい。これらの成膜条件は、動作可能な素子を作成しつつも下の基板の損傷を防ぐのに役立つだろう。
図20Aは、様々な実施形態にしたがった、ナノラミネート層を使用する方法のプロセスフローチャートを提供している。操作2001では、図1に関して説明されたような、コア層が成長されてよい。コア層は、アモルファス炭素層、又はアモルファスシリコン層、又はフォトレジストであってよい。一部の実施形態では、コア層は、パターニングされる。操作2003では、コア層の上に、ナノラミネート層が成長される。ナノラミネート層は、CFD方法又はPEALD方法によって成長されてよい。ナノラミネート層は、上記の図19に関係する操作1901から1905までを使用して成長されてよい。一部の実施形態では、ナノラミネート層は、酸化シリコン(SiO2)又は酸化チタン(TiO2)であってよい。成長されたナノラミネート層の厚さは、約15Åから約200Å、又は約15Åから約100Åであってよい。操作2005では、ナノラミネート層の上に、金属窒化物層又は金属酸化物層が成長されてよい。金属窒化物層又は金属酸化物層は、上記の図19に関係する操作1907から1911までを使用して成長されてよい。一部の実施形態では、金属窒化物層又は金属酸化物層は、酸化シリコン層又は酸化チタン層である。一部の実施形態では、金属窒化物層又は金属酸化物層は、高品質酸化チタン層である。様々な実施形態では、金属窒化物層又は金属酸化物層は、コアに対して高いエッチング選択性を有する。多くの実施形態では、金属窒化物層又は金属酸化物層は、ナノラミネート層よりも高密度である。
図20Bは、様々な実施形態にしたがった、ナノラミネート層を使用する方法の一例のプロセスフローチャートである。操作2011では、基板の上に、薄いナノラミネート層が成長される。ナノラミネート層は、図13から図20Aに関して上述されたうちの任意であってよい。ナノラミネート層は、上記の図19に関係する操作1901から1905までを使用して成長されてよい。操作2013では、ナノラミネート層の上に、酸化チタン層が成長されてよい。ナノラミネート層の上に成長される酸化チタン層の一例は、図14に関して上述されている。酸化チタン層は、上記の図19に関係する操作1907から1911までを使用して成長されてよい。
装置
本明細書で提供される成膜技術は、プラズマ強化化学気相成長(PECVD)リアクタ又は共形膜成長(CFD)リアクタのなかで実行に移されてよい。このようなリアクタは、多くの形態をとりえるものであり、また、1枚以上のウエハをそれぞれ収容して様々なウエハ処理操作を実施するように構成されえる1つ以上のチャンバ又は「リアクタ」(複数のステーションを含むこともある)を含む装置の一部であってよい。1つ以上のチャンバは、ウエハを1つ以上の所定の位置に(例えば回転、振動、又はその他の撹拌などの運動をその位置で伴って又は伴わずに)維持してよい。一実装形態では、膜成長を経ているウエハは、そのプロセス中に、1つのリアクタチャンバ内の1つのステーションから別のステーションへ移送されてよい。その他の実装形態では、ウエハは、エッチング操作又はリソグラフィ操作などの異なる操作を実施するために、装置内のチャンバからチャンバへ移送されてよい。膜全体の成長が1つのステーションのなかで全て生じてもよいし、又は1つの成長工程ごとに膜の厚さの一部分が成長されてもよい。プロセス中、各ウエハは、台座、ウエハチャック、及び/又はその他のウエハ保持装置によって適所に保持されてよい。ウエハが加熱される特定の操作では、装置は、加熱板などのヒータを含んでいてよい。カリフォルニア州フリーモントのLam Research Corporationによって生産されているVector(商標)(例えば、C3 Vector)又はSequel(商標)(例えば、C2 Sequel)は、ともに、本明細書で説明される技術を実行に移すために使用されえる適切なリアクタの例である。
図21は、本明細書で説明される方法を実行に移すように構成された様々なリアクタコンポーネントを描いた簡単なブロック図を提供している。図に示されるように、リアクタ2100は、リアクタのその他のコンポーネントを囲うプロセスチャンバ2124を含み、該チャンバは、接地されたヒータブロック2120と連動して働くシャワーヘッド2114を含む容量放電タイプのシステムによって発生するプラズマを内包する働きをする。整合回路網2106及びシャワーヘッド2114には、高周波数(HF)無線周波(RF)発生器2104及び低周波数(LF)RF発生器2102が接続されてよい。整合回路網2106によって供給される電力及び周波数は、プロセスチャンバ2124に供給されるプロセスガスからプラズマを発生させるのに十分であってよい。例えば、整合回路網2106は、50Wから5000WのHFRF電力を提供してよい。一部の例では、整合回路網2106は、100Wから5000WのHFRF電力と、100Wから5000WのLFRF電力との合計エネルギを提供してよい。代表的なプロセスでは、HFRFコンポーネントは、一般に、5MHzから60MHzであってよく、例えば、13.56MHzである。LFコンポーネントがある操作では、LFコンポーネントは、約100kHzから2MHzであってよく、例えば、430kHzである。
リアクタ内では、ウエハ台座2118が基板2116を支えてよい。ウエハ台座2118は、成膜処理反応及び/又はプラズマ処理反応の最中及び合間に基板を保持及び移送するために、チャック、フォーク、又はリフトピン(不図示)を含んでいてよい。チャックは、業界で及び/又は研究のために使用するために入手可能である静電チャック、機械的チャック、又はその他の様々なタイプのチャックであってよい。
入口2112を通じて様々なプロセスガスが導入されてよい。複数のソースガスライン2110が、分岐管2108に接続されている。これらのガスは、予め混合されていてもよいし、混合されていなくてもよい。プロセスの成膜処理段階及びプラズマ処理段階の最中に正しいプロセスガスが供給されることを保証するために、適切な弁メカニズム及び質量流量制御メカニズムが利用されてよい。(1種以上の)化学的前駆体が液体状で供給される事例では、液体流量制御メカニズムが利用されてよい。このような液体は、次いで、成膜チャンバに到達する前に、液体中に供給された化学的前駆体の気化点よりも高い温度に加熱された分岐管を通って移送されている間に気化されて、プロセスガスと混合されてよい。
プロセスガスは、出口2122を通じてチャンバ2124から出てよい。絞り弁又は振り子弁などの閉ループ制御式流量制限機器を使用して、プロセスガスをプロセスチャンバ2124から抜き出すために及びプロセスチャンバ2124内を適度に低い圧力に維持するために、例えば、1つ又は2つの機械的乾燥ポンプ及び/又はターボ分子ポンプ2140などの、真空ポンプが使用されてよい。
上記のように、本明細書で議論される成膜のための技術は、複数ステーションの又は単独ステーションのツールにおいて実行に移されてよい。具体的な実装形態では、4ステーション成膜方式を有する300mm Lam Vector(商標)ツール、又は6ステーション成膜方式を有する200mm Sequel(商標)ツールが使用されてよい。一部の実装形態では、450mmウエハを処理するためのツールが使用されてよい。様々な実装形態では、ウエハは、成膜処理後及び/若しくは成膜後プラズマ処理後ごとにインデックス送りされてよい、又はもしエッチングチャンバ若しくはエッチングステーションも同じツールの一部であるならばエッチング工程後にインデックス送りされてよい、又はウエハをインデックス送りする前に1つのステーションのなかで複数の成膜及び処理が行われてよい。
一部の実施形態では、本明細書で説明される技術を実施するように構成された装置が提供されてよい。適切な装置は、様々なプロセス操作を実施するためのハードウェアと、開示された実施形態にしたがったプロセス操作を制御するための命令を有するシステムコントローラ2130とを含んでいてよい。システムコントローラ2130は、一般に、1つ以上のメモリ装置と、1つ以上のプロセッサとを含み、該1つ以上のプロセッサは、例えば弁、RF発生器、ウエハ取り扱いシステムなどの様々なプロセス制御機器に可通信式に接続されており、例えば図19の成膜工程で提供される技術などの、開示される実施形態にしたがった技術を装置が実施するように命令を実行するように構成される。本開示にしたがったプロセス操作を制御するための命令を含むマシン読み取り可能媒体が、システムコントローラ2130に接続されてよい。コントローラ2130は、本明細書で説明されるような成膜操作に関係付けられた様々なプロセスパラメータの制御を促すために、例えば質量流量コントローラ、弁、RF発生器、真空ポンプなどに可通信式に接続されてよい。
一部の実施形態では、システムコントローラ2130は、リアクタ2100の動作の全てを制御してよい。システムコントローラ2130は、大容量記憶装置に記憶され、メモリ装置に取り込まれ、プロセッサ上で実行されるシステム制御ソフトウェアを実行してよい。システム制御ソフトウェアは、ガスの流れ、ウエハの動き、RF発生器の起動などのタイミングを制御するための命令、並びにリアクタ装置2100によって実施される特定のプロセスの、ガスの混合、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、ウエハ温度、目標電力レベル、RF電力レベル、基板台座、チャック、及び/又は支持台の位置、及びその他のパラメータを制御するための命令を含んでいてよい。システム制御ソフトウェアは、任意の適切な形で構成されてよい。例えば、様々なプロセスツールプロセスを実行に移すために必要とされるプロセスツールコンポーネントの操作を制御するために、様々なプロセスツールコンポーネントサブルーチン又は制御オブジェクトが記述されてよい。システム制御ソフトウェアは、任意の適切なコンピュータ読み取り可能プログラミング言語でコード化されてよい。
システムコントローラ2130は、一般に、1つ以上のメモリ装置と、本開示にしたがった技術を装置が実施するように命令を実行するように構成された1つ以上のプロセッサとを含んでいてよい。開示された実施形態にしたがったプロセス操作を制御するための命令を含むマシン読み取り可能媒体が、システムコントローラ2130に接続されてよい。
本明細書で説明される方法及び装置は、半導体素子、ディスプレイ、LED、光起電性パネルなどの製造又は生産のために、後述のようなリソグラフィパターニングのツール又はプロセスと併せて使用されてよい。このようなツール/プロセスは、必ずしもそうとは限らないが、一般に、共通の製造設備において併せて使用又は実施される。膜のリソグラフィパターニングは、一般に、(1)スピンオンツール又は噴き付けツールを使用して、開示される実施形態で提供されるような被加工物、即ち基板又は多積層スタックの上にフォトレジストを塗布する工程、(2)加熱板又は加熱炉又はUV硬化ツールを使用して、フォトレジストを硬化させる工程、(3)ウエハステッパなどのツールによって、可視光又は紫外線又はX線にフォトレジストを暴露させる工程、(4)レジストを選択的に除去してパターニングするために、ウェットベンチなどのツールを使用して、レジストを現像する工程、(5)後述されるようなドライ式又はプラズマ支援式のエッチングツールを使用することによって、レジストパターンをその下のアモルファス炭素層などの膜又は被加工物に転写する工程、並びに(6)RF又はマイクロ波プラズマレジストストリッパなどのツールを使用して、レジストを除去する工程の、一部又は全部を含み、各工程は、考えられる幾つかのツールによってそれぞれ実施される。一実装形態では、本明細書で説明されるような技術を使用して、ウエハ上の1つ以上のギャップ特徴が炭素膜で満たされる。炭素膜は、次いで、例えば本明細書で説明される目的の1つのために使用されてよい。更に、該実装形態は、上述された工程(1)から(6)の1つ以上を含んでいてよい。
マルチステーション処理ツールには、1つ以上のプロセスステーションが含まれてよい。図22は、そのいずれか一方又は両方が遠隔プラズマソースを含んでいてよい入室ロードロック2202及び退室ロードロック2204を伴うマルチステーション処理ツール2200の一実施形態の概略図を示している。大気圧にあるロボット2206は、ポッド2208を通じて取り込まれたカセットから、大気ポート2210を通じて入室ロードロック2202内へウエハを移動させるように構成される。ロボット2206によって入室ロードロック2202内の台座2212の上にウエハが載せられ、大気ポート2210が閉じられ、ロードロックがポンプ排気される。入室ロードロック2202が遠隔プラズマソースを含む場合は、ウエハは、処理チャンバ2214に導入される前に、ロードロック内において遠隔プラズマ処理を受ける。更に、ウエハは、例えば湿気及び吸着ガスを除去するために、入室ロードロック2202内において加熱もされてよい。次に、処理チャンバ2214へのチャンバ搬送ポート2216が開かれ、別のロボット(不図示)が処理のためにウエハをリアクタ内に示された第1のステーションの台座の上に載せる。図に描かれている実施形態は、ロードロックを含むが、一部の実施形態では、ウエハがプロセスステーションに直接入れられてもよいことがわかる。
図に示された処理チャンバ2214は、4つのプロセスステーションを含み、これらのステーションは、図22に示された実施形態において、1から4の数字を振ってある。各ステーションは、加熱された台座(ステーション1の場合は2218で示されている)と、ガスライン入口とを有する。一部の実施形態では、各プロセスステーションが、異なる又は複数の目的を有していてよいことがわかる。例えば、一部の実施形態では、プロセスステーションは、CFDプロセスモードとPECVDプロセスモードとの間で切り替え可能であってよい。加えて又は或いは、一部の実施形態では、処理チャンバ2214は、CFDプロセスステーションとPECVDプロセスステーションとを組み合わせたペアを1つ以上含んでいてよい。図に示された処理チャンバ2214は、4つのステーションを含むが、本開示にしたがった処理チャンバは、任意の数のステーションを有していてよいことが理解される。例えば、一部の実施形態では、処理チャンバは、5つ以上のステーションを有してよく、その一方で、その他の実施形態では、処理チャンバは、3つ以下のステーションを有してよい。
図22は、また、処理チャンバ2214内においてウエハを移送するためのウエハ取り扱いシステム2290の一実施形態も描いている。一部の実施形態では、ウエハ取り扱いシステム2290は、様々なプロセスステーションの間で、及び/又はプロセスステーションとロードロックとの間でウエハを移送してよい。任意の適切なウエハ取り扱いシステムが利用されてよいことがわかる。非限定的な例として、ウエハ回転棚及びウエハ取り扱いロボットが挙げられる。図22は、また、プロセスツール2200のプロセス条件及びハードウェア状態を制御するために利用されるシステムコントローラ2250の一実施形態も示している。システムコントローラ2250は、1つ以上のメモリ装置2256と、1つ以上の大容量記憶装置2254と、1つ以上のプロセッサ2252とを含んでいてよい。プロセッサ2252は、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続、ステッピングモータ制御盤などを含んでいてよい。
一部の実施形態では、システムコントローラ2250は、プロセスツール2200の動作の全てを制御する。システムコントローラ2250は、大容量記憶装置2254に記憶され、メモリ装置2256に取り込まれ、プロセッサ2252上で実行されるシステム制御ソフトウェア2258を実行する。或いは、制御ロジックは、コントローラ2250内にハードコードされてよい。これらの目的のためには、特殊用途向け集積回路や、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、即ちFPGA)などが使用されてよい。以下の議論において、「ソフトウェア」又は「コード」という用語が使用されるところでは、いずれも、機能的に匹敵するハードコードされたロジックが使用されえる。システム制御ソフトウェア2258は、プロセスツール2200によって実施される特定のプロセスの、タイミング、ガスの混合、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、ウエハ温度、目標電力レベル、RF電力レベル、RF暴露時間、基板台座、チャック、及び/又は支持台の位置、並びにその他のパラメータを制御するための命令を含んでいてよい。システム制御ソフトウェア2258は、任意の適切な形で構成されてよい。例えば、様々なプロセスツールプロセスを実行に移すために必要とされるプロセスツールコンポーネントの操作を制御するために、様々なプロセスツールコンポーネントサブルーチン又は制御オブジェクトが記述されてよい。システム制御ソフトウェア2258は、任意の適切なコンピュータ読み取り可能プログラミング言語でコード化されてよい。
一部の実施形態では、システム制御ソフトウェア2258は、上述された様々なパラメータを制御するための入力/出力制御(IOC)順序付け命令を含んでいてよい。例えば、CFDプロセスの各段階は、システムコントローラ2250によって実行されるための1つ以上の命令を含んでいてよい。CFDプロセス段階についてのプロセス条件を設定するための命令は、対応するCFDレシピ段階に含められてよい。一部の実施形態では、CFDレシピ段階は、CFDプロセス段階のための全ての命令がそのプロセス段階と同時進行的に実行されるように順序付けられてよい。
一部の実施形態では、システムコントローラ2250に関係付けられた大容量記憶装置2254及び/又はメモリ装置2256に記憶されたその他のコンピュータソフトウェア及び/又はプログラムが利用されてよい。これを目的としたプログラム又はプログラムセクションの例として、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座2218に搭載するために及び基板とプロセスツール2200のその他のパーツとの間の間隔を制御するために使用されるプロセスツールコンポーネントのためのプログラムコードを含んでいてよい。
プロセスガス制御プログラムは、ガス組成及び流量を制御するための、並びに随意としてプロセスステーション内の圧力を安定化させるために成膜前に1つ以上のプロセスステーションにガスを流し込むための、コードを含んでいてよい。一部の実施形態では、コントローラは、コア層の上にナノラミネート保護層を成長させるための、及び保護層を覆う共形層を成長させるための命令を含む。
圧力制御プログラムは、例えば、プロセスステーションの排気システムにおける絞り弁やプロセスステーションに入るガスの流れなどを調整することによって、プロセスステーション内の圧力を制御するための、コードを含んでいてよい。一部の実施形態では、コントローラは、コア層の上にナノラミネート保護層を成長させるための、及び保護層を覆う共形層を成長させるための命令を含む。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでいてよい。或いは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御してよい。特定の実施形態では、コントローラは、ナノラミネート保護層を第1の温度で、及び保護層を覆う共形層を第1の温度よりも高い第2の温度で成長させるための命令を含む。
プラズマ制御プログラムは、本明細書における実施形態にしたがった1つ以上のプロセスステーションにおけるRF電力レベル及び暴露時間を設定するためのコードを含んでいてよい。一部の実施形態では、コントローラは、ナノラミネート保護層を第1のRF電力レベル及びRF持続時間で成長させるための、並びに保護層を覆う共形層を第2の電力レベル及びRF持続時間で成長させるための、命令を含む。第2のRF電力レベル及び/又は第2のRF持続時間は、第1のRF電力レベル/第1の持続時間よりも高くてよい/長くてよい。
一部の実施形態では、システムコントローラ2250に関係付けられたユーザインターフェースがあってよい。ユーザインターフェースとしては、ディスプレイ画面、装置及び/又はプロセス条件のグラフィックソフトウェア表示、並びに位置指示装置、キーボード、タッチ画面、マイクなどのユーザ入力装置が挙げられる。
一部の実施形態では、システムコントローラ2250によって調整されるパラメータが、プロセス条件に関するものであってよい。非限定的な例として、プロセスガス組成及び流量、温度、圧力、プラズマ条件(RFバイアス電力レベル及び暴露時間)などが挙げられる。これらのパラメータは、ユーザインターフェースを用いて入力されえるレシピの形でユーザに提供されてよい。
プロセスを監視するための信号が、システムコントローラ2250のアナログ及び/又はデジタル入力接続によって、様々なプロセスツールセンサから提供されてよい。プロセスを制御するための信号は、プロセスツール2200のアナログ及びデジタル出力接続に載せて出力されてよい。監視されえるプロセスツールセンサの非限定的な例として、質量流量コントローラ、圧力センサ(圧力計など)、熱電対などが挙げられる。プロセス条件を維持するために、これらのセンサからのデータとともに、適切にプログラムされたフィードバックアルゴリズム及び制御アルゴリズムが使用されてよい。
システムコントローラ2250は、上述された成膜プロセスを実行に移すためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの、多岐にわたるプロセスパラメータを制御してよい。これらの命令は、本明細書で説明される様々な実施形態にしたがって膜スタックのin−situ成長を操作するために、パラメータを制御してよい。
システムコントローラは、一般に、1つ以上のメモリ装置と、本開示にしたがった技術を装置が実施するように命令を実行するように構成された1つ以上のプロセッサとを含んでいてよい。開示される実施形態にしたがったプロセス操作を制御するための命令を含むマシン読み取り可能な非一時的な媒体が、システムコントローラに接続されてよい。
実験
実験1
保護されていないコアの上に成長されたスペーサ膜又は共形膜と、ナノラミネート保護層によって保護されたコアの上に成長されたそれらとを比較するために、実験が行われた。最初の試験では、アモルファス炭素によって作成されたパターニングされたコア層を伴う基板が提供された。プラズマ強化原子層成長(PEALD)方法又は共形膜成長(CFD)方法によって、コア層の上に高品質酸化チタンが直接成長された。チャンバ圧力は約3トールであり、温度は約150℃であった。基板は、第1の前駆体であるTDMATに2秒にわたって暴露され、次いで、10秒にわたってパージされた。基板は、次いで、30秒の暴露のなかで、酸化体N2O/O2の混合に暴露され、この暴露では、最初の25秒は、キャリアガスN2のみを(最大9500sccmまでの流量で)流し、次いで、最後の5秒にN2O/O2流がオンにされ、プラズマが0.5秒で起こされるまでオンのままにされた。プラズマは、ステーションごとに625W、即ち4つのステーションで2500Wの高周波数無線周波(HFRF)電力で起こされた。N2O/O2流れ及びプラズマは、0.5秒のプラズマ暴露後に同時にオフにされ、最後に、チャンバは、チャンバをパージする状態までポンプ排気された。成長された共形層及びその下のコア層の画像が、図23Aに示されている。酸化チタン(TiO2)共形膜層2302aの下に、劣化したコア層2304aが示されている。
第2の試験でも、やはり、アモルファス炭素層で作成されたパターニングされたコア層を伴う基板が提供された。PEALDによって、コア層の上に酸化シリコン(SiO2)ナノラミネート保護層が成長された。成膜チャンバの温度は50℃であり、チャンバの圧力は1.8トールであった。基板は、シリコン含有前駆体に0.2秒にわたって暴露され、次いで、0.2秒にわたってパージされた。基板は、次いで、0.3秒の暴露のなかで、酸化体N2O/O2の混合に暴露された。プラズマは、4つのステーションで1000W、即ちステーションごとに500Wで起こされた。N2O/O2流及びプラズマはオフにされ、チャンバはパージされた。続いて、基板は、2秒にわたってTDMATに暴露され、次いで、10秒にわたってパージされた。パージに続いて、基板は、30秒の暴露のなかで、酸化体N2O/O2に暴露され、この酸化体N2O/O2の混合は、最後の5秒のみ流され、30秒の経過後は、ステーションごとに625W、即ち4つのステーションで2500Wで0.5秒にわたってプラズマが起こされている間、0.5秒にわたって引き続き流された。プラズマ及びN2O/O2流がともにオフにされた後、チャンバは再びパージされた。成長された共形層、ナノラミネート層、及びその下のコア層の画像が、図23Bに示されている。図に示されるように、成長された共形膜2302bは、ナノラミネート層2306の上に成長され、このナノラミネート層は、隣接する共形膜及びコア層から区別不可能であるほどに薄い。なお、図23Aと比較して、コアマンドレル2304bの劣化、即ち消耗が非常に少ないことに留意せよ。
第3の試験でも、やはり、アモルファス炭素層で作成されたパターニングされたコア層を伴う基板が提供された。PEALDによって、コア層の上に酸化チタン(TiO2)ナノラミネート保護層が成長された。成膜チャンバの温度は150℃であり、チャンバの圧力は3.0トールであった。基板は、TDMATに2秒にわたって暴露され、次いで、10秒にわたってパージされた。基板は、次いで、30秒の暴露のなかで、酸化体N2O/O2の混合に暴露され、この混合は、最後の5秒のみ流され、30秒の経過後は、4つのステーションで1000W、即ちステーションごとに500Wでプラズマが起こされている間、0.5秒にわたって引き続き流された。プラズマ及びN2O/O2流がオフにされ、チャンバはパージされた。続いて、基板は、2秒にわたってTDMATに暴露され、続いて、10秒にわたってパージされた。パージに続いて、基板は、30秒の暴露のなかで、酸化体N2O/O2の混合に暴露され、この混合は、最後の5秒のみ流され、30秒の経過後は、ステーションごとに625W、即ち4つのステーションで2500Wで0.5秒にわたってプラズマが起こされている間、0.5秒にわたって引き続き流された。プラズマ及びN2O/O2流がともにオフにされた後、チャンバは再びパージされた。成長された共形層、ナノラミネート層、及びその下のコア層の画像が、図23Cに示されている。図に示されるように、成長された共形膜2302cは、ナノラミネート層2308の上に成長されており、このナノラミネート層は、隣接する共形膜及びコア層から区別不可能であるほどに薄い。なお、コアマンドレル2304cの劣化、即ち消耗が非常に少ないことに留意せよ。
実験2
上記の3回の試験に関して説明されたのと同じ条件を使用して、ただし、特徴を伴わないブランケット基板に対し、別の一連の実験が行われた。各試験では、アモルファス炭素層の厚さが測定され、次いで、スペーサが成長されてその厚さが測定され、最後に、スペーサ成長の測定後に残留するアモルファス炭素層の厚さが測定された。炭素の消耗は、スペーサ成長前における厚さからスペーサ成長後における厚さを減算することによって算出された。この一連の実験の結果は、表1に示されている。
表に示されるように、ナノラミネート層の存在は、炭素の消耗を大幅に軽減した。ナノラミネート層がないと、炭素の消耗が15.4nmであるのに対し、SiO2及びTiO2のいずれのナノラミネート層がある場合も、炭素の消耗は僅か約8nmであった。したがって、アモルファス炭素層を覆うナノラミネート層の成長、なかでも特に、パターニングされたアモルファス炭素層の成長は、スペーサが成長されるときのアモルファス炭素層を保護し、その結果、スペーサの成長において、より高品質の膜が成長されるだろう。
結論
以上の実施形態は、理解を明瞭にする目的である程度まで詳細に説明されているが、添付の特許請求の範囲内であれば、何かしらの変更及び修正がなされてよいことが明らかである。本実施形態のプロセス、システム、及び装置を実行に移すには、多くの代替手法があることが留意されるべきである。したがって、これらの実施形態は、例示的であって、限定的ではないと見なされ、これらの実施形態は、本明細書で与えられる詳細に限定されない。

Claims (29)

  1. 半導体基板を処理する方法であって、
    前記基板の上にナノラミネート層を成長させることと、
    前記ナノラミネート層の上に酸化チタン層を成長させることであって、前記ナノラミネート層は、約15Åから約200Åの厚さと、前記酸化チタン層の密度よりも低い密度を有する、ことと、
    を備える方法。
  2. 請求項1に記載の方法であって、更に、
    アモルファス炭素層を成長させることを備え、
    前記ナノラミネート層は、前記アモルファス炭素層の上に成長される、方法。
  3. 請求項2に記載の方法であって、
    前記アモルファス炭素層は、パターニングされる、方法。
  4. 請求項1〜3のいずれか一項に記載の方法であって、
    前記ナノラミネート層は、2枚以上の副層を含むスタックを含む、方法。
  5. 請求項4に記載の方法であって、
    前記2枚以上の副層は、それぞれ、酸化シリコン、又は酸化チタン、又はそれらの組み合わせを含む、方法。
  6. 請求項4に記載の方法であって、
    前記スタックは、2枚以下の副層を含む、方法。
  7. 請求項6に記載の方法であって、
    前記ナノラミネート層は、酸化シリコンの第1の副層と、酸化チタンの第2の副層とを含む、方法。
  8. 請求項1〜3のいずれか一項に記載の方法であって、
    前記ナノラミネート層は、酸化シリコン又は酸化チタンを含む、方法。
  9. 請求項1〜3のいずれか一項に記載の方法であって、
    前記ナノラミネート層は、プラズマ強化原子層成長(PEALD)を使用して、
    前記基板をチタン含有前駆体又はシリコン含有前駆体に暴露することと、
    前記基板を酸化体に暴露することと、
    前記基板が前記酸化体に暴露されている間にプラズマを起こさせることと、
    によって成長される、方法。
  10. 請求項9に記載の方法であって、
    前記ナノラミネート層は、約50℃から約150℃の温度で成長され、前記プラズマは、基板面積1平方ミリメートルあたりにして約1.768×10-4W/mm2から約1.768×10-3W/mm2の高周波数無線周波(HFRF)電力で起こされる、方法。
  11. 請求項9に記載の方法であって、
    前記ナノラミネート層は、約100℃未満の温度で成長される、方法。
  12. 請求項9に記載の方法であって、
    前記チタン含有前駆体は、TDMATを含む、方法。
  13. 請求項1〜3のいずれか一項に記載の方法であって、
    前記酸化チタン層は、PEALDによって、
    前記基板をチタン含有前駆体に暴露することと、
    前記基板を酸化体に暴露することと、
    前記基板が前記酸化体に暴露されている間に、基板面積1平方ミリメートルあたりにして少なくとも約1.768×10-3W/mm2のHFRF電力でプラズマを起こさせることと、
    によって成長される、方法。
  14. 請求項13に記載の方法であって、
    前記酸化体は、亜酸化窒素、又は酸素、又は二酸化炭素、又はそれらの組み合わせを含む、方法。
  15. 請求項13に記載の方法であって、
    前記チタン含有前駆体は、TDMATを含む、方法。
  16. 請求項13に記載の方法であって、
    前記酸化チタン層は、約3トールから約3.5トールの圧力で成長される、方法。
  17. 請求項13に記載の方法であって、
    前記酸化チタン層は、約50℃から約400℃の温度で成長される、方法。
  18. 半導体基板を処理する方法であって、
    コア層を成長させることと、
    前記コア層の上にナノラミネート層を成長させることと、
    前記ナノラミネート層の上に金属窒化物層又は金属酸化物層を成長させることと、
    を備える方法。
  19. 請求項18に記載の方法であって、
    前記金属窒化物層又は金属酸化物層は、前記コアに対してエッチング選択性を有する、方法。
  20. 半導体基板を処理する方法であって、
    (a)前記基板を第1のチタン含有前駆体又はシリコン含有前駆体に暴露することと、
    (b)前記基板を第1の酸化体に暴露することと、
    (c)前記基板が前記第1の酸化体に暴露されている間に、基板面積1平方ミリメートルあたりにして約1.768×10-4W/mm2から約1.768×10-3W/mm2のHFRF電力で第1のプラズマを起こさせることと、
    (d)前記基板を第2のチタン含有前駆体に暴露することと、
    (e)前記基板を第2の酸化体に暴露することと、
    (f)前記基板が前記第2の酸化体に暴露されている間に、基板面積1平方ミリメートルあたりにして少なくとも約1.768×10-3W/mm2のHFRF電力で第2のプラズマを起こさせることと、
    を備える方法。
  21. 半導体基板をパターニングする方法であって、
    コア層の上に共形膜を成長させる前に、パターニングされたコア層の上にナノラミネート保護層を成長させることと、
    前記ナノラミネート保護層の上に共形膜を成長させることと、
    前記コアを露出させるために前記共形膜を平坦化することと、
    マスクを形成するために前記コアを選択的にエッチングすることと、
    を備える方法。
  22. 請求項21に記載の方法であって、
    前記コア層は、アモルファス炭素を含む、方法。
  23. 請求項21に記載の方法であって、
    前記ナノラミネート保護層は、酸化シリコン又は酸化チタンを含む、方法。
  24. 請求項21〜23のいずれか一項に記載の方法であって、
    前記ナノラミネート保護層の厚さは、約15Åから約200Åである、方法。
  25. 請求項21〜23のいずれか一項に記載の方法であって、
    前記ナノラミネート保護層は、PEALDを使用して、前記基板をチタン含有前駆体又はシリコン含有前駆体に暴露することと、前記基板を酸化体に暴露することと、前記基板が前記酸化体に暴露されている間に第1のプラズマを起こさせることと、によって成長される、方法。
  26. 請求項25に記載の方法であって、
    前記ナノラミネート保護層は、約100℃未満の温度で成長される、方法。
  27. 請求項25に記載の方法であって、
    前記ナノラミネート保護層は、約50℃から約150℃の温度で成長され、前記第1のプラズマは、基板面積1平方ミリメートルあたりにして約1.768×10-4から約1.768×10-3W/mm2のHFRF電力で起こされる、方法。
  28. 請求項25に記載の方法であって、
    前記酸化チタン層は、PEALDを使用して、前記基板をチタン含有前駆体に暴露することと、前記基板を酸化体に暴露することと、前記基板が前記酸化体に暴露されている間に、基板面積1平方ミリメートルあたりにして少なくとも約1.768×10-3W/mm2のHFRF電力で第2のプラズマを起こさせることと、によって成長される、方法。
  29. 半導体基板を処理するための装置であって、
    1つ以上のプロセスチャンバと、
    前記プロセスチャンバへの1つ以上のガス入口、及び関連の流量制御ハードウェアと、
    HFRF発生器と、
    メモリと少なくとも1つのプロセッサとを有するコントローラであって、
    前記メモリと前記少なくとも1つのプロセッサとは、互いに通信可能であるように接続され、
    前記少なくとも1つのプロセッサは、前記流量制御ハードウェア及び前記HRFR発生器に少なくとも可操作式に接続され、
    前記メモリは、
    前記基板を金属含有前駆体に暴露するためのコンピュータ実行可能命令と、
    前記基板を第1の酸化体に暴露するためのコンピュータ実行可能命令と、
    前記基板が前記第1の酸化体に暴露されている間に、約12.5Wから約125WのHFRF電力で第1のプラズマを起こさせるためのコンピュータ実行可能命令と、
    前記基板をチタン含有前駆体に暴露するためのコンピュータ実行可能命令と、
    前記基板を第2の酸化体に暴露するためのコンピュータ実行可能命令と、
    前記基板が前記第2の酸化体に暴露されている間に、少なくとも約125WのHFRF電力で第2のプラズマを起こさせるためのコンピュータ実行可能命令と、
    を記憶している、
    メモリと少なくとも1つのプロセッサとを有するコントローラと、
    を備える装置。
JP2014226067A 2013-11-07 2014-11-06 先進のパターニングのためのソフトランディング・ナノラミネート Pending JP2015111668A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/074,617 2013-11-07
US14/074,617 US9287113B2 (en) 2012-11-08 2013-11-07 Methods for depositing films on sensitive substrates
US14/194,324 2014-02-28
US14/194,324 US9390909B2 (en) 2013-11-07 2014-02-28 Soft landing nanolaminates for advanced patterning

Publications (2)

Publication Number Publication Date
JP2015111668A true JP2015111668A (ja) 2015-06-18
JP2015111668A5 JP2015111668A5 (ja) 2018-01-25

Family

ID=53007347

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014226067A Pending JP2015111668A (ja) 2013-11-07 2014-11-06 先進のパターニングのためのソフトランディング・ナノラミネート

Country Status (5)

Country Link
US (3) US9390909B2 (ja)
JP (1) JP2015111668A (ja)
KR (2) KR102407002B1 (ja)
CN (2) CN104752199A (ja)
TW (2) TWI640469B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018006742A (ja) * 2016-06-28 2018-01-11 ラム リサーチ コーポレーションLam Research Corporation 半導体デバイス製造における酸化スズ被膜スペーサ
JP2018064031A (ja) * 2016-10-13 2018-04-19 東京エレクトロン株式会社 酸化チタン膜の成膜方法およびハードマスクの形成方法
JP2018516463A (ja) * 2015-06-03 2018-06-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
KR20190032223A (ko) 2017-09-19 2019-03-27 도쿄엘렉트론가부시키가이샤 성막 방법
WO2020079901A1 (ja) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 パターニングスペーサ用酸化チタン膜を成膜する方法およびパターン形成方法
JP2021511673A (ja) * 2018-01-30 2021-05-06 ラム リサーチ コーポレーションLam Research Corporation パターニングにおける酸化スズマンドレル
JP2021512504A (ja) * 2018-01-26 2021-05-13 ラム リサーチ コーポレーションLam Research Corporation マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
US11322351B2 (en) 2017-02-17 2022-05-03 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US11551938B2 (en) 2019-06-27 2023-01-10 Lam Research Corporation Alternating etch and passivation process
US11637037B2 (en) 2017-02-13 2023-04-25 Lam Research Corporation Method to create air gaps
US11887846B2 (en) 2018-06-26 2024-01-30 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
EP3101682A1 (en) * 2015-06-03 2016-12-07 IMEC vzw Method for providing a patterned target layer in a semiconductor structure
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170016107A (ko) * 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
US9540729B1 (en) * 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6163524B2 (ja) * 2015-09-30 2017-07-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102449195B1 (ko) 2015-12-18 2022-09-29 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
CN108780735B (zh) * 2016-03-13 2023-04-21 应用材料公司 用于间隔件应用的氮化硅膜的选择性沉积
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
WO2018052476A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102216380B1 (ko) * 2016-12-08 2021-02-17 주식회사 원익아이피에스 반도체 소자의 패터닝 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101900181B1 (ko) * 2017-01-25 2018-09-18 인천대학교 산학협력단 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10622266B2 (en) * 2017-04-04 2020-04-14 Globalfoundries Inc. Methods of identifying space within integrated circuit structure as mandrel space or non-mandrel space
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10340149B2 (en) * 2017-09-05 2019-07-02 Nanya Technology Corporation Method of forming dense hole patterns of semiconductor devices
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10269576B1 (en) * 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
WO2019168535A1 (en) * 2018-03-01 2019-09-06 Lam Research Corporation Silicon-based deposition for semiconductor processing
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10340136B1 (en) * 2018-07-19 2019-07-02 Lam Research Corporation Minimization of carbon loss in ALD SiO2 deposition on hardmask films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10910381B2 (en) * 2018-08-01 2021-02-02 Applied Materials, Inc. Multicolor approach to DRAM STI active cut patterning
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109273378B (zh) * 2018-09-20 2021-11-02 长江存储科技有限责任公司 平衡晶圆弯曲度分布的方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20200111669A1 (en) * 2018-10-04 2020-04-09 Asm Ip Holding B.V. Method for depositing oxide film by peald using nitrogen
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
CN110707004B (zh) * 2018-10-11 2022-02-18 联华电子股份有限公司 半导体装置及其形成方法
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11587782B2 (en) * 2018-11-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US10978307B2 (en) 2019-08-20 2021-04-13 Tokyo Electron Limited Deposition process
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210039194A (ko) * 2019-10-01 2021-04-09 삼성전자주식회사 집적회로 소자의 제조 방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11862602B2 (en) * 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20230041972A (ko) * 2020-07-23 2023-03-27 램 리써치 코포레이션 주석 옥사이드를 사용한 발전된 자가 정렬 멀티플 패터닝
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113517181A (zh) * 2021-04-27 2021-10-19 长江先进存储产业创新中心有限责任公司 一种硬掩膜叠层结构及半导体器件的形成方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010103539A (ja) * 2008-10-23 2010-05-06 Applied Materials Inc 高度な微小寸法コンタクトのための自己整合多重パターン形成
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法
JP2011071279A (ja) * 2009-09-25 2011-04-07 Toshiba Corp 半導体装置の製造方法
JP2012094652A (ja) * 2010-10-26 2012-05-17 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2012142574A (ja) * 2010-12-28 2012-07-26 Asm Japan Kk 金属酸化物のハードマスクの形成方法
US20130032949A1 (en) * 2011-08-03 2013-02-07 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
JP2013153164A (ja) * 2012-01-20 2013-08-08 Novellus Systems Incorporated 無塩素の共形SiN膜を蒸着させるための方法

Family Cites Families (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3378508D1 (en) 1982-09-10 1988-12-22 Nippon Telegraph & Telephone Plasma deposition method and apparatus
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6094757A (ja) 1983-10-20 1985-05-27 Fujitsu Ltd 抵抗体
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
US5194398A (en) 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
TW201848B (ja) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5514908A (en) 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
AU4001395A (en) 1994-10-11 1996-05-06 Gelest, Inc. Conformal titanium-based films and method for their preparation
CN1075243C (zh) 1994-12-28 2001-11-21 松下电器产业株式会社 集成电路用电容元件及其制造方法
US5700519A (en) 1995-01-06 1997-12-23 Sony Corporation Method for producing ultra high purity titanium films
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5994220A (en) 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6054382A (en) 1996-03-28 2000-04-25 Texas Instruments Incorporated Method of improving texture of metal films in semiconductor integrated circuits
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5961791A (en) 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
KR100273989B1 (ko) 1997-11-25 2001-01-15 윤종용 반도체장치의콘택형성방법
KR20010032498A (ko) 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6093966A (en) 1998-03-20 2000-07-25 Motorola, Inc. Semiconductor device with a copper barrier layer and formation thereof
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
KR20010042649A (ko) 1999-02-12 2001-05-25 베리 아이클스 텅스텐 질화물의 화학기상증착
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6337151B1 (en) 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6350688B1 (en) 2000-08-01 2002-02-26 Taiwan Semiconductor Manufacturing Company Via RC improvement for copper damascene and beyond technology
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6541374B1 (en) 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6566246B1 (en) 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP2004039699A (ja) 2002-06-28 2004-02-05 Fujitsu Ltd 半導体装置及びその製造方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US6716753B1 (en) 2002-07-29 2004-04-06 Taiwan Semiconductor Manufacturing Company Method for forming a self-passivated copper interconnect structure
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6841044B1 (en) 2002-08-28 2005-01-11 Novellus Systems, Inc. Chemically-enhanced physical vapor deposition
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
WO2004094695A2 (en) 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US20050048788A1 (en) * 2003-08-26 2005-03-03 Tang Woody K. Sattayapiwat Methods of reducing or removing micromasking residue prior to metal etch using oxide hardmask
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
KR100599434B1 (ko) 2003-10-20 2006-07-14 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP2005244178A (ja) 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4651955B2 (ja) 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
JP4506951B2 (ja) * 2004-04-23 2010-07-21 セイコーエプソン株式会社 Mfs型電界効果トランジスタ、強誘電体メモリならびに半導体装置
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7651568B2 (en) 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070018214A1 (en) * 2005-07-25 2007-01-25 Micron Technology, Inc. Magnesium titanium oxide films
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
JP2009507752A (ja) 2005-09-09 2009-02-26 ユニバーシティ・オブ・ネバダ・リノ 酸素空孔を有するナノチューブ状チタニア基材の製造及び水の光電気分解におけるそれらの使用
WO2007034391A2 (en) 2005-09-23 2007-03-29 Nxp B.V. A method of fabricating a structure for a semiconductor device
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
CN101345191A (zh) * 2007-07-10 2009-01-14 力晶半导体股份有限公司 光致抗蚀剂层的重工方法与图案化工艺
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
WO2009039251A1 (en) 2007-09-18 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
JP2009141227A (ja) * 2007-12-08 2009-06-25 Tokyo Electron Ltd チタン膜の成膜方法及びチタン膜の成膜装置
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2009091311A1 (en) 2008-01-14 2009-07-23 Nanexa Ab A biocompatible filter member for body fluid dialysis and fabrication and use thereof
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090305506A1 (en) * 2008-06-09 2009-12-10 Joerg Linz Self-aligned dual patterning integration scheme
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US20100048025A1 (en) * 2008-08-25 2010-02-25 Seoul National University Industry Foundation Nanostructures and nanostructure fabrication
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
JP2012506947A (ja) 2008-10-27 2012-03-22 アプライド マテリアルズ インコーポレイテッド 三元化合物の気相堆積方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
JP5692085B2 (ja) 2009-11-11 2015-04-01 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
CN102652353B (zh) 2009-12-09 2016-12-07 诺发系统有限公司 新颖间隙填充整合
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
JP4733214B1 (ja) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
CN102934203B (zh) 2010-04-28 2015-09-23 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
KR20200039806A (ko) 2010-11-10 2020-04-16 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8609553B2 (en) 2011-02-07 2013-12-17 Micron Technology, Inc. Methods of forming rutile titanium dioxide and associated methods of forming semiconductor structures
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8298951B1 (en) * 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
WO2012148439A1 (en) 2011-04-25 2012-11-01 William Marsh Rice University Direct growth of graphene films on non-catalyst surfaces
US20130045908A1 (en) * 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN103890910B (zh) 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
KR101901320B1 (ko) * 2012-05-22 2018-09-21 삼성전자주식회사 발광소자 및 그 제조방법
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
JP2017501530A (ja) 2013-10-17 2017-01-12 ナノシス・インク. 発光ダイオード(led)デバイス
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010103539A (ja) * 2008-10-23 2010-05-06 Applied Materials Inc 高度な微小寸法コンタクトのための自己整合多重パターン形成
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法
JP2011071279A (ja) * 2009-09-25 2011-04-07 Toshiba Corp 半導体装置の製造方法
JP2012094652A (ja) * 2010-10-26 2012-05-17 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2012142574A (ja) * 2010-12-28 2012-07-26 Asm Japan Kk 金属酸化物のハードマスクの形成方法
US20130032949A1 (en) * 2011-08-03 2013-02-07 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
JP2013153164A (ja) * 2012-01-20 2013-08-08 Novellus Systems Incorporated 無塩素の共形SiN膜を蒸着させるための方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018516463A (ja) * 2015-06-03 2018-06-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
US11183383B2 (en) 2016-06-28 2021-11-23 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
JP2018006742A (ja) * 2016-06-28 2018-01-11 ラム リサーチ コーポレーションLam Research Corporation 半導体デバイス製造における酸化スズ被膜スペーサ
JP7096537B2 (ja) 2016-06-28 2022-07-06 ラム リサーチ コーポレーション 半導体デバイス製造における酸化スズ被膜スペーサ
US11784047B2 (en) 2016-06-28 2023-10-10 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
JP2018064031A (ja) * 2016-10-13 2018-04-19 東京エレクトロン株式会社 酸化チタン膜の成膜方法およびハードマスクの形成方法
US11637037B2 (en) 2017-02-13 2023-04-25 Lam Research Corporation Method to create air gaps
US11322351B2 (en) 2017-02-17 2022-05-03 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10872764B2 (en) 2017-09-19 2020-12-22 Tokyo Electron Limited Film forming method
KR20190032223A (ko) 2017-09-19 2019-03-27 도쿄엘렉트론가부시키가이샤 성막 방법
JP2021512504A (ja) * 2018-01-26 2021-05-13 ラム リサーチ コーポレーションLam Research Corporation マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
JP7334185B2 (ja) 2018-01-26 2023-08-28 ラム リサーチ コーポレーション マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
JP2021511673A (ja) * 2018-01-30 2021-05-06 ラム リサーチ コーポレーションLam Research Corporation パターニングにおける酸化スズマンドレル
US11355353B2 (en) 2018-01-30 2022-06-07 Lam Research Corporation Tin oxide mandrels in patterning
JP7334166B2 (ja) 2018-01-30 2023-08-28 ラム リサーチ コーポレーション パターニングにおける酸化スズマンドレル
US11887846B2 (en) 2018-06-26 2024-01-30 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
WO2020079901A1 (ja) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 パターニングスペーサ用酸化チタン膜を成膜する方法およびパターン形成方法
US11848212B2 (en) 2019-06-27 2023-12-19 Lam Research Corporation Alternating etch and passivation process
US11551938B2 (en) 2019-06-27 2023-01-10 Lam Research Corporation Alternating etch and passivation process

Also Published As

Publication number Publication date
US10192742B2 (en) 2019-01-29
KR102551503B1 (ko) 2023-07-05
US20180158683A1 (en) 2018-06-07
TWI640469B (zh) 2018-11-11
US20150126042A1 (en) 2015-05-07
TW201840463A (zh) 2018-11-16
KR20150053253A (ko) 2015-05-15
US9905423B2 (en) 2018-02-27
TWI675797B (zh) 2019-11-01
US9390909B2 (en) 2016-07-12
KR20220082792A (ko) 2022-06-17
CN111501013A (zh) 2020-08-07
KR102407002B1 (ko) 2022-06-08
CN104752199A (zh) 2015-07-01
US20160293418A1 (en) 2016-10-06
TW201534556A (zh) 2015-09-16

Similar Documents

Publication Publication Date Title
KR102551503B1 (ko) 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
KR102596765B1 (ko) 캡슐화 방법
US9892917B2 (en) Plasma assisted atomic layer deposition of multi-layer films for patterning applications
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9685320B2 (en) Methods for depositing silicon oxide
CN107799390A (zh) 用于半导体图案化应用的高干法蚀刻速率材料
KR20180053238A (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR20160035991A (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
KR20150037662A (ko) 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
US10446394B2 (en) Spacer profile control using atomic layer deposition in a multiple patterning process
JP2022543953A (ja) 調整された原子層堆積

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20150108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150108

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171205

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181011

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181016

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190415

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20191001