CN111501013A - 用于先进图案化的软着陆纳米层压层 - Google Patents

用于先进图案化的软着陆纳米层压层 Download PDF

Info

Publication number
CN111501013A
CN111501013A CN202010119340.1A CN202010119340A CN111501013A CN 111501013 A CN111501013 A CN 111501013A CN 202010119340 A CN202010119340 A CN 202010119340A CN 111501013 A CN111501013 A CN 111501013A
Authority
CN
China
Prior art keywords
layer
nanolaminate
substrate
semiconductor substrate
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010119340.1A
Other languages
English (en)
Inventor
弗兰克·L·帕斯夸里
尚卡·斯瓦米纳森
阿德里安·拉瓦伊
纳德·沙姆玛
吉里什·迪克西特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/074,617 external-priority patent/US9287113B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN111501013A publication Critical patent/CN111501013A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及用于先进图案化的软着陆纳米层压层,具体提供了用于在芯部层上沉积纳米层压保护层以允许在芯部层上沉积供先进多图案化方案使用的高质量保形膜的方法。在某些实施例中,所述方法涉及使用低的高频射频(HFRF)等离子体功率通过基于等离子体的原子层沉积来沉积薄氧化硅或氧化钛薄膜,随后使用高HFRF等离子体功率沉积保形的氧化钛薄膜或间隔物。

Description

用于先进图案化的软着陆纳米层压层
本申请是申请号为201410625311.7,申请日为2014年11月07日,申请人为诺发系统公司,发明创造名称为“用于先进图案化的软着陆纳米层压层”的发明专利申请的分案申请。
技术领域
本发明涉及加工衬底的方法,尤其涉及用于在芯部层上沉积纳米层压保护层以允许在芯部层上沉积供先进多图案化方案使用的高质量保形膜的方法。
背景技术
先进的集成电路通常涉及在大批量半导体制造中图案化1x nm的半节距特征。多图案化技术可以允许根据例如193nm的浸没式光刻技术的光刻技术缩放特征尺寸。自对准双图案化是多图案化技术的实例。多图案化技术的量级扩展到11nm半节距及以下存在挑战。
发明内容
本文提供了用于加工半导体衬底以允许沉积供多图案化集成方案使用的高质量保形膜的方法。
一方面涉及通过在衬底上沉积纳米层压层并且在纳米层压层上沉积氧化钛层来加工半导体衬底的方法。所述纳米层压层的厚度在约
Figure BDA0002392483690000011
至约
Figure BDA0002392483690000012
之间且密度低于所述氧化钛层的密度。在各种实施例中,所述方法还包括沉积非晶碳层。在一些实施例中,非晶碳层被图案化。在各种实施例中,纳米层压层包括堆层,该堆层包括两个或更多个副层。在一些实施例中,所述两个或更多个副层包括氧化硅、氧化钛或它们的组合。在某些实施例中,所述堆层仅包括两个副层。在一些实施例中,所述纳米层压层包括氧化硅的第一副层和氧化钛的第二副层。
在各种实施例中,所述纳米层压层是氧化硅或氧化钛。在某些实施例中,所述纳米层压层通过使用例如等离子体增强原子层沉积(PEALD)的基于等离子体的方法被沉积:使所述衬底暴露于含钛前体或含硅前体;使所述衬底暴露于氧化剂;并且在所述衬底暴露于氧化剂的同时启动等离子体。在某些实施例中,所述纳米层压层在约50℃至约150℃之间的温度下被沉积并且所述等离子体在每平方毫米衬底面积的高频射频(HFRF)功率在约1.768×10-4W/mm2至约1.768×10-3W/mm2之间被启动。在某些实施例中,所述纳米层压层在小于约100℃的温度被沉积。可结合本文公开的方法使用的含钛前体的实例是三(二甲基氨基)钛(TDMAT)。含钛前体的实例是双(叔丁基胺)硅烷(SiH2(NHC(CH3)3)2(BTBAS)。
在各种实施例中,所述氧化钛层使用PEALD通过以下被沉积:使所述衬底暴露于含钛前体;使所述衬底暴露于氧化剂;并且在所述衬底暴露于所述氧化剂的同时在每平方毫米衬底面积的HFRF功率至少约为1.768×10-3W/mm2启动第二等离子体。氧化物的实例包括一氧化二氮、氧气、二氧化碳或它们的组合。在一些实施例中,所述氧化钛层可以在约50℃至约400℃之间的温度被沉积。
另一方面涉及通过以下步骤处理半导体衬底的方法:在衬底上沉积芯部层;在芯部层上沉积纳米层压层;并且在纳米层压层上沉积金属氮化物或金属氧化物层。在一些实施例中,芯部层被图案化。在某些实施例中,芯部层可以是非晶碳或光致抗蚀剂。在各种实施例中,所述纳米层压层可以是氧化硅或氧化钛。在一些实施例中,沉积的纳米层压层的厚度在约
Figure BDA0002392483690000021
至约
Figure BDA0002392483690000022
之间。
在某些实施例中,所述纳米层压层使用PEALD通过以下被沉积:使所述衬底暴露于含钛前体或含硅前体;使所述衬底暴露于氧化剂;并且在所述衬底暴露于氧化剂的同时启动等离子体。在各种实施例中,所述纳米层压层在约50℃至约150℃之间的温度下被沉积并且所述等离子体在每平方毫米衬底面积的HFRF功率在约1.768×10-4W/mm2至约1.768×10- 3W/mm2之间被启动。在一些实施例中,所述纳米层压层在小于约100℃的温度被沉积。
在各种实施例中,金属氮化物或金属氧化物层包括氧化钛或氧化硅。金属氮化物或金属氧化物层可以对芯部具有蚀刻选择性。在某些实施例中,所述金属氮化物或金属氧化物层使用PEALD通过以下被沉积:使所述衬底暴露于含金属前体;使所述衬底暴露于氧化剂;并且在所述衬底暴露于所述氧化剂的同时在每平方毫米衬底面积的HFRF功率至少约为1.768×10-3W/mm2启动等离子体。氧化物的实例包括一氧化二氮、氧气、二氧化碳或它们的组合。在一些实施例中,所述金属氮化物或金属氧化物层在约50℃至约400℃之间的温度被沉积。
另一方面涉及一种加工半导体衬底的方法,所述方法包括:(a)使所述衬底暴露于第一含钛前体或含硅前体;(b)使所述衬底暴露于第一氧化剂;(c)在所述衬底暴露于所述第一氧化剂的同时在每平方毫米衬底面积的HFRF功率在约1.768×10-4/mm2至约1.768×10-3/mm2之间启动第一等离子体;(d)使所述衬底暴露于第二含钛前体;(e)使所述衬底暴露于第二氧化剂;并且(f)在所述衬底暴露于所述第二氧化剂的同时在每平方毫米衬底面积的HFRF功率至少约为1.768×10-3W/mm2启动第二等离子体。
在一些实施例中,(a)至(c)在约50℃至150℃之间的温度进行处理。在一些实施例中,(d)至(f)在约50℃至400℃之间的温度进行处理。在一些实施例中,(d)至(f)在比(a)至(c)高的温度下进行处理,使得从(c)到(d)的过渡包括使所述衬底的温度升高至少约50℃,至少约100℃,至少约150℃,或者至少约200℃。
第一含钛前体和第二含钛前体可以是同一种前体,例如,TDMAT。在一些实施例中,第一氧化剂可以与第二氧化剂相同(例如,一氧化二氮、氧气、二氧化碳或它们的组合)。在一些实施例中,有利的是使用不同的氧化剂或混合物。在一些实施例中,所述衬底包括非晶碳。在一些实施例中,非晶碳被图案化。
在各种实施例中,所述方法还包括在启动第二等离子体之后,使所述衬底平面化以暴露所述非晶碳,并且选择性地蚀刻所述非晶碳以形成掩模。
另一方面涉及通过以下步骤图案化半导体衬底的方法:在保形膜沉积在芯部层上之前,在图案化的芯部层上沉积纳米层压保护层;在所述纳米层压保护层上沉积保形膜;平面化所述保形膜以暴露所述芯部;并且选择性地蚀刻所述芯部以形成掩模。
在某些实施例中,芯部层包括非晶碳。在各种实施例中,所述纳米层压保护层包括氧化硅或氧化钛。在某些实施例中,所述纳米层压保护层的厚度在约
Figure BDA0002392483690000041
至约
Figure BDA0002392483690000042
之间。在许多实施例中,所述纳米层压保护层使用PEALD通过以下被沉积:使所述衬底暴露于含钛前体或含硅前体;使所述衬底暴露于氧化剂;并且在所述衬底暴露于氧化剂的同时启动第一等离子体。
在一些实施例中,所述纳米层压保护层在小于约100℃的温度被沉积。在各种实施例中,所述纳米层压保护层在约50℃至约150℃之间的温度下被沉积并且所述第一等离子体在每平方毫米衬底面积的高频射频功率在约1.768×10-4W/mm2至约1.768×10-3W/mm2之间被启动。
在一些实施例中,所述氧化钛层使用PEALD通过以下被沉积:使所述衬底暴露于含钛前体;使所述衬底暴露于氧化剂;并且在所述衬底暴露于所述氧化剂的同时启动第二等离子体,此时每平方毫米衬底面积的HFRF功率至少约为1.768×10-3W/mm2
另一方面涉及一种用于加工半导体衬底的设备,所述设备包括:一个或多个处理室;进入所述处理室的一个或多个气体入口和相关的流量控制硬件;HFRF发生器;以及具有至少一个处理器和存储器的控制器,使得所述至少一个处理器和所述存储器彼此通信连接,所述至少一个处理器至少操作性地连接所述流量控制硬件以及HFRF发生器;并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于:使所述衬底暴露于含金属前体;使所述衬底暴露于第一氧化剂;在所述衬底暴露于所述第一氧化剂的同时在HFRF功率为约12.5W至约125W之间启动第一等离子体;使所述衬底暴露于含钛前体;使所述衬底暴露于第二氧化剂;并且在所述衬底暴露于所述第二氧化剂的同时在HFRF功率至少约为125W启动第二等离子体。
以下参照附图进一步描述这些和其他方面。
附图说明
图1至图11是根据公开的实施例的集成方案的示意性描述。
图12至图18是根据公开的实施例的集成方案的示意性描述。
图19是根据公开的实施例的方法的工艺流程图。
图20A和图20B是根据公开的实施例的方法的工艺流程图。
图21是用于实施根据公开的实施例的方法的反应室的图示。
图22是根据公开的实施例的可以用于执行操作的多站设备的图示。
图23A至图23C是在执行根据公开的实施例的方法的实验中获得的沉积膜的图像。
具体实施方式
在以下描述中,阐述了许多具体细节以便提供对提出的实施例的透彻理解。公开的实施例可以在没有一些或所有的这些具体细节的情况下实施。在其他实例中,未详细描述公知的方法操作以便不会不必要地模糊公开的实施例。尽管将会结合具体实施例描述公开的实施例,但是应当理解,这些实施例并不旨在限制公开的实施例。
制造半导体器件通常涉及在集成制造过程中沉积一个或多个薄膜并且可以包括图案化步骤。多图案化技术用于制造先进集成电路,例如,具有更小的特征或更高纵横比或者低至2x或1x nm节点的集成电路。术语“1x”节点的意思是在10nm与19nm之间的处理节点,并且术语“2x”节点的意思是在20nm与29nm之间的处理节点。多图案化的实例是自对准双图案化,这使得常规的光刻法所形成的图案的特征的数量翻倍。随着器件变得更小,通过使用先进的多图案化技术,例如,四重图案化或“四图案化”,可以获得更窄的半节距特征。
图1至图11给出了四图案化方案的实例。图1提供了在多层堆层中(例如,在适于半导体加工的晶圆上)可以包括的各种层的实例的示意性图示。图1中的多层堆层包括形成为硬掩膜104顶部上的第一芯部(也称为第一心轴)的第一芯部层103,该第一芯部可以在前一个处理中通过光刻法限定。硬掩膜104可以在第二芯部层105的顶部上,该第二芯部层本身沉积在层107上。层107可以包括硬掩膜层107a、覆盖层107b和硬掩膜层107c,它们可以用作图案化后续目标层150的掩模。阻挡层、覆盖层或蚀刻停止层可以在掩模层107c与目标层150之间。本领域技术人员应当理解,在上述层的任意两个层之间可以沉积一层或多层,并且目标层150可以包括一个或多个附加层。
第一芯部层(因此第一芯部103)可以相对于堆层中的其他材料具有高蚀刻选择性,例如,硅和/或硅基氧化物或氮化物,例如,并且可以是透明的。第一芯部层可以是光致抗蚀剂或者可以是由非晶碳材料或非晶硅材料制成的。在一些实施例中,第一芯部层可以通过例如等离子体增强化学气相沉积沉积(PECVD)的沉积技术沉积在硬掩膜104的顶部上,并且沉积技术可以涉及在沉积室中从包括碳氢化合物前体的沉积气体产生等离子体。碳氢化合物前体可以用化学式CxHy来限定,其中x是在2至10之间的整数,并且y是在2至24之间的整数。实例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。可以使用包括高频(HF)功率和低频(LF)功率的双射频(RF)等离子体源。可替代地,可以使用单个射频等离子体源。通常,这种等离子体源会是高频射频源。
在形成为第一芯部103的第一芯部层下是第二芯部层105。在第一芯部层与第二芯部层105之间可以是硬掩膜104。硬掩膜104可以具有相对于第一芯部层和第二芯部层105的高蚀刻敏感性从而在图案化第一芯部层的同时不蚀刻第二芯部层105。第二芯部层105可以是由非晶碳材料或非晶硅材料制成的。沉积方法和沉积前体可以是以上参照第一芯部层描述的任何方法和前体。一旦图案化,第二芯部层105形成为第二芯部(也称为第二心轴)105’(如图5所示)并且可以用于蚀刻后续层中的掩模,例如,掩模层107c,然后可以将其用于图案化目标层150。在某些实施例中,掩模层107c可以是由非晶碳材料或非晶硅材料制成的。在四重图案化方案中,例如图1至图11所示的方案,掩模层107c可以是光致抗蚀剂图案的四重图案使得光致抗蚀剂中的一个特征被图案化并且被转移以形成目标层150中的四个特征。
在第二芯部层105与目标层150之间可以是其他层,例如,硬掩膜层107a或覆盖层107b或掩模层107c以用于图案化目标层150。目标层150可以是最终将要图案化的层。目标层150可以是半导体、介电层或其他层并且例如可以是由硅(Si)、二氧化硅(SiO2)、氮化硅(SiN)或氮化钛(TiN)组成的。目标层150可以通过原子层沉积(ALD)、等离子体增强ALD(PEALD)、化学气相沉积(CVD)或其他合适的沉积技术进行沉积。
在一个实例中,例如图1所示的四图案化堆层的成分和厚度可以如下:
Figure BDA0002392483690000071
非晶碳第一芯部103,
Figure BDA0002392483690000072
原硅酸四乙酯(TEOS)硬掩膜层104,
Figure BDA0002392483690000073
非晶碳第二芯部层105,
Figure BDA0002392483690000074
TEOS硬掩膜层107a,
Figure BDA0002392483690000075
非晶硅覆盖层107b,
Figure BDA0002392483690000076
非晶碳掩模层107c,
Figure BDA0002392483690000077
氮化钛阻挡层108a和
Figure BDA0002392483690000078
TEOS硬掩膜层108b,全部都在硅目标层或衬底150上。在一个实例中,在光刻图案化以限定如图1的实例所示的第一芯部层之前可以按顺序在
Figure BDA0002392483690000079
非晶碳第一芯部层的顶部沉积:
Figure BDA00023924836900000710
SiON蚀刻停止层,
Figure BDA00023924836900000711
底部防反射涂层(BARC)层和
Figure BDA00023924836900000712
光致抗蚀剂第一芯部层。
在图2中,保形膜109沉积在第一芯部103上。保形膜109也可以成为“间隔物”并且可以沉积以使多层堆层上的图案的形状保形从而在图案上形成均匀分布的层。如以下进一步所述,保形膜109可以形成为多个单独的间隔物,每个单独的间隔物与第一芯部103的侧壁相邻。保形膜109具有相对于芯部高的蚀刻选择性。例如,保形膜109相对于非晶碳层可以具有大于3:1的蚀刻选择性。在一些实施例中,保形膜109相对于卤化蚀刻化学物质中的多晶硅具有大于15:1的蚀刻选择性。
保形膜109可以是由介电材料,例如SiO2制成的。保形膜109还可以是氧化物,例如,二氧化钛(TiO2)或者可以是氮化硅。在一些实施例中,保形膜109可以是由稠密材料制成的以承受图案化的更多“道次”,并且可以通过以下简述的ALD、PEALD或保形膜沉积(CFD)方法进行沉积。
ALD过程使用表面介导的沉积反应以逐层堆叠沉积薄膜。在ALD工艺的一个实例中,包括一组表面活性位点的衬底表面暴露于第一薄膜前体(P1)的气相分布。P1的一些分子可以在衬底表面的顶部形成包括P1的化学吸附物质和物理吸附分子的致密相。反应器然后被抽真空以去除气相和物理吸附的P1使得仅留下化学吸附的物质。然后第二薄膜前体(P2)被引入反应器中使得P2的一些分子吸附在衬底表面上。反应器可以再次被抽真空,这次去除未结合的P2。随后,被提供给衬底的热能激活P1与P2的吸附分子之间的表面反应,从而形成膜层。最后,反应物被抽真空以去除反应副产物以及可能未反应的P1和P2,从而结束ALD循环。可以包括附加的ALD循环以形成薄膜厚度。在PEALD过程的实例中,在第二薄膜前体P2被引入反应器时启动等离子体以激活P1与P2之间的反应。
CFD可以用于沉积保形膜109。一般来讲,CFD不依赖于在形成薄膜的反应之前完全净化一种或多种反应物。例如,当等离子体(或其他激活能量)撞击时,可以存在一种或多种蒸气相的反应物。因此,在示例的CFD处理中可以缩短或消除在ALD过程中描述的一个或多个处理步骤。另外,在一些实施例中,等离子体激活沉积反应会导致比热激活反应更低的沉积温度,从而有可能减小组合处理的热预算。作为背景,提供了CFD的简短描述。CFD循环的概念与本文的多种实施例的论述有关。就ALD过程而言,一般来讲,一个循环是进行一次表面沉积反应所需的最小的一组操作。一个循环的结果是在衬底表面上产生至少部分薄膜层。通常,CFD循环只包括输送并吸附每种反应物到衬底表面,然后使这些吸附的反应物发生反应以形成部分薄膜层所需的这些步骤。循环可以包括某些辅助步骤,例如,清扫一种或多种反应物或副产物,以及/或者处理沉积的部分薄膜。一般来讲,循环只包含独特操作序列的一个实例。作为示例,循环可以包括以下操作:(i)输送/吸附反应物A;(ii)输送/吸附反应物B;(iii)从反应室中清扫出反应物B;以及(iv)施加等离子体以驱动反应物A和B的表面反应,从而在表面上形成部分薄膜层。本文使用的术语PEALD包括CFD过程。
以下条件是适于通过CFD过程沉积氧化硅保形薄膜109的条件的实例。进行沉积的温度在约50℃至约400℃之间,压强在约0.5托至约10托之间,并且四个站的射频功率在约100W至10kW之间。对于各种实施例,射频激活频率可以在约13.56MHz至40MHz之间变化。对于氧化硅保形薄膜109,可以使用的工艺气体包括(作为硅源)硅酰胺(例如,BTBAS、BDEAS(双-二乙基氨基硅烷)或DIPAS(二异丙基氨基硅烷)以及单独地或共同地用惰性载气(例如氩气或氮气)稀释的(作为氧源)氧气或一氧化二氮或二氧化碳。工艺气体流速可以如下:对于(液态)硅前体(例如,BTBAS、BDEAS和DIPAS),在约1sccm与3sccm之间,例如,BTBAS的流速约为2.5sccm;对于氧气前体(O2、N2O),在约5000sccm与10000sccm之间,例如N2O的流速为5000sccm;并且对于载气(Ar或N2),在约0sccm与10000sccm之间,例如约5000sccm的氩气。
在图3中,保形膜109被回蚀或平面化以暴露第一芯部103。在各种实施例中,保形膜109可以通过多步骤过程进行平面化。在一个实例中,保形膜109可以通过以下方式进行回蚀:首先流入在约10sccm与约100sccm之间的氯气(Cl2),然后流入约10sccm至约100sccm的甲烷(CH4),接着流入约10sccm至约100sccm的氮气(N2)约30s。在一些实施例中,保形膜109进行蚀刻的温度可以在约10℃至约20℃之间,压力在约2毫托与约20毫托之间,时间约为30s。在一些实施例中,衬底进行蚀刻的温度可以在约40℃至约60℃之间,压力在约5毫托约约100毫托之间。在许多实施例中,进行各向异性等离子体蚀刻以暴露芯部并限定从保形膜109到间隔物109’的结构。
在图4中,第一芯部103被剥离或蚀刻,从而在衬底上留下自立式间隔物109’。如果第一芯部层是光致抗蚀剂,那么第一芯部103可以通过流入流速在约100sccm至约200sccm之间、温度在约40℃至约60℃之间并且压力在约5毫托至约20毫托之间的氧气(O2)进行蚀刻。
如果第一芯部层是由非晶碳材料制成的,那么第一芯部103可以使用灰化技术进行剥离或蚀刻。灰化方法可以取决于用于材料去除的化学反应,而不是高能离子的方向性运动。例如,暴露于在灰化操作中使用的工艺气体的任何表面可以由于暴露而经历材料去除,所以在第一芯部103中使用的非晶碳材料可以具有相对间隔物109’高的蚀刻选择性,使得间隔物109’在第一芯部103灰化时不被蚀刻。另外,与一些化学蚀刻过程相反,灰化操作可以产生完全处于气相的反应产物。用于碳膜的灰化操作可以例如利用离解的氢气(H2)或氧气(O2)作为工艺气体,其可以与碳膜发生反应以形成这种气相反应副产物。在一些实施例中,剩余的间隔物109’可以使用各种蚀刻条件形成供后续处理的形状。
在图5中,第二芯部层105使用间隔物109’作为掩模进行回蚀,从而转移图案以形成第二芯部105’。第二芯部层105可以通过使用适于蚀刻第二芯部层105而不蚀刻间隔物109’的化学物质进行蚀刻,温度在约50℃至约70℃之间,压强在约5毫托至约100毫托之间。第二芯部层105因此相对间隔物109’具有高的蚀刻选择性。第二芯部层105可以是非晶碳层,或非晶硅层。在第二芯部层105上方可以是覆盖层104,该覆盖层可以是硅防反射涂层或PECVD介电层或旋涂玻璃。
在图6中,间隔物109’和覆盖层104被蚀刻或者说是被去除,从而留下图案化的第二芯部105’。在一些实施例中,间隔物109’可以在约10℃至约20℃之间的温度、在约2毫托至约20毫托之间的压强经过约30s的时间被去除。在一些实施例中,衬底进行蚀刻的温度可以在约40℃至约60℃之间,压强在约5毫托至约100毫托之间。在许多实施例中,进行各向异性等离子体蚀刻。在一个实例中,间隔物109’通过以下方式进行蚀刻:首先流入在约10sccm与约100sccm之间的氯气(Cl2),然后流入约10sccm至约100sccm的甲烷(CH4),接着流入约10sccm至约100sccm的氮气(N2)约30s。
在图7中,第二保形膜119沉积在图案化的第二芯部105’上方。在许多实施例中,第二保形膜119可以是氧化钛层,其可以通过PEALD方法进行沉积。
在图8中,第二保形膜119被蚀刻或图案化以暴露第二芯部105’。条件和方法可以是以上参照图3讨论的任何条件和方法。
在图9中,第二芯部105’被蚀刻或去除,从而留下自立式第二间隔物119’。条件和方法可以是以上参照图4讨论的任何条件和方法。
在图10中,掩模层107c通过使用第二间隔物119’作为掩模而被回蚀,从而将第二间隔物119’的图案转移以形成图案化掩模107c’。掩模层107c对第二保形膜119可以具有高蚀刻选择性,并且可以根据掩模层107c的化学成分通过上述参照图5讨论的任何方法进行蚀刻。
在图11中,第二间隔物层119’连同蚀刻停止层107a和覆盖层107b被去除,从而留下图案化掩模107’。用于去除第二间隔物119’的条件和方法可以是上述参照图6讨论的任何条件和方法。掩模107’然后可以用于图案化后续的层,例如,目标层150。图1至图11所述的处理步骤的结果是四图案化方案,使得单个光刻限定的特征(例如,图1中的第一芯部103)导致衬底上的四个更小的特征。四图案化方案可以用于形成具有小至10nm的半节距或者在10nm至20nm之间的半节距的特征,其无法通过当前的双图案化方案来实现。
由于形成更小特征的性质,用作第二保形膜119的材料的质量可以高于在更宽芯部的间隔物中使用的保形膜的质量,以便维持健壮性并且在其暴露于后续图案化步骤中的恶劣条件下时防止弯曲。高质量的保形膜材料可以具有接近理想的化学计量性,例如,当保形膜是氧化钛时,高质量的氧化钛保形膜可以具有接近理想的Ti:O化学计量性,例如1:2,以及低碳含量。高质量保形膜可以通过ALD沉积,其可以从氧化半反应完成完全转化,从而形成接近理想的化学计量性。因此,高质量的保形膜材料就可以具有低蚀刻率和高蚀刻选择性以及对氧化物和氮化物还具有极大地选择性。这些薄膜也可以具有更高的模量,例如,大于约150MPa,这有助于提高作为间隔物的保形膜的机械稳定性,从而提高临界尺寸均匀性(CDU)。本文公开的高质量的保形膜材料也可以是稠密的以承受后续的集成步骤。
本文提供了沉积薄的保形纳米层压保护层的方法。还提供了形成可用作间隔物的高质量薄膜的方法,该方法包括沉积纳米层压层。纳米层压层可以在沉积保形膜期间防止底层芯部层恶化的情况下提高间隔物的健壮性。
直接在芯部层上沉积高质量薄膜,例如,高质量氧化钛,会由于在沉积间隔物期间的恶劣条件而导致芯部恶化或消耗,从而损坏底层的图案化芯部。例如,在沉积高质量保形膜期间,图案化芯部可以暴露于氧自由基,例如,使用至少约1000W的高HFRF功率从N2O/O2顺序等离子体产生的氧自由基。由于更高的功率,形成更多的氧自由基,这增大了芯部层上的自由基轰击以及芯部图案化的后续恶化。氮化物如果在芯部层上用作覆盖物则能够承受这种轰击,但是由于氮化物对某些芯部材料不具有选择性,所以氮化物不合适。在沉积保形膜之后,衬底就可以被平面化以暴露芯部并去除芯部,但是由于芯部已经被消耗或已经恶化,所得的间隔物之间的宽度会减小,这导致半导体衬底中不规则的图案。与使用低质量保形膜以防止芯部恶化相反,本文公开的方法使用高质量间隔物材料。
由于在沉积期间使用更低的高频射频功率,纳米层压保护层可以比保形膜更不致密。这样,纳米层压保护层可以沉积成适合保护芯部的最小厚度。最小化纳米层压保护层可以便于后续集成。纳米层压保护层可以保护底层使得高质量保形膜可以沉积在其上。芯部消耗和恶化由此减少并且芯部可以在沉积高质量保形膜期间承受更恶劣的条件。在后续图案化步骤中,高质量保形膜可以承受其他恶劣条件并且作为自立式结构仍然维持其强健壮性。纳米层压保护层通过维持芯部几何结构的完整性并且给自立式间隔物结构提供机械支撑也可以防止间隔物倾斜并且允许临界尺寸波动,从而增强在半导体处理中形成更小半节距的更精密特征的能力。
使用纳米层压保护层的方法的实例可以始于提供具有光刻限定或图案化的第一芯部层的多堆层半导体衬底,例如上述参照图1所述的半导体衬底。在一些实施例中,纳米层压保护层可以在沉积保形膜109之前沉积在第一芯部103上,如以下结合图13的实施例所述。在各种实施例中,保形膜109可以沉积在第一芯部103上,例如如图2所示。在保形膜109被平面化之后,例如如图3所示,第一芯部103可以被蚀刻或去除以露出剩余的间隔物109’,例如如图4所示。第二芯部层105可以通过使用间隔物109’作为掩模而被蚀刻,从而转移图案以形成第二芯部105’,例如如图5所示。间隔物109’可以被去除以露出图案化的第二芯部105,例如如图12所示。第二芯部层105可以是非晶碳层或非晶硅层。
在图13中,纳米层压保护层111可以保形地沉积在第二芯部105’上。纳米层压层111的厚度的实例在约
Figure BDA0002392483690000121
至约
Figure BDA0002392483690000122
之间,在约
Figure BDA0002392483690000123
至约
Figure BDA0002392483690000124
之间,或者在约
Figure BDA0002392483690000125
至约
Figure BDA0002392483690000126
之间。要注意,图13所示的厚度被夸大了,目的是为了说明并仅作为实例。
在一些实施例中,纳米层压层111可以包括堆层,该堆层可以具有两个或更多个副层(未示出)。例如,堆层可以是双层。在一些实施例中,副层具有相同的成分,并且在一些实施例中,副层具有不同的成分。在一些实施例中,纳米层压层111是一层。在一个实例中,纳米层压层111是一层氧化硅。在另一个实例中,纳米层压层111是一层氧化钛。在双层纳米层压层111的一个实例中,上层是氧化硅,并且下层是氧化钛。在双层纳米层压层111的另一个实例中,上层是氧化钛,并且下层是氧化硅。
由于可用于沉积纳米层压层111的低HFRF功率,所以纳米层压层111也可以比保形膜中沉积的材料不致密。在一些实施例中,纳米层压层111可以是ALD氧化物,例如,二氧化硅(SiO2)或二氧化钛(TiO2)并且被称为“软”ALD氧化物。当配置用于图案化方案的处理条件并确定有待蚀刻的图案时可以考虑纳米层压层111的厚度。在各种实施例中,纳米层压层111通过保形膜沉积(CFD)或PEALD进行沉积。
在图14中,第二保形膜129沉积在纳米层压层111上方。在某些实施例中,第二保形膜129是高质量氧化钛薄膜,例如,具有低的湿蚀刻率和对例如二氧化硅(SiO2)的氧化物和例如氮化硅(SiN)的氮化物具有极大选择性的高的干蚀刻选择性的氧化钛层。例如,第二保形膜129相对于非晶碳层可以具有大于3:1的蚀刻选择性。在一些实施例中,第二保形膜129相对于卤化蚀刻化学物质中的多晶硅具有大于15:1的蚀刻选择性。在一个实例中,沉积至厚度约为
Figure BDA0002392483690000131
的纳米层压层111能够足以使第二芯部105’免于沉积约
Figure BDA0002392483690000132
的第二保形膜129。在各种实施例中,第二保形膜129通过CFD或PEALD进行沉积。在一些实施例中,第二保形膜129比纳米层压层111更致密。
在图15中,衬底被平面化以暴露具有纳米层压层111侧壁的第二芯部105’并得到侧壁第二间隔物129’。用于平面化的条件可以是上述参照图3所述的任何条件。
在图16中,第二芯部105’被蚀刻并被去除。条件和方法可以是上述参照图9所讨论的任何条件和方法。在一些实施例中,一小部分纳米层压层111由于蚀刻步骤的性质可以被蚀刻。在一些实施例中,纳米层压层111被充分地选择性蚀刻并且在第二芯部105’被去除的同时不被蚀刻。要注意,第二间隔物129’坐靠在纳米层压层111的薄层上,在第二间隔物129’的侧壁上具有薄纳米层压层111,这由此可以增强第二间隔物129’的稳定性并防止倾斜。再者,在一些实施例中,纳米层压材料可以与第二芯部105’一起被完全去除。如以上指出的,在一些实施例中,纳米层压层111的厚度以及去除多少纳米层压层可以在计划图案化方案以达到所需尺寸时进行考虑。然而,在一些实施例中,纳米层压层111的厚度可以在图案化尺寸的公差内,并且可以不需要考虑到。
在图17中,掩模层107c通过使用第二间隔物129’作为掩模而被回蚀。由于高质量的第二间隔物129’增加的稳定性和健壮性,所以可以更容易且更充分地完成这种操作以形成具有高纵横比的精细、稳定的特征。
在图18中,纳米层压层111和第二间隔物129’被蚀刻或被去除以露出所得的图案化掩模107c’。条件和方法可以是上述参照图5所述的任何条件和方法。图案化掩模107c’随后可以用于图案化后续的层,其可用于图案化目标层150。在一些实施例中,图案化的掩模107c’可以用于图案化目标层150。
图19是根据各种实施例的方法的步骤的工艺流程图。本文所述的循环和暴露时间可以取决于所使用的设备和平台并且本领域技术人员可以相应地调节循环和暴露时间。在操作1901中,衬底可以暴露于第一前体,例如,含钛前体或含硅前体。在一些实施例中,衬底包括芯部层。在各种实施例中,芯部层是非晶碳、非晶硅或光致抗蚀剂。在一些实施例中,芯部层是通过光刻限定或图案化的。在某些实施例中,衬底包括图案化的非晶碳层。
含钛前体的实例包括三二甲基氨基钛(TDMAT)、四乙氧基钛、四二甲基氨基钛、异丙醇钛、四异丙氧基钛和四氯化钛。含硅前体可以是例如硅烷、卤代硅烷或氨基硅烷。硅烷包含氢基和/或碳基团,但是不包含卤素。硅烷的示例是甲硅烷(SiH4)、乙硅烷(Si2H6)和有机硅烷,例如甲基硅烷,乙基硅烷,异丙基硅烷,叔丁基硅烷,二甲基硅烷,二乙基硅烷,二-叔-丁基三甲氧基硅烷,烯丙基硅烷,仲丁基三甲氧基硅烷,叔己基硅烷,异戊硅烷,叔丁基二硅烷,二-叔丁基乙硅烷,正硅酸乙酯(也称为四乙氧基硅烷或TEOS)等。卤素硅烷包含至少一个卤素基,并且可以包含或不包含氢和/或碳基团。卤素硅烷的示例是碘硅烷、溴硅烷、氯硅烷和氟硅烷。在本文描述的某些实施例中,尽管卤素硅烷,特别是氟硅烷,可以形成能够蚀刻硅材料的反应性卤化物,但是当等离子体撞击时,不存在含硅反应物。具体的氯硅烷是四氯硅烷(SiCl4),三氯硅烷(HSiCl3),二氯硅烷(H2SiCl2),单氯硅烷(ClSiH3),氯代硅烷,三甲基氯硅烷,二氯甲基硅烷,氯二甲基硅烷,氯硅烷,叔丁基氯硅烷,二-叔丁基氯硅烷,氯丙基三甲氧基硅烷,氯仲-丁基硅烷,叔-二甲基氯硅烷,叔己基二甲基氯硅烷等。氨基硅烷包含至少一个结合在硅原子上的氮原子,但是还可以包含氢、氧、卤素和碳。氨基硅烷的示例是单、双、三和四氨基硅烷(分别为H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4),以及取代的单、双、三和四氨基硅烷,例如,叔丁基氨基硅烷,甲基氨基硅烷,叔丁基硅烷胺,二(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS),叔丁基甲硅烷基氨基甲酸叔丁酯,SiH(CH3)-(N(CH3)2)2,SiHCl-(N(CH3)2)2,(Si(CH3)2NH)3等。氨基硅烷的进一步示例是三甲基烷胺(N(SiH3)3)。
在操作1901之后,沉积室可以在使用喷射器净化或泵送步骤的清扫阶段中被净化。一般来讲,清扫阶段从反应室去除或净化蒸气相反应物之一并且通常仅在完成这种反应物的输送之后进行。换句话讲,反应物在清扫阶段不再输送到反应室。然而,反应物在清扫阶段一直被吸附在衬底表面上。通常,清扫起到在反应物被以所需水平吸附到衬底表面上之后去除反应室中任何残留的气相反应物的作用。清扫阶段也可以从衬底表面去除较弱吸附的物质(例如,某些前体配体或反应副产物)。在ALD中,清扫阶段被视为是必要的以防止两种反应物的气相相互作用或者一种反应物与热、等离子体或用于表面反应的其他驱动力相互作用。一般而言,并且除非本文中另外指出,清扫/净化阶段可以按照以下方式完成:(i)对反应室进行抽真空;以及/或者(ii)使不包含待清除的物质的气体流过反应室。就(ii)而言,这种气体可以是例如惰性气体。
在操作1903中,衬底可以暴露于第二前体或氧化剂。在一些实施例中,氧化剂是一氧化二氮(N2O)或氧气(O2)或二氧化碳(CO2)或它们的混合物或组合。在一些实施例中,氧化剂可以是氧气(O2)和弱氧化剂(例如N2O、CO、CO2、NO、NO2、SO、SO2、CxHyOz和/或H2O)的混合物。在其他实施方式中,氧化反应物可以完全是弱氧化剂。可替代地,氧化反应物可以包括臭氧。在一些实施例中,氧化反应物是约0至50%的O2和约50%至100%的弱氧化剂。
在一些情况下,可以连续(例如,甚至在输送其他反应物期间和/或等离子体暴露期间)输送反应物之一。例如,可以连续输送氧化反应物。连续流动的反应物可以结合例如氩气的载气被输送到反应室。在一些情况下,通过使用分流阀/入口阀切换器来控制输送连续流动的反应物到反应室。气流变化可以转移或共流。在一个实例中,连续流动的反应物从反应室周期性地转移使得反应物仅在某些时期被输送到反应室。连续流动的气体可以通过使用合适的阀门被转移到出口/排泄口。例如,氧化反应物可以连续流动,但仅仅周期性地被输送到反应室。当氧化反应物未被输送到反应室时,氧化反应物可以被转移到出口、循环系统等。
在操作1905中,可以在衬底暴露于氧化剂的同时使用低HFRF功率启动等离子体。在一些实施例中,单位面积的晶圆的低HFRF功率可以在约1.768×10-4W/mm2至约1.768×10-3W/mm2之间,其中mm2代表晶圆表面积的单位。等离子体功率可以与晶圆表面积成线性地缩放。例如,对于300mm的晶圆,每个站的低HFRF功率可以在约12.5W至约125W之间,对于450mm的晶圆,每个站的低HFRF功率可以在约28W至约280W之间。沉积温度可以在约50℃至约150℃之间。在操作1905之后,沉积室可以再次进行净化。这些步骤可以重复进行直到沉积所需厚度的薄膜。
在一些实施例中,操作1905可以在低温进行,例如,在四站工具中,在小于约100℃的温度,在300nm衬底暴露于氧化剂时HFRF功率在约50W至约500W之间,功率为施加在四站工具上的总功率。
在操作1907中,衬底可以暴露于含钛前体。含钛前体的实例可以是上述参照操作1901描述的任何含钛前体。举例来说,衬底可以暴露于TDMAT约2s,这可以描述为一个“剂量”。在操作1907之后,沉积室可以使用喷射器净化或泵送步骤进行净化。举例来说,净化可以持续约10s。
在操作1909中,衬底可以暴露于另一种前体或氧化剂。在许多实施例中,氧化剂是一氧化二氮(N2O)或氧气(O2)或二氧化碳(CO2)或它们的混合物。举例来说,操作1909可以是约30s,使得对于前25s,无氧化剂流入并仅流入载气或惰性气体,并且在25s之后氧化剂开始流入并保持流动到下一个操作。载气的实例包括氩气(Ar)和氮气(N2)。这些载气的流速可以在约0sccm至约10000sccm之间。
在操作1911中,可以在衬底暴露于氧化剂的同时使用高HFRF功率启动等离子体。在许多实施例中,对于300mm的晶圆,每个站的HFRF功率可以在约125W至约1500W之间。举例来说,每站的HFRF功率可以是约625W。可以在约0.25s至约3s之间或约0.5s的时间启动等离子体。操作1907至1911可以在50℃至约400℃之间、或在约50℃至200℃之间或者约150℃的温度并且在约3托至约3.5托之间的压强进行。在操作1911之后,可以关闭等离子体并且净化反应室或者将其抽真空。在操作1901、1903、1905、1907、1909和1911之后使用的净化气体的实例可以是氩气(Ar)或氮气(N2)或者任何其他合适的净化气体。在一些实施例中,操作1901至1905中沉积的薄膜的密度可以比操作1907至1911中沉积的薄膜的密度更小。
根据各种实施例,操作1901至1905可以在比操作1907至1911更低的温度和/或更低的射频功率和/或更短的射频时间和/或更低的压强和/或使用更弱的氧化剂进行。这些沉积条件可以有助于在生产可操作器件时防止损坏底层的衬底。
图20A提供了根据各种实施例的使用纳米层压层的方法的工艺流程图。在操作2001中,可以沉积芯部层,例如参照图1所述的方式。芯部层可以是非晶碳层或非晶硅层,或者可以是光致抗蚀剂。在一些实施例中,芯部层被图案化。在操作2003中,纳米层压层沉积在芯部层上。纳米层压层可以通过CFD或PEALD方法进行沉积。通过使用上述结合图19描述的操作1901至1905可以沉积纳米层压层。在一些实施例中,纳米层压层可以是二氧化硅(SiO2)或二氧化钛(TiO2)。沉积的纳米层压层的厚度可以在约
Figure BDA0002392483690000171
至约
Figure BDA0002392483690000172
之间,或者在约
Figure BDA0002392483690000173
至约
Figure BDA0002392483690000174
之间。在操作2005中,金属氮化物或金属氧化物可以沉积在纳米层压层上。通过使用上述结合图19描述的操作1907至操作1911可以沉积金属氮化物或金属氧化物。在一些实施例中,金属氮化物或金属氧化物层是氧化硅或氧化钛层。在一些实施例中,金属氮化物或金属氧化物层是高质量氧化钛层。在各种实施例中,金属氮化物或金属氧化物层相对芯部具有高蚀刻选择性。在许多实施例中,金属氮化物或金属氧化物层比纳米层压层更致密。
图20B是根据各种实施例的使用纳米层压层的方法的实例的工艺流程图。在操作2011中,薄纳米层压层沉积在衬底上。纳米层压层可以是上述参照图13至图20A讨论的任何纳米层压层。通过使用上述结合图19描述的操作1901至1905可以沉积纳米层压层。在操作2013中,氧化钛层可以沉积在纳米层压层上。以上参照图14描述了沉积在纳米层压层上的氧化钛层的实例。通过使用上述结合图19描述的操作1907至操作1911可以沉积氧化钛层。
设备
本文提供的沉积技术可以在等离子体增强化学气相沉积(PECVD)反应器或保形膜沉积(CFD)反应器中实施。这种反应器可以采用多种形式,并且可以是包括一个或多个室或“反应器”(有时候包括多个站)的设备的一部分,这些室或“反应器”均可以容纳一个或多个晶圆并且可以被配置成执行各种晶圆处理操作。一个或多个室可以维持晶圆在一个或多个限定位置(在该位置运动或不发生运动,例如,旋转、振动或者说是搅动)。在一个实施方式中,经过薄膜沉积的晶圆可以在处理期间从一个站转移到反应室内的另一个站。在其他实施方式中,晶圆可以在设备内的室之间转移以执行不同的操作,例如,蚀刻操作或光刻操作。全部薄膜沉积可以完全在单站或者对于任何沉积步骤沉积总薄膜厚度的任何部分。尽管在处理期间,每个晶圆可以通过基座、晶圆卡盘和/或其他晶圆固定设备保持在位。对于将要加热晶圆的某些操作,设备可以包括例如加热板的加热器。由Lam Research Corp.(Fremont,CA)生产的VectorTM(例如,C3 Vector)或SequelTM(例如,C2 Sequel)反应器两者是可用于实施本文所述的技术的合适的反应器的实例。
图21提供了用于实施本文所述的方法所设置的各种反应器元件的简化方框图。如图所示,反应器2100包括加工室2124,该加工室封闭反应器的其他元件并且用于容纳由电容放电式系统产生的等离子体,该系统包括与接地的加热部件2120结合工作的喷头2114。高频(HF)射频(RF)发生器2104和低频(LF)RF发生器2102可以连接至匹配网络2106和喷头2114。匹配网络2106供应的功率和频率可以足以从供应至加工室2124的工艺气体产生等离子体。例如,匹配网络2106可以提供50W至500W的HFRF功率。在一些实例中,匹配网络2106可以提供100W至5000W的HFRF功率以及100W至5000W的LFRF功率总能量。在典型过程中,HFRF成分通常可以在5MHz至60MHz之间,例如,13.56MHz。在存在低频成分的操作中,低频成分可以在100kHz至2MHz之间变化,例如,430kHz。
在反应器内,晶圆基座2118可以支撑衬底2116。晶圆基座2118可以包括卡盘、叉子或升降销(未示出)以在沉积和/或等离子体处理反应期间或间隙固定并转移衬底。卡盘可以是静电卡盘、机械卡盘或各种其他类型的卡盘,只要在行业和/或研究中可用。
各种工艺气体可以经由入口2112引入。多源气线2110连接至歧管2108。气体可以经过预混合或未经预混合。可以采用合适的阀门和质量流控制机构来确保在过程的沉积和等离子体处理阶段期间输送正确的工艺气体。在化学前体以液体形式输送的情况中,可以采用液体流控制机构。然后这种液体可以在达到沉积室之前在歧管中输送期间被加热到以液体形式供应的化学前体的蒸发点之上而气化并与工艺气体混合。
工艺气体经由出口2122流出室2124。真空泵(例如,一级或两级机械式干泵和/或涡轮分子泵2140)通过使用闭环控制的流量限制装置(例如,节流阀或摆阀)可以用于从加工室2124抽出工艺气体并且在加工室2124内维持合适的低压。
如上所述,本文讨论的用于沉积的技术可以在多站或单站工具上实施。在具体实施方式中,可以使用具有4站沉积方案的300mm Lam VectorTM工具,或者具有6站沉积方案的200mm SequelTM工具。在一些实施方式中,可以使用用于处理450mm晶圆的工具。在各种实施方式中,晶圆可以在每次沉积和/或后沉积等离子体处理之后进行索引,或者如果蚀刻室或站也是相同工具的一部分,可以在蚀刻步骤之后进行索引,或者在索引晶圆之前可以在单站进行多次沉积和处理。
在一些实施例中,可以提供被配置成执行本文所述的技术的设备。合适的设备可以包括用于执行各种处理操作的硬件以及具有用于控制根据公开的实施例的处理操作的指令的系统控制器2130。系统控制器2130通常会包括一个或多个存储设备以及与各种处理控制设备(例如,阀门、射频发生器、晶圆传送系统等)通信连接的一个或多个处理器,并且所述处理器被配置成执行指令使得设备会执行根据公开的实施例的技术,例如,在图19的沉积步骤中提供的技术。包括用于控制根据本发明的处理操作的指令的机械可读介质可以连接至系统控制器2130。控制器2130可以与各种硬件设备(例如,质量流控制器、阀门、射频发生器、真空泵等以及连接上以便于控制与本文所述沉积操作相关联的各种处理参数。
在一些实施例中,系统控制器2130可以控制反应器2100的所有活动。系统控制器2130可以执行存储在大容量存储器中、装载到存储设备中并且在处理器上运行的系统控制软件。系统控制软件可以包括用于控制气流计时、晶圆运动、射频发生器激活等指令,以及用于控制气体的混合、室压和/或站压、室温和/或站温度、晶圆温度、目标功率水平、射频功率水平、衬底基座、卡盘和/或衬托器位置和反应器设备2100所执行的特定处理的其他参数的指令。系统控制软件可以被配置成任何合适的方式。例如,可以写入多个加工工具组件的子程序或控制对象以控制加工工具组件实现多个加工工具过程所需的操作。系统控制软件可以编码成任何合适的计算机可读的编程语言。
系统控制器2130通常可以包括一个或多个存储设备以及一个或多个处理器,这些处理器被配置为执行指令使得设备会实施根据本发明的技术。包括用于控制根据公开的实施例的处理操作的指令的机器可读的介质可以连接至系统控制器2130。
本文所述的方法和设备可以结合光刻图案化工具和方法使用,例如以下描述的用于制备或制造半导体器件、显示器、LED、光伏面板等的设备和处理。通常,尽管不一定,但是这些工具/方法可以在共同的制造设施中一起使用或操作。光刻图案化薄膜通常包括一些或全部以下步骤,每个步骤允许使用多种可用的工具:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在工件上,即,在公开的实施例中提供的衬底或多层堆层;(2)使用热板或炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶圆分档器的工具将光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使用例如湿式清洗台的工具使光致抗蚀剂显影以便选择性地去除抗蚀剂从而使其图案化;(5)通过使用例如以下描述的干式或等离子体辅助刻蚀工具将蚀刻剂图案转移到下方的薄膜或工件,例如非晶碳层;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器的工具去除抗蚀剂。在一个实施方式中,晶圆上的一个或多个间隙特征通过使用本文所述的技术填满碳薄膜。碳薄膜则可用于例如本文所述的目的之一。另外,实施方式可以包括一个或多个上述步骤(1)至(6)。
在多站加工工具中可以包括一个或多个处理站。图22示出了多站加工工具2200的实施例的示意图,该多站加工工具具有入站装载锁2202和出站装载锁2204,任意一个或两个装载锁可以包括远程等离子体源。在大气压下,机器人2206被配置成经由大气端口2210将穿过纵槽2208装载到晶圆匣的晶圆移动到入站装载锁2202中。机器人2206将晶圆放置在入站装载锁2202中的基座2212上,并且大气端口2210关闭,并且装载锁被泵吸关闭。在入站装载锁2202包括远程等离子体源的情况中,晶圆可以在引入到加工室2214之前在装载锁中暴露以进行远程等离子体处理。另外,晶圆同样可以在入站装载锁2202中受热,例如,用于去除水分和吸附气体。接着,到加工室2214的室输送端口2216打开,并且另一个机器人(未示出)将晶圆放入反应器中,在用于加工的反应器中所示的第一站的基座上。尽管图示的实施例包括装载锁,但是应当理解,在一些实施例中,可以提供晶圆进入处理站的直接入口。
图示的加工室2214包括四个处理站,在图22所示的实施例中从1编号到4。每个站具有受热的基座(站1的基座图示为2218)和气体管道入口。应当理解,在一些实施例中,每个处理站可以具有不同的或多个用途。例如,在一些实施例中,处理站可以在CFD与PECVD处理模式之间切换。另外或可替代地,在一些实施例中,加工室2214可以包括一个或多个配对的CFD和PECVD处理站。尽管图示的加工室2214包括四个站,应当理解,根据本发明的加工室可以具有任意合适数量的站。例如,在一些实施例中,加工室可以具有四个或更多个站,尽管在其他实施例中,加工室可以具有三个或更少的站。
图22还示出了用于在加工室2214内转移晶圆的晶圆搬运系统2290的实施例。在一些实施例中,晶圆搬运系统2290可以在多个处理站之间以及/或者在处理站与装载锁之间转移晶圆。应当理解,可以采用任何合适的晶圆搬运系统。非限制性实例包括晶圆旋转货架和晶圆搬运机器人。图22还示出了用于控制处理条件和加工工具2200的硬件状态的系统控制器2250的实施例。系统控制器2250可以包括一个或多个存储设备2256,一个或多个大容量存储设备2254和一个或多个处理器2252。处理器2252可以包括CPU或计算机,模拟和/或数字输入/输出连接,步进电机控制板等。
在一些实施例中,系统控制器2250控制加工工具2200的所有活动。系统控制器2250执行存储在大容量存储器2254中、装载到存储设备2256中并且在处理器2252上运行的系统控制软件2258。可替代地,控制逻辑可以硬编码在控制器2250中。专用集成电路、可编程逻辑器件(例如,现场可编程逻辑器件或FPGA)等可以用于这些目的。在以下讨论中,无论何处使用“软件”或“代码”,都可以使用功能上相当的硬编码逻辑来代替。系统控制软件2258可以包括用于控制计时、气体混合、室压和/或站压力、室温和/或站温度、晶圆温度、目标功率水平、射频功率水平、射频暴露时间、衬底基座、卡盘和/或衬托器位置以及加工工具2200执行特定处理的其他参数的指令。系统控制软件2258可以被配置成任何合适的方式。例如,可以写入多个加工工具组件的子程序或控制对象以控制加工工具组件实现多个加工工具过程所需的操作。系统控制软件2258可以编码成任何合适的计算机可读的编程语言。
在一些实施例中,系统控制系统2258可以包括用于控制如上所述的多个参数的输入/输出控制(IOC)序列指令。例如,CFD处理的每个阶段可以包括由系统控制器2250执行的一个或多个指令。对应的CFD的配方阶段中可以包括用于设定CFD处理阶段的处理条件的指令。在一些实施例中,可以顺序布置CFD的配方阶段,使得与该处理阶段同时执行用于CFD处理阶段的所有指令。
在一些实施例中可以采用存储在与系统控制器2250相关联的大容量存储设备2254和/或存储设备2256上的其他计算机软件和/或程序。用于该目的的程序或程序段的实例包括衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于加工工具组件的程序代码,该加工工具组件用于将衬底装载到基座2218上并且控制衬底与加工工具2200的其他零件之间的间距。
处理气体控制程序可以包括用于控制气体成分和流速的代码以及任选地用于在沉积之前使气体流入一个或多个处理站以便使处理站内的压力稳定的代码。在一些实施例中,控制器包括用于在芯部层上沉积纳米层压保护层并且在保护层上方沉积保形层的指令。
压力控制程序可以包括用于通过调节(例如,加工站的排气系统中的节流阀)流入加工站中的气流等来控制加工站中的压力的代码。在一些实施例中,控制器包括用于在芯部层上沉积纳米层压保护层并且在保护层上方沉积保形层的指令。
加热控制系统可以包括用于控制流到加热单元的电流的代码,该加热单元用于加热衬底。可替代地,加热控制程序可以控制输送传热气体(例如,氦气)到衬底。在某些具体实施中,控制器包括用于在第一温度沉积纳米层压保护层并且在第二温度在保护层上方沉积保形层的指令,其中第二温度高于第一温度。
等离子体控制程序可以包括用于根据本文的实施例在一个或多个处理站中设置射频功率水平和暴露时间的代码。在一些实施例中,控制器包括用于在第一射频功率水平和射频持续时间沉积纳米层压保护层并且在第二射频功率水平和射频持续时间在保护层上方沉积保形层的指令。第二射频功率水平和/或第二射频持续时间可以比第一射频功率水平/持续时间更高/更长。
在一些实施例中,可以存在与系统控制器2250相关联的用户界面。用户界面可以包括显示屏、设备和/或加工条件的图像软件显示器以及其他输入设备,例如,指向设备、键盘、触屏、麦克风等。
在一些实施例中,由系统控制器2250调节的参数可以涉及处理条件。非限制性实例包括工艺气体成分和流速、温度、压力、等离子体条件(例如,射频偏压功率水平和暴露时间)、压力、温度等。这些参数可以以配方的形式提供给用户,可以利用用户接口来输入配方。
用于监测过程的信号可以由系统控制器2250的模拟和/或数字输入连接从多个加工工具传感器提供。加工工具2200的模拟和数字输出连接可以输出用于控制处理的信号。可以监测的加工工具传感器的非限制性实例包括质量流控制器、压力传感器(例如压力计)、热电偶等。可以与来自这些传感器的数据一起使用适当编程的反馈和控制算法来维持处理条件。
系统控制器2250可以提供用于实施上述沉积处理的程序指令。程序指令可以控制各种处理参数,例如直流功率水平、射频偏压功率水平、压力、温度等。这些指令可以控制这些参数以根据本文所述的多个实施例原位沉积薄膜堆层。
系统控制器通常包括一个或多个存储装置和一个或多个处理器,所述处理器被配置成执行指令使得设备会执行根据公开的实施例的方法。包括用于控制根据公开的实施例的处理操作的指令的机器可读的、非暂时性介质可以连接至系统控制器。
实验
实验1
进行实验以比较在未保护的芯部上沉积的间隔物或保形膜以及沉积在受到纳米层压保护层的保护的芯部上的间隔物或保形膜。在第一次尝试中,提供具有未图案化的芯部层的衬底,该未图案化的芯部层是由非晶碳制成的。高质量氧化钛通过等离子体增强原子层沉积(PEALD)或保形膜沉积(CFD)方法直接沉积在芯部层上。室压约为3托并且温度约为150℃。衬底暴露于第一前体TDMAT持续2s,随后进行10s净化。然后衬底暴露于氧化剂N2O/O2的混合物持续30s,其中前25秒涉及仅流入载气N2(以高达9500sccm的流速),并且在最后5秒,N2O/O2流开启并维持直到等离子体启动0.5s。每个站以625W或者四个站以2500W的高频射频(HFRF)功率启动等离子体。在0.5s等离子体暴露时间之后,同时关闭N2O/O2流和等离子体,并且最后处理室被抽真空以净化处理器。图23A示出了沉积的保形膜和底层芯部层的图像。恶化的芯部层2304a被图示为在二氧化钛(TiO2)保形膜层2302a下方。
在第二次尝试中,也提供具有未图案化的芯部层的衬底,该未图案化的芯部层是由非晶碳制成的。二氧化硅(SiO2)纳米层压保护层通过PEALD沉积在芯部层上。沉积室温度为50℃并且室压为1.8托。衬底暴露于含硅前体0.2s,随后进行0.2s净化。然后衬底暴露于氧化剂N2O/O2的混合物持续0.3s。在四站1000W或者每个站约500W启动四站室的等离子体。关闭N2O/O2流和等离子体,并且净化处理器。随后,衬底暴露于TDMAT持续2s,随后进行10s净化。在净化之后,衬底暴露于氧化剂N2O/O2持续30s,使得混合物仅在最后5s流入,并且在30s之后,继续流入0.5s,同时以每站625W或者四站2500W启动等离子体以持续0.5s。在关闭等离子体和氧化剂N2O/O2流两者之后,处理室被再次净化。图23B示出了沉积的保形膜、纳米层压层和底层芯部层的图像。如图所示,沉积的保形膜2302b沉积在纳米层压层2306顶部上,该保形膜足够薄至难以辨别保形膜以及保形膜所邻接的芯部层。要注意,与图23A相比,芯部心轴2304b具有非常小的恶化或消耗。
在第三次尝试中,也提供具有未图案化的芯部层的衬底,该未图案化的芯部层是由非晶碳制成的。二氧化钛(TiO2)纳米层压保护层通过PEALD沉积在芯部层上。沉积室温度为150℃并且室压为3.0托。衬底暴露于TDMAT持续2s,随后进行10s净化。然后衬底暴露于氧化剂N2O/O2的混合物持续30s,使得混合物仅在最后5s流入,并且在30s之后,继续流入0.5s,同时以每站约500W或者四站室1000W启动等离子体。关闭N2O/O2流和等离子体,并且净化处理器。随后,衬底暴露于TDMAT持续2s,随后进行10s净化。在净化之后,衬底暴露于氧化剂N2O/O2持续30s,使得混合物仅在最后5s流入,并且在30s之后,继续流入0.5s,同时以每站625W或者四站2500W启动等离子体以持续0.5s。在关闭等离子体和氧化剂N2O/O2流两者之后,处理室被再次净化。图23C示出了沉积的保形膜、纳米层压层和底层芯部层的图像。如图所示,沉积的保形膜2302c沉积在纳米层压层2308顶部上,该保形膜足够薄至难以辨别保形膜以及保形膜所邻接的芯部层。要注意,芯部心轴2304c具有非常小的恶化或消耗。
实验2
使用参照上述三次试验描述的条件的相同条件进行另一个系列实验,但是对无特征的毯形衬底进行实验。在每次试验中,测量非晶碳层的厚度,然后沉积间隔物并测量间隔物的厚度,在间隔物沉积之后测量剩余的非晶碳层的厚度。通过将间隔物沉积之前的厚度减去间隔物沉积之后的厚度来计算碳消耗。表1示出了这个系列实验的结果。
表1.碳消耗和纳米层压层
Figure BDA0002392483690000261
如表所示,存在纳米层压层显著减小了碳消耗。在没有纳米层压层的情况下,碳消耗为15.4nm,而对于SiO2和TiO2纳米层压层两者,碳消耗仅约为8nm。因此,在非晶碳层上方沉积纳米层压层,特别是图案化的非晶碳层,在沉积间隔物时保护非晶碳层并且由于间隔物沉积可以沉积更高质量的薄膜。
结论
尽管为了理解清楚的目的描述了上述实施例的一些细节,但是应当认识到,在所附权利要求书的范围内可以进行某些变化和修改。应该指出的是,实施本实施例的过程、系统和设备的替代方式有很多。因此,本发明应当看成是说明性的而不是限制性的,并且实施例不限于本文给出的细节。

Claims (26)

1.一种加工半导体衬底的方法,所述方法包括:
沉积芯部层;
在所述芯部层上沉积纳米层压层;和
在所述纳米层压层上沉积金属氮化物或金属氧化物层,
其中所述纳米层压层包括氧化硅或氧化钛。
2.根据权利要求1所述的方法,其中,所述纳米层压层包括具有两个或更多个副层的堆层。
3.一种加工衬底的方法,该方法包括:
沉积芯部层;
在所述芯部层上沉积纳米层压层;和
在所述纳米层压层上沉积金属氮化物或金属氧化物层,其中使用等离子体增强原子层沉积(PEALD)通过以下沉积所述纳米层压层:
使所述衬底暴露于含钛前体或含硅前体;
使所述衬底暴露于氧化剂;和
在所述衬底暴露于氧化剂的同时引发等离子体。
4.一种加工衬底的方法,该方法包括:
沉积芯部层;
在所述芯部层上沉积纳米层压层;和
在所述纳米层压层上沉积金属氮化物或金属氧化物层,
其中,使用等离子体增强原子层沉积(PEALD)通过如下沉积所述金属氮化物或金属氧化物层:
使所述衬底暴露于含金属的前体;
使所述衬底暴露于氧化剂;和
当所述衬底以每平方毫米至少约1.768x 10-3W/平方毫米的HFRF功率暴露于氧化剂时,引发等离子体。
5.根据权利要求4所述的方法,其中,所述氧化剂包括一氧化二氮或氧气或二氧化碳或其混合物。
6.根据权利要求4所述的方法,其中,所述金属氮化物或金属氧化物层是在约3托至约3.5托之间的压力下沉积的。
7.根据权利要求4所述的方法,其中,所述金属氮化物或金属氧化物层是在约50℃至约400℃之间的温度下沉积的。
8.根据权利要求1至7中任一项所述的方法,其中,所述金属氮化物或金属氧化物层对所述芯部层具有蚀刻选择性。
9.根据权利要求1至7中任一项所述的方法,其中,所述芯部层包括非晶碳。
10.一种图案化半导体衬底的方法,该方法包括:
在芯部层上沉积保形膜之前,在图案化的芯部层上沉积纳米层压保护层;
在所述纳米层压保护层上沉积保形膜;
使所述保形膜平坦化以暴露出所述图案化的芯部层;和
选择性地蚀刻图案化的芯部层以形成掩模,
其中所述纳米层压保护层包括氧化硅或氧化钛。
11.权利要求10的方法,其中所述纳米层压保护层包括包含两个或更多个副层的堆层。
12.根据权利要求10所述的方法,其中,所述芯部层包括非晶碳。
13.根据权利要求10至12中任一项所述的方法,其中,所述纳米层压保护层的厚度在约15埃至约200埃之间。
14.根据权利要求10至12中任一项所述的方法,其中,
通过将所述半导体衬底暴露于含钛前体或含硅前体中,使用PEALD沉积所述纳米层压保护层;
使所述半导体衬底暴露于氧化剂;
在所述半导体衬底暴露于氧化剂的同时引发第一等离子体。
15.根据权利要求14所述的方法,其中所述纳米层压保护层在小于约100℃的温度下沉积。
16.如权利要求14所述的方法,其中所述纳米层压保护层是在约50℃至约150℃之间的温度下沉积的,并且所述第一等离子体是以每平方毫米衬底面积约1.768×10-4W到约1.768×10-3W的HFRF功率引发的。
17.根据权利要求14所述的方法,其中,
通过将所述半导体衬底暴露于含钛前体,使用PEALD沉积所述保形膜;
使所述半导体衬底暴露于氧化剂;
当所述半导体衬底以每平方毫米衬底面积至少约1.768×10-3W的HFRF功率暴露于所述氧化剂时,引发第二等离子体。
18.一种加工半导体衬底的方法,所述方法包括:
在所述半导体衬底上沉积纳米层压层;和
在所述纳米层压体层上沉积氧化钛层,该纳米层压体层的厚度为约15埃至约200埃,并且密度低于二氧化钛层的密度。
19.根据权利要求18所述的方法,还包括沉积非晶碳层,其中所述纳米层压层沉积在所述非晶碳层上。
20.根据权利要求19所述的方法,其中所述非晶碳层被图案化。
21.根据权利要求18、19、20中任一项所述的方法,其中,所述纳米层压层包括氧化硅或氧化钛。
22.根据权利要求18、19、20中任一项所述的方法,其中,使用等离子体增强的原子层沉积(PEALD)通过以下沉积所述纳米层压层:
使所述半导体衬底暴露于含钛前体或含硅前体;
使所述半导体衬底暴露于氧化剂;和
在所述半导体衬底暴露于氧化剂的同时启动等离子体。
23.一种加工半导体衬底的方法,所述方法包括:
(a)将所述半导体衬底暴露于第一含钛前体或含硅前体;
(b)使所述半导体衬底暴露于第一氧化剂;
(c)在每平方毫米衬底面积约1.768×10-4W到约1.768×10-3W的HFRF功率将所述半导体衬底暴露于所述第一氧化剂的同时引发第一等离子体;
(d)将所述半导体衬底暴露于第二含钛前体;
(e)使所述半导体衬底暴露于第二氧化剂;和
(f)在所述半导体衬底以每平方毫米衬底面积至少约1.768×10-3W的HFRF功率暴露于第二氧化剂的同时启动第二等离子体。
24.根据权利要求23所述的方法,其中,(a)至(c)在约50℃至150℃之间的温度下进行。
25.根据权利要求23所述的方法,其中,(d)至(f)在约50℃至400℃之间的温度下进行。
26.一种用于加工半导体衬底的设备,包括:
一个或多个处理室;
进入所述处理室的一个或多个气体入口和相关的流量控制硬件;
HFRF发生器;以及
具有至少一个处理器和存储器的控制器,其中
所述至少一个处理器和所述存储器彼此通信连接,
所述至少一个处理器至少操作性地连接所述流量控制硬件以及HFRF发生器;并且
所述存储器存储计算机可执行指令,所述计算机可执行指令用于:
使所述半导体衬底暴露于含金属前体;
使所述半导体衬底暴露于第一氧化剂;
在每平方毫米衬底面积约1.768×10-4W到约1.768×10-3W的HFRF功率将所述半导体衬底暴露于所述第一氧化剂的同时使第一等离子体产生;
使所述半导体衬底暴露于含钛前体;
使所述半导体衬底暴露于第二氧化剂;以及
在每平方毫米衬底面积至少约1.768×10-3W的HFRF功率将所述半导体衬底暴露于所述第二氧化剂的同时使第二等离子体产生。
CN202010119340.1A 2013-11-07 2014-11-07 用于先进图案化的软着陆纳米层压层 Pending CN111501013A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US14/074,617 US9287113B2 (en) 2012-11-08 2013-11-07 Methods for depositing films on sensitive substrates
US14/074,617 2013-11-07
US14/194,324 US9390909B2 (en) 2013-11-07 2014-02-28 Soft landing nanolaminates for advanced patterning
US14/194,324 2014-02-28
CN201410625311.7A CN104752199A (zh) 2013-11-07 2014-11-07 用于先进图案化的软着陆纳米层压层

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201410625311.7A Division CN104752199A (zh) 2013-11-07 2014-11-07 用于先进图案化的软着陆纳米层压层

Publications (1)

Publication Number Publication Date
CN111501013A true CN111501013A (zh) 2020-08-07

Family

ID=53007347

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410625311.7A Pending CN104752199A (zh) 2013-11-07 2014-11-07 用于先进图案化的软着陆纳米层压层
CN202010119340.1A Pending CN111501013A (zh) 2013-11-07 2014-11-07 用于先进图案化的软着陆纳米层压层

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410625311.7A Pending CN104752199A (zh) 2013-11-07 2014-11-07 用于先进图案化的软着陆纳米层压层

Country Status (5)

Country Link
US (3) US9390909B2 (zh)
JP (1) JP2015111668A (zh)
KR (2) KR102407002B1 (zh)
CN (2) CN104752199A (zh)
TW (2) TWI675797B (zh)

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
EP3101682A1 (en) * 2015-06-03 2016-12-07 IMEC vzw Method for providing a patterned target layer in a semiconductor structure
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170016107A (ko) * 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
US9540729B1 (en) * 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6163524B2 (ja) * 2015-09-30 2017-07-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102449195B1 (ko) 2015-12-18 2022-09-29 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10319583B2 (en) * 2016-03-13 2019-06-11 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
JP6815158B2 (ja) * 2016-10-13 2021-01-20 東京エレクトロン株式会社 酸化チタン膜の成膜方法およびハードマスクの形成方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102216380B1 (ko) * 2016-12-08 2021-02-17 주식회사 원익아이피에스 반도체 소자의 패터닝 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101900181B1 (ko) * 2017-01-25 2018-09-18 인천대학교 산학협력단 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10622266B2 (en) * 2017-04-04 2020-04-14 Globalfoundries Inc. Methods of identifying space within integrated circuit structure as mandrel space or non-mandrel space
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10340149B2 (en) * 2017-09-05 2019-07-02 Nanya Technology Corporation Method of forming dense hole patterns of semiconductor devices
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
JP7018729B2 (ja) 2017-09-19 2022-02-14 東京エレクトロン株式会社 成膜方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10446394B2 (en) * 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
KR102630349B1 (ko) * 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
KR102626483B1 (ko) * 2018-03-01 2024-01-17 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11987876B2 (en) 2018-03-19 2024-05-21 Lam Research Corporation Chamfer-less via integration scheme
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020006313A1 (en) * 2018-06-29 2020-01-02 Lam Research Corporation Oxidative conversion in atomic layer deposition processes
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10340136B1 (en) * 2018-07-19 2019-07-02 Lam Research Corporation Minimization of carbon loss in ALD SiO2 deposition on hardmask films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10910381B2 (en) * 2018-08-01 2021-02-02 Applied Materials, Inc. Multicolor approach to DRAM STI active cut patterning
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109273378B (zh) * 2018-09-20 2021-11-02 长江存储科技有限责任公司 平衡晶圆弯曲度分布的方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20200111669A1 (en) * 2018-10-04 2020-04-09 Asm Ip Holding B.V. Method for depositing oxide film by peald using nitrogen
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
CN110707004B (zh) * 2018-10-11 2022-02-18 联华电子股份有限公司 半导体装置及其形成方法
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
JP2020063470A (ja) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 パターニングスペーサ用酸化チタン膜を成膜する方法およびパターン形成方法
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11587782B2 (en) * 2018-11-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
WO2020263757A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Alternating etch and passivation process
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US10978307B2 (en) 2019-08-20 2021-04-13 Tokyo Electron Limited Deposition process
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210039194A (ko) * 2019-10-01 2021-04-09 삼성전자주식회사 집적회로 소자의 제조 방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11862602B2 (en) * 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR102656227B1 (ko) * 2019-12-19 2024-04-09 주식회사 원익아이피에스 반도체 소자 제조방법 및 그 방법에 의하여 제조된 반도체 소자
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022020507A1 (en) * 2020-07-23 2022-01-27 Lam Research Corporation Advanced self aligned multiple patterning using tin oxide
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101345191A (zh) * 2007-07-10 2009-01-14 力晶半导体股份有限公司 光致抗蚀剂层的重工方法与图案化工艺
CN102428544A (zh) * 2009-05-20 2012-04-25 株式会社东芝 凹凸图案形成方法
US20120164846A1 (en) * 2010-12-28 2012-06-28 Asm Japan K.K. Method of Forming Metal Oxide Hardmask

Family Cites Families (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0103461B1 (en) 1982-09-10 1988-11-17 Nippon Telegraph And Telephone Corporation Plasma deposition method and apparatus
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6094757A (ja) 1983-10-20 1985-05-27 Fujitsu Ltd 抵抗体
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
US5194398A (en) 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5514908A (en) 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
JPH10508656A (ja) 1994-10-11 1998-08-25 ゲレスト インコーポレーテツド コンフオーマルなチタン系フイルムおよびその製造方法
CN1075243C (zh) 1994-12-28 2001-11-21 松下电器产业株式会社 集成电路用电容元件及其制造方法
US5700519A (en) 1995-01-06 1997-12-23 Sony Corporation Method for producing ultra high purity titanium films
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5994220A (en) 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6054382A (en) 1996-03-28 2000-04-25 Texas Instruments Incorporated Method of improving texture of metal films in semiconductor integrated circuits
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5961791A (en) 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
KR100273989B1 (ko) 1997-11-25 2001-01-15 윤종용 반도체장치의콘택형성방법
WO1999027579A1 (en) 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6093966A (en) 1998-03-20 2000-07-25 Motorola, Inc. Semiconductor device with a copper barrier layer and formation thereof
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6337151B1 (en) 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6350688B1 (en) 2000-08-01 2002-02-26 Taiwan Semiconductor Manufacturing Company Via RC improvement for copper damascene and beyond technology
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6541374B1 (en) 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6566246B1 (en) 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP2004039699A (ja) 2002-06-28 2004-02-05 Fujitsu Ltd 半導体装置及びその製造方法
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6716753B1 (en) 2002-07-29 2004-04-06 Taiwan Semiconductor Manufacturing Company Method for forming a self-passivated copper interconnect structure
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6841044B1 (en) 2002-08-28 2005-01-11 Novellus Systems, Inc. Chemically-enhanced physical vapor deposition
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
CN1777697B (zh) 2003-04-23 2011-06-22 集勒思公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US20050048788A1 (en) * 2003-08-26 2005-03-03 Tang Woody K. Sattayapiwat Methods of reducing or removing micromasking residue prior to metal etch using oxide hardmask
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
KR100599434B1 (ko) 2003-10-20 2006-07-14 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP2005244178A (ja) 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4651955B2 (ja) 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
JP4506951B2 (ja) * 2004-04-23 2010-07-21 セイコーエプソン株式会社 Mfs型電界効果トランジスタ、強誘電体メモリならびに半導体装置
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7651568B2 (en) 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070018214A1 (en) * 2005-07-25 2007-01-25 Micron Technology, Inc. Magnesium titanium oxide films
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
JP2009507752A (ja) 2005-09-09 2009-02-26 ユニバーシティ・オブ・ネバダ・リノ 酸素空孔を有するナノチューブ状チタニア基材の製造及び水の光電気分解におけるそれらの使用
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
WO2007034391A2 (en) 2005-09-23 2007-03-29 Nxp B.V. A method of fabricating a structure for a semiconductor device
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
JP2009141227A (ja) * 2007-12-08 2009-06-25 Tokyo Electron Ltd チタン膜の成膜方法及びチタン膜の成膜装置
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2009091311A1 (en) 2008-01-14 2009-07-23 Nanexa Ab A biocompatible filter member for body fluid dialysis and fabrication and use thereof
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090305506A1 (en) * 2008-06-09 2009-12-10 Joerg Linz Self-aligned dual patterning integration scheme
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US20100048025A1 (en) * 2008-08-25 2010-02-25 Seoul National University Industry Foundation Nanostructures and nanostructure fabrication
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8084310B2 (en) * 2008-10-23 2011-12-27 Applied Materials, Inc. Self-aligned multi-patterning for advanced critical dimension contacts
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP5075897B2 (ja) * 2009-09-25 2012-11-21 株式会社東芝 半導体装置の製造方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
JP4733214B1 (ja) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
JP5909484B2 (ja) 2010-04-28 2016-04-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5743488B2 (ja) * 2010-10-26 2015-07-01 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
KR20200039806A (ko) 2010-11-10 2020-04-16 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8609553B2 (en) 2011-02-07 2013-12-17 Micron Technology, Inc. Methods of forming rutile titanium dioxide and associated methods of forming semiconductor structures
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8298951B1 (en) * 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
US8822137B2 (en) * 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
US20130045908A1 (en) * 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
KR101901320B1 (ko) * 2012-05-22 2018-09-21 삼성전자주식회사 발광소자 및 그 제조방법
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101345191A (zh) * 2007-07-10 2009-01-14 力晶半导体股份有限公司 光致抗蚀剂层的重工方法与图案化工艺
CN102428544A (zh) * 2009-05-20 2012-04-25 株式会社东芝 凹凸图案形成方法
US20120164846A1 (en) * 2010-12-28 2012-06-28 Asm Japan K.K. Method of Forming Metal Oxide Hardmask

Also Published As

Publication number Publication date
US9905423B2 (en) 2018-02-27
KR20220082792A (ko) 2022-06-17
US9390909B2 (en) 2016-07-12
TW201534556A (zh) 2015-09-16
KR20150053253A (ko) 2015-05-15
TWI640469B (zh) 2018-11-11
US20160293418A1 (en) 2016-10-06
KR102407002B1 (ko) 2022-06-08
CN104752199A (zh) 2015-07-01
US20150126042A1 (en) 2015-05-07
TW201840463A (zh) 2018-11-16
KR102551503B1 (ko) 2023-07-05
JP2015111668A (ja) 2015-06-18
US10192742B2 (en) 2019-01-29
TWI675797B (zh) 2019-11-01
US20180158683A1 (en) 2018-06-07

Similar Documents

Publication Publication Date Title
KR102551503B1 (ko) 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
CN109937467B (zh) 用于高模数ALD SiO2间隔物的方法
CN107346745B (zh) 封装方法
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
US9892917B2 (en) Plasma assisted atomic layer deposition of multi-layer films for patterning applications
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
KR102384484B1 (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9685320B2 (en) Methods for depositing silicon oxide
KR20180013745A (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR20160131943A (ko) 박막들의 잔여 응력을 조절하는 방법들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination