KR20150053253A - 진보된 패터닝을 위한 소프트 랜딩 나노적층물들 - Google Patents

진보된 패터닝을 위한 소프트 랜딩 나노적층물들 Download PDF

Info

Publication number
KR20150053253A
KR20150053253A KR1020140154559A KR20140154559A KR20150053253A KR 20150053253 A KR20150053253 A KR 20150053253A KR 1020140154559 A KR1020140154559 A KR 1020140154559A KR 20140154559 A KR20140154559 A KR 20140154559A KR 20150053253 A KR20150053253 A KR 20150053253A
Authority
KR
South Korea
Prior art keywords
layer
semiconductor substrate
nano
plasma
laminate
Prior art date
Application number
KR1020140154559A
Other languages
English (en)
Other versions
KR102407002B1 (ko
Inventor
프랭크 엘. 파스퀄
샹카르 스와미나탄
애드리언 라보이
네이더 샴마
기리쉬 딕싯
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/074,617 external-priority patent/US9287113B2/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20150053253A publication Critical patent/KR20150053253A/ko
Priority to KR1020220068086A priority Critical patent/KR102551503B1/ko
Application granted granted Critical
Publication of KR102407002B1 publication Critical patent/KR102407002B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

진보된 다수의 패터닝 스킴들에서 사용하기 위해 코어층 위에 고품질 컨포멀 막의 증착을 인에이블하도록 코어층 위에 나노적층물 보호층들을 스택하는 방법이 제공된다. 특정한 실시예들에서, 이 방법들은 고 HFRF 전력 플라즈마 전력을 사용하여 저 HFRF (high frequency radio frequency) 플라즈마 전력을 사용하여 컨포멀 산화 티타늄막 또는 스페이서를 증착하는 단계가 이어지는, 플라즈마-기반 원자층 증착 기법들을 사용하여 박막 산화 실리콘막 또는 산화 티타늄막을 증착하는 단계를 수반한다.

Description

진보된 패터닝을 위한 소프트 랜딩 나노적층물들{SOFT LANDING NANOLAMINATES FOR ADVANCED PATTERNING}
진보된 집적 회로들의 제조는 종종 반도체들의 대량 제조시 1x ㎚ 하프-피치 (half-pitch) 피처들의 패터닝을 수반한다. 다중 패터닝 기법들은 193 ㎚ 액침 리소그래피 (immersion lithography) 와 같은 리소그래피 기법들에 기초하여 피처 사이즈 스케일링을 실현할 수도 있다. 자기-정렬 이중 패터닝은 다중 패터닝 기법의 예이다. 11 ㎚ 하프 피치 및 이하로의 다중 패터닝 기법들의 확장은 도전을 제공한다.
본 명세서에 다중 패터닝 집적 스킴들에서 사용하기 위해 고품질 컨포멀 막들 (conformal film) 의 증착을 실현하기 위한 반도체 기판들의 프로세싱 방법들이 제공된다.
본 발명의 일 양태는 반도체 기판 상에 나노적층물층 (nanolaminate layer) 을 증착하는 단계 및 나노적층물층 상에 산화 티타늄층을 증착하는 단계에 의해 반도체 기판을 프로세싱하기 위한 방법을 수반한다. 나노적층물층은 약 15 Å 내지 약 200 Å의 두께를 갖고 산화 티타늄층의 밀도보다 낮은 밀도를 가질 수도 있다. 다양한 실시예들에서, 이 방법은 또한 비정질 탄소층을 증착하는 단계를 포함한다. 일부 실시예들에서, 비정질 탄소층은 패터닝된다. 다양한 실시예들에서, 나노적층물층은 2 이상의 서브층들 (sublayer) 을 포함하는 스택을 포함한다. 일부 실시예들에서, 2 이상의 서브층들은 산화 실리콘, 또는 산화 티타늄, 또는 이들의 조합을 포함한다. 특정한 실시예에서, 스택은 단지 2 개의 서브층들만을 포함한다. 일부 실시예들에서, 나노적층물층은 산화 실리콘의 제 1 서브층 및 산화 티타늄의 제 2 서브층을 포함한다.
다양한 실시예들에서, 나노적층물층은 산화 실리콘 또는 산화 티타늄이다. 특정한 실시예들에서, 나노적층물층은, 반도체 기판을 티타늄-함유 프리커서 또는 실리콘-함유 프리커서에 노출시키는 단계; 반도체 기판을 산화제에 노출시키는 단계; 및 반도체 기판이 산화제에 노출되는 동안 플라즈마를 개시하는 단계에 의한 PEALD (plasma-enhanced atomic layer deposition) 와 같은 플라즈마-기반 프로세스를 사용하여 증착된다. 특정한 실시예들에서, 나노적층물층은 약 50 ℃ 내지 약 150 ℃의 온도에서 증착되고 플라즈마는 약 1.768 × 10-4 W /㎟ 내지 약 1.768 × 10-3 W /㎟의 기판 면적의 제곱 밀리미터 당 HFRF (high frequency radio frequency) 전력으로 개시된다. 특정한 실시예들에서, 나노적층물층은 약 100 ℃ 미만의 온도에서 증착된다. 본 명세서에 개시된 방법들에 사용될 수도 있는 티타늄-함유 프리커서는 TDMAT (tetrakis(dimethylamino)titanium) 이다. 실리콘-함유 프리커서의 예는 BTBAS (bis(tertiarybutylamino)silane (SiH2(NHC(CH3)3)2 이다.
다양한 실시예들에서, 산화 티타늄층은, 반도체 기판을 티타늄-함유 프리커서에 노출시키는 단계; 반도체 기판을 산화제에 노출시키는 단계; 및 반도체 기판이 산화제에 노출되는 동안 적어도 약 1.768 × 10-3 W /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 플라즈마를 개시하는 단계에 의한 PEALD에 의해 증착된다. 산화제들의 예들은 아산화 질소 (nitrous oxide), 산소, 이산화 탄소 또는 이들의 조합을 포함한다. 산화 티타늄층은 약 50 ℃ 내지 약 400 ℃의 온도에서 증착될 수도 있다.
다른 양태는 코어층을 증착하는 단계; 코어층 상에 나노적층물층을 증착하는 단계; 및 나노적층물층 상에 금속 질화물층 또는 금속 산화물층을 증착하는 단계에 의해 반도체 기판을 프로세싱하는 방법을 수반한다. 일부 실시예들에서, 코어층은 패터닝된다. 특정한 실시예들에서, 코어층은 비정질 탄소 또는 포토레지스트일 수도 있다. 다양한 실시예들에서, 나노적층물층은 산화 실리콘 또는 산화 티타늄일 수도 있다. 일부 실시예들에서, 증착된 나노적층물층의 두께는 약 15 Å 내지 약 200 Å이다.
특정한 실시예들에서, 나노적층물층은, 반도체 기판을 티타늄-함유 프리커서 또는 실리콘-함유 프리커서에 노출시키는 단계; 반도체 기판을 산화제에 노출시키는 단계; 및 반도체 기판이 산화제에 노출되는 동안 플라즈마를 개시하는 단계에 의한 PEALD를 사용하여 증착된다. 다양한 실시예들에서, 나노적층물층은 약 50 ℃ 내지 약 150 ℃의 온도에서 증착되고 플라즈마는 약 1.768 × 10-4 /㎟ 내지 약 1.768 × 10-3 /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 개시된다. 일부 실시예들에서, 나노적층물층은 약 100 ℃ 미만의 온도에서 증착된다.
다양한 실시예들에서, 금속 질화물층 또는 금속 산화물층은 산화 티타늄 또는 산화 실리콘을 포함한다. 금속 질화물층 또는 금속 산화물층은 코어에 대한 에칭 선택비 (etch selectivity) 를 가질 수도 있다. 특정한 실시예들에서, 금속 질화물층 또는 금속 산화물층은, 반도체 기판을 금속-함유 프리커서에 노출시키는 단계; 반도체 기판을 산화제에 노출시키는 단계; 및 반도체 기판이 산화제에 노출되는 동안 적어도 약 1.768 × 10-3 W /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 플라즈마를 개시하는 단계에 의한 PEALD에 의해 증착된다. 산화제들의 예들은 아산화 질소, 산소, 이산화 탄소 또는 이들의 조합을 포함한다. 일부 실시예들에서, 금속 질화물층 또는 금속 산화물층은 약 50 ℃ 내지 약 400 ℃의 온도에서 증착될 수도 있다.
다른 양태는 (a) 반도체 기판을 제 1 티타늄-함유 프리커서 또는 실리콘-함유 프리커서에 노출시키는 단계; (b) 반도체 기판을 제 1 산화제에 노출시키는 단계; (c) 반도체 기판이 제 1 산화제에 노출되는 동안 약 1.768 × 10-4 /㎟ 내지 약 1.768 × 10-3 /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 제 1 플라즈마를 개시하는 단계; (d) 반도체 기판을 제 2 티타늄-함유 프리커서에 노출시키는 단계; (e) 반도체 기판을 제 2 산화제에 노출시키는 단계; 및 (f) 반도체 기판이 제 2 산화제에 노출되는 동안 적어도 약 1.768 × 10-3 /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 제 2 플라즈마를 개시하는 단계에 의해 반도체 기판을 프로세싱하는 방법을 수반한다.
일부 실시예들에서, (a) 내지 (c) 는 약 50 ℃ 내지 150 ℃의 온도에서 프로세싱된다. 일부 실시예들에서, (d) 내지 (f) 는 약 50 ℃ 내지 400 ℃의 온도에서 프로세싱된다. 일부 실시예들에서, (d) 내지 (f) 는 (c) 로부터 (d) 로의 천이가 적어도 약 50 ℃, 적어도 약 100 ℃, 적어도 약 150 ℃, 또는 적어도 약 200 ℃만큼 반도체 기판의 온도를 상승시키는 것을 포함하도록 (a) 내지 (c) 의 온도보다 높은 온도에서 프로세싱된다.
제 1 티타늄-함유 프리커서 및 제 2 티타늄-함유 프리커서는 동일한 프리커서 예를 들어, TDMAT일 수도 있다. 일부 실시예들에서, 제 1 산화제는 제 2 산화제 (예를 들어, 아산화 질소, 산소, 이산화 탄소 또는 이들의 조합) 와 동일할 수도 있다. 일부 실시예들에서, 상이한 산화제 또는 혼합물을 사용하는 것이 유리할 수도 있다. 일부 실시예들에서, 반도체 기판은 비정질 탄소를 포함한다. 일부 실시예들에서, 비정질 탄소는 패터닝된다.
다양한 실시예들에서, 이 방법은 또한 제 2 플라즈마를 개시한 후, 비정질 탄소를 노출시키기 위해 반도체 기판을 평탄화하는 단계, 및 마스크를 형성하기 위해 비정질 탄소를 선택적으로 에칭하는 단계를 또한 포함한다.
다른 양태는 코어층 상에 컨포멀 막을 증착하기 전에, 패터닝된 코어층 상에 나노적층물 보호층을 증착하는 단계; 나노적층물 보호층 상에 컨포멀 막을 증착하는 단계; 컨포멀 막을 코어층에 노출시키도록 평탄화하는 단계; 및 마스크를 형성하도록 코어층를 선택적으로 에칭하는 단계에 의해 반도체 기판을 패터닝하는 방법을 수반한다.
특정한 실시예들에서, 코어층은 비정질 탄소를 포함한다. 나노적층물 보호층은 산화 실리콘 또는 산화 티타늄을 포함한다. 특정한 실시예들에서, 나노적층물 보호층의 두께는 약 15 Å 내지 약 200 Å이다. 많은 실시예들에서, 나노적층물 보호층은, 반도체 기판을 티타늄-함유 프리커서 또는 실리콘-함유 프리커서에 노출시키는 단계; 반도체 기판을 산화제에 노출시키는 단계; 및 반도체 기판이 산화제에 노출되는 동안 제 1 플라즈마를 개시하는 단계에 의한 PEALD를 사용하여 증착된다. 다양한 실시예들에서, 나노적층물 보호층은 약 50 ℃ 내지 약 150 ℃의 온도에서 증착되고 제 1 플라즈마는 약 1.768 × 10-4 /㎟ 내지 약 1.768 × 10-3 /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 개시된다.
일부 실시예들에서, 산화 티타늄층은, 반도체 기판을 티타늄-함유 프리커서에 노출시키는 단계; 반도체 기판을 산화제에 노출시키는 단계; 및 반도체 기판이 산화제에 노출되는 동안 적어도 약 1.768 × 10-3 W /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 제 2 플라즈마를 개시하는 단계에 의한 PEALD를 사용하여 증착된다.
다른 양태는 하나 이상의 프로세스 챔버들; 프로세스 챔버들 및 연관된 플로우-제어 하드웨어로의 하나 이상의 가스 유입구들 (gas inlet); HFRF 발생기; 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하는, 반도체 기판을 프로세싱하기 위한 장치를 수반하고, 적어도 하나의 프로세서 및 메모리는 서로 통신가능하게 접속되고, 적어도 하나의 프로세서는 플로우-제어 하드웨어 및 HFRF 발생기와 적어도 동작가능하게 접속되고, 그리고 메모리는, 반도체 기판을 금속-함유 프리커서에 노출시키고; 반도체 기판을 제 1 산화제에 노출시키고; 반도체 기판이 제 1 산화제에 노출되는 동안 약 12.5 W 내지 125 W의 HFRF 전력으로 제 1 플라즈마를 개시하고; 반도체 기판을 티타늄-함유 프리커서에 노출시키고; 반도체 기판을 제 2 산화제에 노출시키고; 그리고 반도체 기판이 제 2 산화제에 노출되는 동안 적어도 약 125 W의 HFRF 전력으로 제 2 플라즈마를 개시하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하게 된다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1 내지 도 11은 개시된 실시예들에 따른 집적 스킴의 개략적인 도면들이다.
도 12 내지 도 18은 개시된 실시예들에 따른 집적 스킴의 개략적인 도면들이다.
도 19는 개시된 실시예들에 따른 방법의 프로세스 흐름도이다.
도 20a 및 도 20b는 개시된 실시예들에 따른 방법들의 프로세스 흐름도들이다.
도 21은 개시된 실시예들에 따른 방법들을 실시하기 위한 반응 챔버의 예시이다.
도 22는 개시된 실시예들에 따른 동작들을 수행하기 위해 사용될 수도 있는 멀티-스테이션 장치의 예시이다.
도 23a 내지 도 23c는 개시된 실시예들에 따른 방법들을 수행하는 실험들로부터 증착된 막들의 이미지들이다.
이하의 기술에서, 제시된 실시예들의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않는다. 개시된 실시예들이 구체적인 실시예와 함께 기술되지만, 이는 개시된 실시예들로 제한하는 것으로 의도되지 않는다.
반도체 디바이스들의 제조는 통상적으로 집적된 제조 프로세스 중에 하나 이상의 박막들을 증착하는 단계를 수반하고 패터닝 단계들을 포함할 수도 있다. 다중 패터닝 기법들은 예를 들어, 보다 작은 피처들 또는 보다 높은 애스팩트 비를 갖거나 2x 또는 1x ㎚ 노드들까지 작아진 진보된 집적 회로들을 제조하는데 사용된다. 용어 "1x" 노드는 10 ㎚ 내지 19 ㎚의 프로세스 노드를 의미하고 용어 "2x" 노드는 20 ㎚ 내지 29 ㎚의 프로세스 노드를 의미한다. 다중 패터닝의 예는 종래의 리소그래피에 의해 형성된 패턴의 피처들의 수의 2배를 생성하는, 자기-정렬 이중 패터닝이다. 디바이스들이 보다 작아짐에 따라, 보다 좁은 하프-피치 피처들은 4중 패터닝 (quadruple patterning) 또는 "4중 패터닝 (quad patterning)" 과 같은 다중 패터닝 기법들을 사용하여 달성될 수도 있다.
4중 패터닝의 일 예는 도 1 내지 도 11에 제공된다. 도 1은 예를 들어 반도체 프로세싱에 적합한 웨이퍼 상의, 멀티-층 스택에 포함될 수도 있는 다수의 층들의 일 예의 개략적인 예시를 제공한다. 도 1의 멀티-층 스택은 하드마스크 (104) 의 상단 상에서, 이전 프로세스에서 리소그래피로 구획될 수도 있는, 제 1 코어들 (제 1 맨드릴들 (mandrel) 이라고도 함) (103) 내에 형성된 제 1 코어층을 포함한다. 하드마스크 (104) 는 자체가 층 (107) 상에 증착된, 제 2 코어 층 (105) 의 상단 상에 있을 수 있다. 층 (107) 은 후속하는 타깃 층 (150) 을 패터닝하기 위한 마스크로서 사용될 수도 있는, 하드마스크 층 (107a), 캡 층 (107b), 및 마스크 층 (107c) 을 포함한다. 배리어 층들, 캡 층들, 또는 에칭 정지층들이 마스크 층 (107c) 과 타깃 층 (150) 사이에 있을 수도 있다. 당업자는 임의의 상기 기술된 임의의 층들 사이에 증착된 하나 이상의 층들이 있을 수도 있고, 타깃 층 (150) 은 하나 이상의 추가 층들을 포함할 수도 있다는 것을 이해할 것이다.
제 1 코어층 (따라서 제 1 코어 (103)) 은 예를 들어, 실리콘 및/또는 실리콘계 산화물들 또는 질화물들과 같은 스택 내의 다른 재료들에 대해 높은 에칭 선택성일 수도 있고, 투명할 수도 있다. 제 1 코어층은 포토레지스트일 수도 있고 또는 비정질 탄소 재료 또는 비정질 실리콘 재료로 이루어질 수도 있다. 일부 실시예들에서, 제 1 코어층은 PECVD (plasma-enhanced chemical vapor deposition) 와 같은 증착 기법에 의해 하드마스크 (104) 상에 증착될 수도 있고, 증착 기법은 하이드로카본 프리커서 (hydrocarbon precursor) 를 포함하는 증착 가스들로부터 증착 챔버 내에서 플라즈마를 생성하는 단계를 수반할 수도 있다. 하이드로카본 프리커서는 화학식 C x H y - ,로 규정될 수도 있고, 여기서, x 2 내지 10의 정수이고, y는 2 내지 24의 정수이다. 예들은 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2Ha), 프로필렌 (C3H6), 부탄 (C4H10), 시클로헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8) 을 포함한다. 고 주파수 (HF) 전력 및 저 주파수 (LF) 전력을 포함하는 듀얼 무선 주파수 (RF) 플라즈마가 사용될 수도 있다. 대안적으로 단일 RF 플라즈마 소스가 사용될 수도 있다. 통상적으로 이러한 소스는 HFRF 소스일 것이다.
제 2 코어 층 (105) 은 제 1 코어 (103) 내로 형성된 제 1 코어층 아래에 있다. 제 1 코어층과 제 2 코어 층 (105) 사이에 하드마스크 (104) 가 있을 수도 있다. 하드마스크 (104) 는 제 1 코어층이 패터닝되는 동안 제 2 코어 층 (105) 을 에칭하지 않도록 제 1 코어층 및 제 2 코어 층 (105) 에 비해 높은 에칭 선택비를 가질 수도 있다. 제 2 코어 층 (105) 은 비정질 탄소 재료 또는 비정질 실리콘 재료로 이루어질 수도 있다. 증착 방법들 및 증착 프리커서들은 상기에 제 1 코어층에 대해 기술된 임의의 방법들 및 프리커서들일 수도 있다. 일단 패터닝되면, 제 2 코어 층 (105) 은 제 2 코어들 (또한 제 2 맨드릴이라고 함) (105') (도 5에 도시됨) 내로 형성되고, 나중에 타깃 층 (150) 을 패터닝하기 위해 사용될 수도 있는 마스크 층 (107c) 과 같은 후속 층 내에서 마스크를 에칭하도록 사용될 수도 있다. 특정한 실시예들에서, 마스크 층 (107c) 은 비정질 탄소 재료 또는 비정질 실리콘 재료로 이루어질 수도 있다. 도 1 내지 도 11에 도시된 바와 같은, 4중 패터닝 스킴들에서, 마스크 층 (107c) 은 포토레지스트 내의 한 피처가 패터닝되고 타깃 층 (150) 내에 4 개의 피처들을 형성하도록 전사 (transfer) 되도록 포토레지스트 패턴의 4중 패턴일 수도 있다.
제 2 코어 층 (105) 과 타깃 층 (150) 사이에 타깃 층 (150) 을 패터닝하기 위해 사용되는 하드마스크 층 (107a), 또는 캡 층 (107b), 또는 마스크 층 (107c) 과 같은 다른 층들이 있을 수도 있다. 타깃 층 (150) 은 결국 패터닝되는 층일 수도 있다. 타깃 층 (150) 은 반도체, 유전체 또는 다른 층일 수도 있고, 예를 들어 실리콘 (Si), 산화 실리콘 (SiO2), 질화 실리콘 (SiN), 또는 질화 티타늄 (TiN) 으로 이뤄질 수도 있다. 타깃 층 (150) 은 원자층 증착 (ALD: atomic layer deposition), PEALD (plasma-enhanced ALD), CVD (chemical vapor deposition), 또는 다른 적합한 증착 기법들로 증착될 수도 있다.
일 예에서, 도 1에 도시된 것과 같은 4중 패터닝의 조성들 및 두께들은 다음과 같을 수도 있다: 모두 실리콘 타깃 층 또는 기판 (150) 상의 400 Å의 비정질 탄소 제 1 코어 (103), 150 Å의 TEOS (tetraethylorthosilicate) 하드마스크 층 (104), 350 Å의 비정질 탄소 제 2 코어 층 (105), 150 Å의 TEOS 하드마스크 층 (107a), 100 Å의 비정질 실리콘 캡 층 (107b), 300 Å의 비정질 탄소 마스크 층 (107c), 200 Å의 질화 티타늄 배리어층 (108a), 및 300 Å의 TEOS 하드마스크 층 (108b). 일 예에서, 도 1의 예에 도시된 바와 같이, 제 1 코어층을 규정하기 위해 리소그래피 패터닝 전에, 400 Å의 비정질 탄소 제 1 코어층의 상단 상에 다음의 순서대로 증착될 수도 있다: 100 Å 내지 150 Å의 SiON 에칭 정지층, 300 Å의 BARC (bottom anti-reflective coating) 층, 및 1000 Å의 포토레지스트 제 1 코어층.
도 2에서, 컨포멀 막 (109) 이 제 1 코어 (103) 위에 증착된다. 컨포멀 막 (109) 은 또한 "스페이서" 라고도 지칭될 수도 있고, 패턴 위에 고르게 분포된 층을 형성하기 위해 멀티-층 스택 상에 패턴의 형상을 따르도록 증착될 수도 있다. 이하에 더 기술되는 바와 같이, 컨포멀 막 (109) 은 각각이 제 1 코어 (103) 의 측벽에 인접한 다수의 개별 스페이서들 내로 형성될 수도 있다. 컨포멀 막 (109) 은 코어에 대해 높은 에칭 선택비를 갖는다. 예를 들어, 컨포멀 막 (109) 은 비정질 탄소층에 비해 3:1보다 큰 에칭 선택비를 가질 수도 있다. 일부 실시예들에서, 컨포멀 막 (109) 은 할로겐화물 에칭 화학 물질 내의 폴리-실리콘에 비해 15:1보다 큰 에칭 선택비를 갖는다.
컨포멀 막 (109) 은 SiO2와 같은 유전체 재료로 이루어질 수도 있다. 컨포멀 막 (109) 은 또한 산화 티타늄 (TiO2) 과 같은 산화물일 수도 있고, 또는 질화 실리콘 (SiN) 일 수도 있다. 일부 실시예들에서, 컨포멀 막 (109) 은 보다 많은 패터닝의 "전달들"을 견디도록 보다 조밀한 재료로 이루어지고, 이하에 간략히 기술되는 바와 같이 ALD, PEALD, 또는 CFD (conformal film deposition) 방법들에 의해 증착될 수도 있다.
ALD 프로세스들은 층 단위 (layer-by-layer basis) 로 막들을 증착하기 위한 표면-매개된 (surface-mediated) 증착 반응들을 사용한다. 일 예시적인 ALD 프로세스에서, 표면 활성 사이트들의 집단을 포함하는 기판 표면이 제 1 막 프리커서 (P1) 의 가스 상 분포에 노출된다. P1의 일부 분자들은 P1의 화학 흡착된 (chemisorbed) 종들 및 물리 흡착된 분자들을 포함하는 반도체 기판 표면 맨 위에 응결된 상 (condensed phase) 을 형성할 수도 있다. 이어서 반응기는 단지 화학 흡착된 종들만 남도록 가스 상 및 물리 흡착된 P1을 제거하도록 배기된다. 이어서 제 2 막 프리커서 (P2) 가 P2의 일부 분자들이 반도체 기판 표면에 흡착하도록 반응기에 도입된다. 반응기는 다시 배기될 수도 있고, 이 때 결합되지 않은 (unbound) P2가 제거된다. 후속하여, 반도체 기판에 제공된 열적 에너지는 막층을 형성하는, P1과 P2의 흡착된 분자들 사이의 표면 반응들을 활성화한다. 마지막으로, 반응기는 반응 부산물 및 가능하면 반응되지 않은 P1 및 P2를 제거하도록 배기되고, ALD 사이클을 종료한다. 막 두께를 구축하기 위해 부가적인 ALD 사이클들이 포함될 수도 있다. PEALD 프로세스의 예에서, 플라즈마는 P1과 P2 사이의 반응을 활성화하기 위해 제 2 막 프리커서 P2가 반응기에 도입되는 동안 개시된다.
CFD는 컨포멀 막 (109) 을 증착하도록 사용될 수도 있다. 일반적으로, CFD는 막을 형성하기 위한 반응 전에 하나 이상의 반응물질들의 완전한 퍼지에 의존하지 않는다. 예를 들어, 플라즈마 (또는 다른 활성화 에너지) 가 점화될 때 증기 상으로 제공된 하나 이상의 반응 물질들이 있을 수도 있다. 따라서, ALD 프로세스에서 기술된 하나 이상의 프로세스 단계들은 예시적인 CFD 프로세스에서 단축되거나 삭제될 수도 있다. 게다가, 일부 실시예들에서, 증착 반응들의 플라즈마 활성화는 열적으로 활성화된 반응들보다 낮은 증착 온도들을 발생할 수도 있고, 잠재적으로 통합 프로세스의 열적 예산을 감소시킬 수도 있다. 맥락에서, CFD의 짧은 기술이 제공된다. CFD 사이클의 개념은 본 명세서의 다양한 실시예들의 논의와 관련된다. ALD 프로세스들에서와 같이, 일반적으로 한 사이클은 표면 증착 반응을 한번 수행하는데 요구되는 최소 동작들의 세트이다. 한 사이클의 결과는 기판 표면 상에 적어도 부분적인 막 층의 생성이다. 통상적으로, CFD 사이클은 반도체 기판 표면으로의 각각의 반응 물질의 전달 및 흡수하고, 이어서 이들 흡수된 반응 물질들이 막의 부분적인 층을 형성하도록 반응하는데 필요한 단계들만을 포함할 것이다. 사이클은 하나 이상의 반응 물질들 또는 부산물들을 스윕핑 (sweep) 하고 및/또는 증착된 부분적인 막을 처리하는 것과 같은 특정한 보조 단계들을 포함할 수도 있다. 일반적으로, 사이클은 동작들의 고유한 시퀀스의 일 예만을 포함한다. 일 예로서, 사이클은 다음의 동작들을 포함할 수도 있다: (i) 반응 물질 A의 전달/흡착, (ii) 반응 물질 B의 전달/흡착, (iii) 반응 챔버 밖으로 B를 스윕핑, 그리고 (iv) 표면 상에 부분적인 막 층을 형성하기 위해 A와 B의 표면 반응을 구동하도록 플라즈마 인가. 본 명세서에서 사용된 바와 같이, 용어 PEALD는 CFD 프로세스들을 포함한다.
이하의 조건들은 CFD 프로세스에 의해 산화 실리콘 컨포멀 막 (109) 을 증착하는데 적합한 조건들의 예들이다. 증착은 약 50 ℃ 내지 약 400 ℃의 온도, 약 0.5 Torr 내지 약 10 Torr의 압력, 및 4 개의 스테이션들에 대해 약 100 W 내지 10 kW의 RF 전력으로 발생할 수도 있다. RF 활성화 주파수는 다양한 실시예들에 대해 약 13.56 ㎒로부터 40 ㎒까지 변할 수 있다. 산화 실리콘 컨포멀 막 (109) 에 대해, 사용될 수도 있는 프로세스 가스들은 불활성 캐리어 가스, 예를 들어 아르곤 또는 질소로 개별적으로 또는 함께 희석되는 실리콘 소스로서, 실리콘 아미드 (예를 들어, BTBAS, BDEAS (bis-di-ethyl aminosilane) 또는 DIPAS (di-isopropyl aminosilane)), 그리고, 산소 소스로서, 산소 또는 아산화 질소 또는 이산화 탄소를 포함한다. 프로세스 가스 플로우 레이트들은 다음과 같을 수도 있다: (액체) 실리콘 프리커서 (예를 들어, BTBAS, BDEAS, 및 DIPAS) 에 대해, 약 1 sccm 내지 3 sccm, 예를 들어 약 2.5 sccm의 BTBAS; 산소 프리커서 (O2 , N2O) 에 대해, 약 5000 sccm 내지 10,000 sccm, 예를 들어 5000 sccm의 N2O; 그리고 캐리어 가스 (Ar 또는 N2) 에 대해, 약 0 sccm 내지 10,000 sccm, 예를 들어 약 5000 sccm의 Ar.
도 3에서, 컨포멀 막 (109) 은 제 1 코어 (103) 를 노출시키기 위해 에치 백 (etch back) 되거나 평탄화된다. 다양한 실시예들에서, 컨포멀 막 (109) 은 다단계 프로세스를 통해 평탄화될 수도 있다. 일 예에서, 컨포멀 막 (109) 은 먼저 약 10 sccm 약 100 sccm의 염소 (Cl2), 이어서 약 10 sccm 내지 약 100 sccm의 메탄 (CH4), 이어서 약 10 sccm 내지 약 100 sccm의 질소 (N2) 를 약 30 초 동안 유동시킴으로써 에치 백될 수도 있다. 일부 실시예들에서, 컨포멀 막 (109) 은 약 30 초의 시간 동안 약 10 ℃ 내지 약 20 ℃의 온도 및 약 2 mTorr 내지 약 20 mTorr의 압력에서 에칭될 수도 있다. 일부 실시예들에서, 반도체 기판은 약 40 ℃ 내지 약 60 ℃의 온도 및 약 5 mTorr 내지 약 100 mTorr의 압력에서 에칭될 수도 있다. 많은 실시예들에서, 코어를 노출시키고 컨포멀 막 (109) 으로부터 스페이서들 (109') 의 구조를 규정하기 위해 이방성 플라즈마 에칭이 수행된다.
도 4에서, 제 1 코어 (103) 는 반도체 기판 상의 독립된 스페이서들 (109') 을 남기면서 스트립 (strip) 되거나 에칭된다. 제 1 코어층이 포토레지스트이면, 제 1 코어 (103) 는 약 100 sccm 내지 약 200 sccm의 플로우 레이트, 약 40 ℃ 내지 약 60 ℃의 온도로 약 5 mTorr 내지 약 20 mTorr의 압력에서 산소 (O2) 를 유동시킴으로써 에칭될 수도 있다.
제 1 코어층이 비정질 탄소 재료로 이루어지면, 제 1 코어 (103) 는 애싱 (ashing) 방법을 사용하여 스트립되거나 에칭될 수도 있다. 애싱 방법은 활성 (energetic) 이온들의 지향성 운동보다는 재료 제거를 위한 화학적 반응에 따를 수도 있다. 예를 들어, 애싱 동작에 사용된 프로세스 가스에 노출된 임의의 표면은 노출로 인한 재료 제거를 경험할 수도 있어서, 제 1 코어 (103) 내에서 사용된 비정질 탄소 재료는 제 1 코어 (103) 가 애싱되는 동안 스페이서들 (109') 이 에칭되지 않도록 스페이서들 (109') 에 대해 높은 에칭 선택비를 가질 수도 있다. 부가적으로, 일부 화학적 에칭 프로세스들과 반대로, 애싱 동작들은 완전히 가스 상인 반응 생성물을 생성할 수도 있다. 탄소 막들에 대한 애싱 동작들은 예를 들어, 이러한 가스 상의 반응 부산물들을 형성하기 위해 탄소 막들과 반응할 수도 있는, 분해된 수소 (H2) 또는 산소 (O2) 를 프로세스 가스로서 활용할 수도 있다. 일부 실시예들에서, 남아 있는 스페이서들 (109') 은 다양한 에칭 조건들을 사용하는 후속 프로세싱을 위해 성형 (shaped) 될 수도 있다.
도 5에서, 제 2 코어 층 (105) 은 마스크로서 스페이서들 (109') 을 사용하여 아래로 에칭되어, 제 2 코어들 (105') 을 형성하기 위한 패턴을 전사한다. 제 2 코어 층 (105) 은 제 2 코어 층 (105) 을 에칭하는데 적합하지만 스페이서들 (109') 은 에칭하지 않는 화학 물질을 사용하여 약 5 mTorr 내지 약 100 mTorr의 압력에서 약 50 ℃ 내지 약 70 ℃의 온도로 에칭될 수도 있다. 따라서 제 2 코어 층 (105) 은 스페이서들 (109') 에 대한 에칭 선택비가 높다. 제 2 코어 층 (105) 은 비정질 탄소층, 또는 비정질 실리콘층일 수도 있다. 제 2 코어 층 (105) 위에 캡 층 (104) 이 있을 수도 있고, 이는 실리콘 반사 방지 코팅, 또는 PECVD 유전체층, 또는 스핀-온-글래스 (spin-on-glass) 일 수도 있다.
도 6에서, 스페이서들 (109') 및 캡 층 (104) 은 패터닝된 제 2 코어들 (105') 을 남기면서, 에칭되거나 그렇지 않으면 제거된다. 일부 실시예들에서, 스페이서들 (109') 은 약 30 초의 시간 동안 약 10 ℃ 내지 약 20 ℃의 온도 및 약 2 mTorr 내지 약 20 mTorr의 압력에서 제거될 수도 있다. 일부 실시예들에서, 반도체 기판은 약 40 ℃ 내지 약 60 ℃의 온도 및 약 5 mTorr 내지 약 100 mTorr의 압력에서 에칭될 수도 있다. 많은 실시예들에서, 이방성 플라즈마 에칭이 수행된다. 일 예에서, 스페이서들 (109') 은 먼저 약 10 sccm 내지 약 100 sccm의 염소 (Cl2), 이어서 약 10 sccm 내지 약 100 sccm의 메탄 (CH4), 이어서 약 10 sccm 내지 약 100 sccm의 질소 (N2) 를 약 30 초 동안 유동시킴으로써 에칭된다.
도 7에서, 제 2 컨포멀 막 (119) 이 패터닝된 제 2 코어들 (105') 위에 증착된다. 많은 실시예들에서, 제 2 컨포멀 막 (119) 은 PEALD 방법들에 의해 증착될 수도 있는, 산화 티타늄의 층일 수도 있다.
도 8에서, 제 2 컨포멀 막 (119) 은 제 2 코어들 (105') 을 노출시키기 위해 에칭되거나 평탄화된다. 조건들 및 방법들은 도 3에 대하여 상기 논의된 임의의 것일 수도 있다.
도 9에서, 제 2 코어들 (105') 은 독립된 제 2 스페이서들 (119') 을 남기면서, 에칭되거나 제거된다. 조건들 및 방법들은 도 4에 대하여 상기 논의된 임의의 것일 수도 있다.
도 10에서, 마스크 층 (107c) 은 마스크로서 제 2 스페이서들 (119') 을 사용하여 아래로 에칭되어, 패터닝된 마스크 (107c') 를 형성하기 위해 제 2 스페이서들 (119') 로부터 패턴을 전사한다. 마스크 층 (107c) 에 대해 제 2 컨포멀 막 (119) 에 대해 높은 에칭 선택비를 가질 수도 있고, 마스크 층 (107c) 의 화학 물질에 따라, 도 5에 대하여 상기 논의된 임의의 방법에 의해 에칭될 수도 있다.
도 11에서, 제 2 스페이서들 (119') 은 패터닝된 마스크 (107c') 를 남기면서, 에칭 정지 층 (107a) 및 캡 층 (107b) 과 함께 제거된다. 제 2 스페이서들 (119') 을 제거하기 위한 조건들 및 방법들은 도 6에 대하여 상기 논의된 임의의 방법일 수도 있다. 이어서 마스크 (107c') 가 타깃 층 (150) 과 같은 후속하는 층들을 패터닝하기 위해 사용될 수도 있다. 도 1 내지 도 11에 도시된 프로세스 단계들의 결과는 하나의 리소그래피적으로 규정된 피처 (도 1의 제 1 코어 (103)와 같은) 가 반도체 기판 상에 4 개의 보다 작은 피처들을 발생하도록 하는 4중-패터닝 스킴이다. 4중-패터닝 스킴들은 현 이중 패터닝 스킴들에 의해서는 달성될 수 없는, 10 ㎚만큼 작은 하프-피치, 또는 10 ㎚ 내지 20 ㎚ 의 하프-피치를 갖는 피처들을 형성하기 위해 사용될 수도 있다.
보다 작은 피처들을 형성하는 특성으로 인해, 제 2 컨포멀 막 (119) 으로 사용된 재료는 후속하는 패터닝 단계들에서 가혹한 조건들에 노출될 때 견고성 (robustness) 을 유지하고 좌굴 (buckling) 을 방지하도록 보다 넓은 코어들의 스페이서들에 사용된 컨포멀 막들에 비해 보다 고품질일 수도 있다. 보다 고품질의 컨포멀 막 재료는 거의 이상적인 화학량론비를 가질 수도 있고, 예를 들어 컨포멀 막이 산화 티타늄이면, 보다 고품질의 산화 티타늄 컨포멀 막이 거의 이상적인 Ti:O 화학량론비, 예를 들어 1:2, 및 저 탄소 함량을 가질 수도 있다. 보다 고품질의 컨포멀 막들은 산화 반쪽-반응 (half-reaction) 으로부터 완전한 변환을 수행할 수도 있는, ALD에 의해 증착될 수도 있어서, 거의 이상적인 화학량론비를 형성한다. 따라서, 그러면 보다 고품질의 컨포멀 막 재료는 저 에칭 레이트 및 선택비를 가질 수도 있고, 또한 산화물들 및 질화물들에 대하여 무한대의 선택비를 가질 수도 있다. 이들 막들은 또한 약 150 MPa보다 큰 보다 높은 모듈러스 (modulus) 를 가질 수도 있고, 이는 스페이서로서, 컨포멀 막의 개선된 기계적 안정성에 기여하여, 임계 치수 균일성 (CDU: critical dimension uniformity) 을 개선한다. 본 명세서에 개시된 보다 고 품질의 컨포멀 막 재료는 또한 후속하는 집적 단계들을 견디도록 조밀화될 수도 있다.
본 명세서에 얇고, 컨포멀 나노적층물 보호층들의 증착 방법들이 제공된다. 스페이서들로서 사용될 수도 있는, 고품질 막들의 형성 방법들이 나노적층물층의 증착을 포함하는 방법들과 함께, 또한 제공된다. 나노적층물층들은 컨포멀 막의 증착 동안 열화로부터 아래에 놓인 코어층을 보호하면서 스페이서 견고성을 개선할 수 있다.
고품질 산화 티타늄과 같은 고품질 막들의 코어층 상의 직접적인 증착은 스페이서의 증착 동안 가혹한 조건들로 인한 코어의 열화 또는 소모를 유발할 수도 있어, 아래에 놓인 패터닝된 코어를 손상시킨다. 예를 들어, 고품질 컨포멀 막의 증착 동안, 패터닝된 코어층은 적어도 약 1000 W의 고 HFRF 전력를 사용하여 N2O/O2 연속 플라즈마로부터 생성되는 것과 같은, 산소 라디칼들에 노출될 수도 있다. 고전력으로 인해, 코어층 상의 라디컬 충격 및 후속하는 코어의 패턴 열화를 증가시키는, 보다 많은 산소 라디컬들이 형성된다. 질화물들은 코어층 위의 캡으로서 사용되면, 이러한 충격을 견딜 수도 있지만, 질화물들은 특정한 코어 재료들에 선택적이지 않기 때문에, 질화물들은 적합하지 않다. 컨포멀 막이 증착된 후, 이어서 반도체 기판은 코어를 노출시키고 코어를 제거하도록 평탄화될 수도 있지만, 코어가 소모되거나 열화되었기 때문에, 스페이서들 간의 결과적인 폭은 줄어들 수도 있고, 반도체 기판 내에서 불규칙한 패턴을 발생시킨다. 코어의 열화를 방지하기 위해 저품질 컨포멀 막들을 사용하기 보다 본 명세서에 개시된 방법들은 고품질 스페이서 재료들을 인에이블한다.
나노적층물 보호층들은 증착 동안 사용된 저 HFRF 전력으로 인해 컨포멀 막보다 덜 조밀할 수도 있다. 이러한 나노적층물 보호층들은 코어를 적절히 보호하는 최소의 두께로 증착될 수도 있다. 나노적층물 보호층을 최소화하는 것은 후속하는 집적을 용이하게 할 수도 있다. 나노적층물 보호층들은 보다 고품질의 컨포멀 막이 그 위에 증착될 수도 있는 정도까지 하부층 (underlying layer) 을 보호할 수도 있다. 그에 따라 코어 소모 및 열화가 감소되고 코어는 고품질 컨포멀 막의 증착 동안 보다 가혹한 조건들을 견딜 수 있다. 후속하는 패터닝 단계들에서, 고품질 컨포멀 막은 다른 가혹한 조건들을 견딜 수 있고 독립된 구조체로서 이의 강한 견고성을 여전히 유지할 수 있다. 나노적층물 보호층들은 또한 스페이서 리닝 (leaning) 을 방지할 수 있고 코어 기하 구조의 무결성을 유지하고 독립된 스페이서 구조체들에 기계적 서포트를 제공함으로써 임계 치수 가변성을 인에이블하여, 반도체 프로세싱에서 보다 낮은 하프-피치에서 보다 미세한 피처들을 형성하는 능력을 향상시킨다.
나노적층물 보호층을 사용하는 방법의 예는 도 1에 대하여 상기 논의된 바와 같은, 리소그래피적으로 규정되거나 패터닝된 제 1 코어층을 갖는 멀티-스택 반도체 기판을 제공함으로써 시작할 수도 있다. 일부 실시예들에서, 나노적층물 보호층은 도 13에 대하여 이하의 실시예들에 기술된 바와 같이 컨포멀 막 (109) 을 증착하기 전에 제 1 코어 (103) 상에 증착될 수도 있다. 다양한 실시예들에서, 컨포멀 막 (109) 은 도 2와 같이 제 1 코어 (103) 위에 증착될 수도 있다. 도 3에 도시된 바와 같이, 컨포멀 막 (109) 이 평탄화된 후, 제 1 코어 (103) 는 도 4에 도시된 바와 같이, 남아 있는 스페이서들 (109') 을 드러내도록 에칭되거나 제거될 수도 있다. 제 2 코어 층 (105) 은 마스크로서 스페이서들 (109') 을 사용하여 아래로 에칭될 수도 있어서 도 5에 도시된 바와 같이, 제 2 코어들 (105') 을 형성하도록 패턴을 전사한다. 스페이서들 (109') 은 도 12에 도시된 바와 같이, 제 2 코어들 (105) 을 드러내도록 제거될 수도 있다. 제 2 코어 층 (105) 은 비정질 탄소층, 또는 비정질 실리콘층일 수도 있다.
도 13에서, 나노적층물 보호층 (111) 은 제 2 코어들 (105') 위에 컨포멀하게 증착될 수도 있다. 나노적층물층 (111) 의 두께들의 예들은 약 15 Å 내지 약 200 Å 두께, 약 15 Å 내지 약 100 Å 두께, 또는 약 15 Å 내지 약 50 Å 두께이다. 도 13에 도시된 두께는 예시를 목적으로 단지 예로서 과장되었다는 것을 주의한다.
일부 실시예들에서, 나노적층물층 (111) 은 2 이상의 서브층들 (미도시) 을 가질 수도 있는 스택을 포함할 수도 있다. 예를 들어, 스택은 2층일 수도 있다. 일부 실시예들에서 서브층들은 동일한 조성을 갖고, 일부 실시예들에서, 서브층들은 상이한 조성들을 갖는다. 일부 실시예들에서, 나노적층물층 (111) 은 단층이다. 일 예에서, 나노적층물층 (111) 은 산화 실리콘의 단층이다. 다른 예에서, 나노적층물층 (111) 은 산화 티타늄의 단층이다. 2층의 나노적층물층 (111) 의 예에서, 상부층은 산화 실리콘이고, 하부층은 산화 티타늄이다. 2층의 나노적층물층 (111) 의 다른 예에서, 상부층은 산화 티타늄이고 하부층은 산화 실리콘이다.
나노적층물층 (111) 은 또한 나노적층물층 (111) 을 증착하기 위해 사용될 수도 있는 보다 낮은 HFRF 전력으로 인해 컨포멀 막 내에 증착된 재료보다 덜 조밀할 수도 있다. 일부 실시예들에서, 나노적층물층 (111) 은 산화 실리콘 (SiO2) 또는 산화 티타늄 (TiO2) 과 같은 ALD 산화물일 수도 있고, "소프트 (soft)" ALD 산화물이라고 지칭된다. 나노적층물층 (111) 의 두께는 패터닝 스킴에 대한 프로세싱 조건들을 구성하고 에칭될 패턴을 결정할 때 고려될 수도 있다. 다양한 실시예들에서, 나노적층물층 (111) 은 CFD (conformal film deposition) 또는 PEALD에 의해 증착된다.
도 14에서, 제 2 컨포멀 막 (129) 은 나노적층물층 (111) 위에 증착된다. 특정한 실시예들에서, 제 2 컨포멀 막 (129) 은 산화 실리콘 (SiO2) 과 같은 산화물들 및 질화 실리콘 (SiN) 과 같은 질화물들에 대하여 무한대의 선택비를 갖는 고 건식 에칭 선택비 및 저 습식 에칭 레이트를 갖는 산화 티타늄층과 같은, 고품질 산화 티타늄 막이다. 예를 들어, 제 2 컨포멀 막 (129) 은 비정질 탄소층에 비해 3:1보다 큰 에칭 선택비를 가질 수도 있다. 일부 실시예들에서, 제 2 컨포멀 막 (129) 은 할로겐화물 에칭 화학 물질 내의 폴리 실리콘층에 비해 15:1보다 큰 에칭 선택비를 갖는다. 일 예에서, 약 15 Å의 두께로 증착된 나노적층물층 (111) 은 약 110 Å의 제 2 컨포멀 막 (129) 의 증착으로부터 제 2 코어들 (105') 을 보호하기에 충분할 수도 있다. 다양한 실시예들에서, 제 2 컨포멀 막 (129) 은 CFD 또는 PEALD에 의해 증착된다. 일부 실시예들에서, 제 2 컨포멀 막 (129) 은 나노적층물층 (111) 보다 조밀하다.
도 15에서, 반도체 기판은 나노적층물층 (111) 측벽들과 함께 제 2 코어들 (105') 을 노출시키고 측벽 제 2 스페이서들 (129') 을 산출하도록 평탄화된다. 평탄화를 위한 조건들은 도 3에 대하여 상기에 기술된 임의의 것일 수도 있다.
도 16에서, 제 2 코어들 (105') 은 에칭되고 제거된다. 조건들 및 방법들은 도 9에 대하여 상기에 논의된 임의의 것일 수도 있다. 일부 실시예들에서, 나노적층물층 (111) 의 작은 부분이 에칭 단계의 특성으로 인해 에칭될 수도 있다. 일부 실시예들에서, 나노적층물층 (111) 은 충분히 에칭 선택적이고 제 2 코어들 (105') 이 제거되는 동안 에칭되지 않는다. 제 2 스페이서들 (129') 은 이의 측벽 상의 박막 나노적층물층 (111) 과 함께 박층의 나노적층물층 (111) 상에 놓일 수 있어서, 이의 안정성을 강화시키고 리닝을 방지할 수도 있다는 것을 주의한다. 더 더욱이, 일부 실시예들에서, 나노적층물 재료는 제 2 코어들 (105') 과 함께 완전히 제거될 수도 있다. 상기에 주의된 바와 같이, 일부 실시예들에서, 나노적층물층 (111) 의 두께 및 제거될 양은 목표된 치수에 도달하기 위한 패터닝 스킴을 계획할 때 고려될 수도 있다. 그러나, 일부 실시예들에서, 나노적층물층 (111) 의 두께는 패터닝된 치수들의 공차 내에 있을 수도 있고, 고려될 필요가 없다.
도 17에서, 마스크 층 (107c) 은 마스크로서 제 2 스페이서들 (129') 을 사용하여 아래로 에칭된다. 보다 고품질의 제 2 스페이서들 (129') 의 증가된 안정성 및 견고성으로 인해, 이 동작은 고 애스팩트 비들을 갖는 미세하고 안정한 피처들을 형성하기 위해 보다 용이하고 보다 효율적으로 완료될 수도 있다.
도 18에서, 나노적층물층 (111) 및 제 2 스페이서들 (129') 은 결과적인 패터닝된 마스크 (107c') 를 드러내도록 에칭되거나 제거된다. 조건들 및 방법들은 도 5에 대하여 상기에 기술된 임의의 것일 수도 있다. 이어서 패터닝된 마스크 (107c') 는 타깃 층 (150) 을 패터닝하기 위해 사용될 수 있는 후속하는 층을 패터닝하도록 사용될 수도 있다. 일부 실시예들에서, 패터닝된 마스크 (107c') 는 타깃 층 (150) 을 패터닝하도록 사용될 수도 있다.
도 19는 다양한 실시예들에 따른 방법의 단계들의 프로세스 흐름도이다. 본 명세서에 기술된 사이클 및 노출 시간들은 사용된 장치 및 플랫폼에 따를 수도 있고 당업자는 이에 따라 사이클 및 노출 시간을 조정할 수도 있다. 동작 1901에서, 기판은 티타늄-함유 프리커서 또는 실리콘-함유 프리커서와 같은 제 1 프리커서에 노출될 수도 있다. 일부 실시예들에서, 반도체 기판은 코어층을 포함한다. 다양한 실시예들에서, 코어층은 비정질 탄소, 비정질 실리콘, 또는 포토레지스트이다. 일부 실시예들에서, 코어층은 리소그래피적으로 규정되거나 패터닝된다. 특정한 실시예에서, 반도체 기판은 패터닝된 비정질 탄소층을 포함한다.
티타늄-함유 프리커서의 예들은 TDMAT (tridimethylaminotitanium), 테트라에톡시티타늄, 테트라키스-디메틸-아미도 티타늄, 티타늄 이소프록사이드, 티타늄 테트라이소프록사이드, 및 티타늄 테트라클로라이드를 포함한다. 실리콘-함유 프리커서는 예를 들어, 실란, 할로실란 또는 아미노실란일 수도 있다.  실란은 수소기 및/또는 탄소기를 함유하지만, 할로겐을 함유하지 않는다. 실란들의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 알릴실란, sec-부틸실란, 덱실실란, 이소아밀실란, t-부틸디실란, 디-t-부틸디실란, 테트라-에틸-오르소-실리케이트 (또한 테트라-에톡시-실란 또는 TEOS) 등과 같은 유기 실란들이다. 할로실란은 적어도 하나의 할로겐 기를 함유하고 수소들 및/또는 탄소기들을 함유하거나 함유하지 않을 수도 있다. 할로실란들의 예들은 요오드실란들, 브로모실란들, 클로로실란들 및 플루오로실란들이다. 할로실란들, 특히 플루오로실란들이 실리콘 재료들을 에칭할 수 있는 반응성 할로겐화물 종들을 형성할 수도 있지만, 본 명세서에 개시된 특정한 실시예들에서, 실리콘-함유 반응 물질은 플라즈마가 점화될 때 제공되지 않는다.  구체적인 클로로실란들은 테트라클로로실란 (SiCl4), 트리클로로실란 (HSiCl3), 디클로로실란 (H2SiCl2), 모노클로로실란 (ClSiH3), 클로로알릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로이소프로필실란, 클로로-sec-부틸실란, t-부틸디메틸클로로실란, 덱실디메틸클로로실란, 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소, 산소, 할로겐 및 탄소를 함유할 수도 있다. 아미노실란들의 예들은 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란 (각각 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 뿐만 아니라 치환된 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란들, 예를 들어, t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, BTBAS (bis(tertiarybutylamino)silane) (SiH2(NHC(CH3)3)2, tert-부틸 실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 다른 예는 트리실릴아민 (N(SiH3)3) 이다.
동작 1901 후에, 증착 챔버는 주입기 퍼지 또는 펌프 배출 (pump away) 단계를 사용하여 스윕핑 페이즈에서 퍼지될 수도 있다. 일반적으로, 스윕핑 페이즈는 반응 챔버로부터 증기 상의 반응 물질을 제거하거나 퍼지하고 통상적으로 이러한 반응 물질의 전달이 완료된 후에만 발생한다. 즉, 스윕핑 페이즈 동안 반응 물질은 더이상 반응 챔버로 전달되지 않는다. 그러나, 반응 물질은 스윕핑 페이즈 동안 반도체 기판 표면 상에 흡착된 채로 남는다. 통상적으로, 스윕핑은 반응 물질이 목표된 레벨로 반도체 기판 표면 상에 흡착된 후 챔버 내의 임의의 잔여 증기 상의 반응 물질을 제거하도록 기능한다. 스윕핑 페이즈는 또한 반도체 기판 표면으로부터 약하게 흡착된 종들 (예를 들어, 특정한 프리커서 리간드들 또는 반응 물질 부산물들) 을 제거할 수도 있다. ALD에서, 스윕핑 페이즈는 2개의 반응 물질들의 가스 상의 상호작용 또는 열, 플라즈마, 또는 표면 반응을 위한 다른 구동력과 하나의 반응 물질의 상호작용을 방지하기 위해 필수적인 것으로 보인다. 일반적으로, 그리고 본 명세서에 달리 명시되지 않는 한, 스윕핑/퍼지 페이즈는 (i) 반응 챔버를 배기, 및/또는 (ii) 반응 챔버를 통해 스윕핑 아웃될 종들을 함유하지 않는 가스를 유동시킴으로써 달성될 수도 있다. (ii) 의 경우, 이러한 가스는 예를 들어, 불활성 가스일 수도 있다.
동작 1903에서, 반도체 기판은 제 2 프리커서 또는 산화제에 노출될 수도 있다. 일부 실시예들에서, 산화제는 아산화 질소 (N2O) 또는 산소 (O2) 또는 이산화 탄소 (CO2) 또는 혼합물 또는 이들의 조합이다. 일부 실시예들에서, 산화제는 N2O, CO, CO2, NO, NO2, SO, SO2, CxHyOz 및/또는 H2O와 같은 약한 산화제와 산소 (O2)의 혼합물일 수도 있다. 다른 구현예들에서, 산화 반응 물질은 전체적으로 약한 산화제일 수도 있다. 대안적으로, 산화 반응 물질은 O3를 포함할 수도 있다. 일부 실시예들에서, 산화 반응 물질은 약 0 내지 50 % O2 및 약 50 내지 100 %의 약한 산화제이다.
일부 경우들에서, 반응 물질들 중 하나는 연속적으로 전달될 수도 있다 (예를 들어, 심지어 다른 반응 물질들의 전달 동안 및/또는 플라즈마 노출 동안에도). 예를 들어, 산화 반응 물질은 연속적으로 전달될 수도 있다. 연속적으로 유동하는 반응 물질은 아르곤과 같은 캐리어 가스와 함께 반응 챔버로 전달될 수도 있다. 일부 경우들에서, 연속적으로 유동하는 반응 물질들의 반응 챔버로의 전달은 우회 밸브/유입구 밸브 토글링을 사용함으로써 제어된다. 가스 플로우 변화들은 우회되거나 같이 유동될 수도 있다. 일 예에서, 연속적으로 유동하는 반응 물질은 특정한 기간들에만 반응 챔버로 전달되도록 반응 챔버로부터 주기적으로 우회된다. 연속적으로 유동하는 가스는 적절한 밸브들을 사용하여 유출구/덤프로 우회될 수도 있다. 예를 들어, 산화 반응 물질은 연속적으로 유동할 수도 있지만, 단지 주기적으로 반응 챔버로 전달될 수도 있다. 산화 반응 물질이 반응 챔버로 전달되지 않을 때, 이는 유출구, 재생 시스템, 등으로 우회될 수도 있다.
동작 1905에서, 플라즈마는 반도체 기판이 산화제에 노출되는 동안 저 HFRF 전력으로 개시될 수도 있다. 일부 실시예들에서, 웨이퍼의 면적 당 저 HFRF 전력은 약 1.768 × 10-4 W /㎟ 내지 약 1.768 × 10-3 W /㎟일 수도 있고, 여기서 ㎟는 웨이퍼의 표면 면적에 대한 단위를 나타낸다. 플라즈마 전력은 웨이퍼 표면 면적과 선형으로 스케일링될 수도 있다. 예를 들어, 저 HFRF 전력은 300 ㎜의 웨이퍼에 대해 스테이션 당 약 12.5 W 내지 약 125 W, 또는 450 ㎜의 웨이퍼에 대해 약 28 W 내지 약 280 W일 수도 있다. 증착 온도는 약 50 ℃ 내지 약 150 ℃일 수도 있다. 동작 1905 후에, 증착 챔버는 다시 퍼지될 수도 있다. 이들 단계들은 목표된 두께의 막이 증착될 때까지 반복될 수도 있다.
일부 실시예들에서, 동작 1905는 300 mm의 기판을 산화제에 노출시키는 동안 4-스테이션 툴의 약 50 W 내지 약 500 W의 HFRF 전력을 사용하여, 약 100 ℃보다 낮은 온도와 같은 저온에서 발생할 수도 있고, 이 전력은 4-스테이션 툴에 인가된 총 전력이다.
동작 1907에서, 반도체 기판은 티타늄-함유 프리커서에 노출될 수도 있다. 티타늄-함유 프리커서들의 예들은 동작 1901에 대하여 기술된 임의의 것일 수도 있다. 예로서, 반도체 기판은 약 2 초 동안 TDMAT에 노출될 수도 있고, 이는 "도즈 (dose)"로서 기술될 수 있다. 동작 1907 후에, 증착 챔버는 주입기 퍼지 또는 펌프 배출 단계를 사용하여 퍼지될 수도 있다. 예로서, 퍼지는 약 10 초간 지속될 수도 있다.
동작 1909에서, 반도체 기판은 다른 프리커서 또는 산화제에 노출될 수도 있다. 많은 실시예들에서, 산화제는 아산화 질소 (N2O) 또는 산소 (O2) 또는 이산화 탄소 (CO2) 또는 이들의 혼합물이다. 예로서, 동작 1909는 처음 25 초 동안 산화제는 유동되지 않고, 캐리어 가스 또는 불활성 가스만 유동되고, 산화제는 25 초가 지난 후에 유동하기 시작하고 다음 동작 동안 유지되도록 약 30 초일 수도 있다. 캐리어 가스들의 예들은 아르곤 (Ar) 및 질소 (N2). 이들 캐리어 가스들의 플로우 레이트는 약 0 sccm 내지 약 10,000 sccm일 수도 있다.
동작 1911에서, 플라즈마는 반도체 기판이 산화제에 노출되는 동안 고 HFRF 전력으로 개시될 수도 있다. 많은 실시예들에서, HFRF 전력은 300 ㎜의 웨이퍼에 대해 스테이션 당 약 125 W 내지 약 1500 W일 수도 있다. 예로서, HFRF 전력은 스테이션 당 약 625 W일 수도 있다. 플라즈마는 약 0.25 초 내지 약 3 초, 또는 약 0.5 초의 시간에 개시될 수도 있다. 동작들 (1907 내지 1911) 은 약 50 ℃ 내지 약 400 ℃, 또는 약 50 ℃ 내지 200 ℃, 또는 약 150 ℃의 온도 및 약 3 Torr 내지 약 3.5 Torr의 압력에서 발생할 수도 있다. 동작 1911 후에, 플라즈마는 턴 오프될 수도 있고 챔버는 베이스로 퍼지되거나 펌프될 수도 있다. 동작들 (1901, 1903, 1905, 1907, 1909, 및 1911) 후에 사용하기 위한 예시적인 퍼지 가스들은 아르곤 (Ar) 또는 질소 (N2) 또는 임의의 다른 적합한 퍼지 가스일 수도 있다. 일부 실시예들에서, 동작들 (1901 내지 1905) 에서 증착된 막의 밀도는 동작들 (1907 내지 1911) 에서 증착된 막보다 덜 조밀할 수도 있다.
다양한 실시예들에 따라, 동작들 (1901 내지 1905) 은 동작들 (1907 내지 1911) 보다 낮은 온도, 및/또는 보다 낮은 RF 전력, 및/또는 보다 짧은 RF 시간, 및/또는 보다 낮은 압력에서, 및/또는 보다 약한 산화제를 사용하여 수행될 수도 있다. 이들 증착 조건들은 동작가능한 디바이스를 생성하는 동안 아래에 놓인 기판에 대한 대미지를 방지하는 것을 도울 수도 있다.
도 20a는 다양한 실시예들에 따라 나노적층물층들을 사용하는 방법의 프로세스 흐름도를 제공한다. 동작 2001에서, 코어층은 도 1에 대하여 기술된 것과 같이 증착될 수도 있다. 코어층은 비정질 탄소층, 또는 비정질 실리콘층일 수도 있고 또는 포토레지스트일 수도 있다. 일부 실시예들에서, 코어층이 패터닝된다. 동작 2003에서, 나노적층물층이 코어층 상에 적층된다. 나노적층물층은 CFD 또는 PEALD 방법들에 의해 증착될 수도 있다. 나노적층물층은 상기 도 19에 대한 동작들 (1901 내지 1905) 을 사용하여 증착될 수도 있다. 일부 실시예들에서 나노적층물층은 산화 실리콘 (SiO2) 또는 산화 티타늄 (TiO2) 일 수도 있다. 증착된 나노적층물층의 두께는 약 15 Å 내지 약 200 Å 또는 약 15 Å 내지 약 100 Å일 수도 있다. 동작 2005에서, 금속 질화물층 또는 금속 산화물층은 나노적층물층 상에 증착될 수도 있다. 금속 질화물층 또는 금속 산화물층은 상기 도 19에 대한 동작 (1907) 내지 동작 (1911) 을 사용하여 증착될 수도 있다. 일부 실시예들에서, 금속 질화물층 또는 금속 산화물층은 산화 실리콘 또는 산화 티타늄층이다. 일부 실시예들에서, 금속 질화물층 또는 금속 산화물층은 고품질 산화 티타늄층이다. 다양한 실시예들에서, 금속 질화물층 또는 금속 산화물층은 코어에 대해 고 에칭 선택비를 갖는다. 많은 실시예들에서, 금속 질화물층 또는 금속 산화물층은 나노적층물층보다 조밀하다.
도 20b는 다양한 실시예들에 따라 나노적층물층을 사용하는 방법의 예의 프로세스 흐름도이다. 동작 2011에서, 박막 나노적층물층이 기판 상에 증착된다. 나노적층물층은 도 13 및 도 20a에 대하여 상기 논의된 임의의 것일 수도 있다. 나노적층물층은 상기 도 19에 대한 동작들 (1901 내지 1905) 을 사용하여 증착될 수도 있다. 동작 2013에서, 산화 티타늄층 나노적층물층 상에 증착될 수도 있다. 나노적층물층 상에 증착된 산화 티타늄층의 예는 도 14에 대하여 상기에 기술되었다. 산화 티타늄층은 상기 도 19에 대한 동작 (1907) 내지 동작 (1911) 을 사용하여 증착될 수도 있다.
장치
본 명세서에 제공된 증착 기법들은 플라즈마 PECVD (plasma enhanced chemical vapor deposition) 반응기 또는 CFD (conformal film deposition) 반응기 내에서 구현될 수도 있다. 이러한 반응기는 많은 형태들을 취할 수도 있고, 각각이 하나 이상의 웨이퍼들을 하우징할 수 있고 다양한 웨이퍼 프로세싱 동작들을 수행하도록 구성될 수도 있는, 하나 이상의 챔버들 또는 "반응기들" (때때로 다수의 스테이션들을 포함하는) 을 포함하는 장치의 일부일 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들 내에 웨이퍼를 유지할 수도 있다 (그 위치 내에서의 운동, 예를 들어, 회전, 바이브레이션, 또는 다른 교반 (agitation) 과 함께 또는 없이). 일 구현예에서, 막 증착을 겪는 웨이퍼는 프로세스 동안 반응기 챔버 내에서 하나의 스테이션으로부터 다른 스테이션으로 이송될 수도 있다. 다른 구현예들에서, 웨이퍼는 에칭 동작들 또는 리소그래피 동작들과 같은, 상이한 동작들을 수행하도록 장치 내에서 챔버로부터 챔버로 이송될 수도 있다. 완전한 막 증착은 단일 스테이션 또는 임의의 증착 단계 동안 총 막 두께의 임의의 단편 전체에서 발생할 수도 있다. 프로세스 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척, 및/또는 ㄷ다른 웨이퍼-홀딩 장치에 의해 제자리에 홀딩될 수도 있다. 웨이퍼가 가열되는 특정한 동작들 동안, 장치는 히팅 플레이트와 같은 히터를 포함할 수도 있다. 캘리포니아, 프레몬트의 Lam Research Corp.에 의해 생산된 VectorTM (예를 들어, C3 Vector) 또는 SequelTM (예를 들어, C2 Sequel) 반응기는 모두 본 명세서에 기술된 기법들을 구현하는데 사용될 수도 있는 적합한 반응기들이다.
도 21은 본 명세서에서 기술된 방법들을 구현하기 위해 배열된 다양한 반응기 컴포넌트들을 도시하는 단순한 블록도를 제공한다. 도시된 바와 같이, 반응기 (2100) 는 반응기의 다른 컴포넌트들을 둘러싸고 접지된 히터 블록 (2120) 과 함께 동작하는 샤워헤드 (2114) 를 포함하는 용량-방전 (capacitive-discharge) 타입 시스템에 의해 생성된 플라즈마를 포함하도록 기능하는 프로세스 챔버 (2124) 를 포함한다. 고 주파수 (HF) RF 발생기 (2104) 및 저 주파수 (LF) RF 발생기 (2102) 는 매칭 네트워크 (2106) 및 샤워헤드 (2114) 에 접속될 수도 있다. 매칭 네트워크 (2106) 에 의해 공급된 전력 및 주파수는 프로세스 챔버 (2124) 에 공급된 프로세스 가스들로부터 플라즈마를 생성하기에 충분하다. 예를 들어, 매칭 네트워크 (2106) 는 50 W 내지 500 W의 HFRF 전력을 제공할 수도 있다. 일부 예들에서, 매칭 네트워크 (2106) 는 100 W 내지 5000 W의 HFRF 전력 및 100 W 내지 5000 W의 LFRF 전력의 총 에너지를 제공할 수도 있다. 통상적인 프로세스에서, HFRF 전력 컴포넌트는 일반적으로 5 ㎒ 내지 60 ㎒, 예를 들어, 13.56 ㎒일 수도 있다. LF 컴포넌트가 있는 동작들에서, LF 컴포넌트는 약 100 ㎑ 내지 2 ㎒, 예를 들어, 430 ㎑일 수도 있다.
반응기 내에서, 웨이퍼 페데스탈 (2118) 은 기판 (2116) 을 지지할 수도 있다. 웨이퍼 페데스탈 (2118) 은 증착 및/또는 플라즈마 처리 반응들 동안 그리고 그 사이에 반도체 기판을 홀딩하고 이송하기 위해 척, 포크 또는 리프트 핀들 (미도시) 을 포함할 수도 있다. 척은 정전 척, 기계적 척, 또는 산업 및/또는 연구를 위한 사용에 이용가능한 다양한 다른 타입들의 척일 수도 있다.
다양한 프로세스 가스들은 유입구 (2112) 를 통해 도입될 수도 있다. 다수의 소스 가스 라인들 (2110) 이 매니폴드 (2108) 에 연결된다. 가스들은 미리 혼합될 수도 있고 되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들은 정확한 프로세스 가스들이 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 전달되는 것을 보장하도록 채용될 수도 있다. 화학적 프리커서(들)가 액체 형태로 전달되는 경우, 액체 유량 제어 메커니즘들이 채용될 수도 있다. 이어서 이러한 액체들은 증기화되고 증착 챔버에 도달하기 전에 액체 형태로 공급된 화학적 프리커서의 기화점 (vaporization point) 보다 높게 가열된 매니폴드 내에서의 운송 동안 프로세스 가스들과 혼합된다.
프로세스 가스들은 유출구 (2122) 를 통해 챔버 (2124) 를 나갈 수도 있다. 예를 들어, 1 또는 2 단계 기계적 드라이 펌프 및/또는 터보분자 펌프 (2140)와 같은, 진공 펌프가 프로세스 챔버 (2124) 밖으로 프로세스 가스들을 유출하고 프로세스 챔버 (2124) 내에서 쓰로틀 밸브 또는 펜들럼 밸브와 같은 폐루프-제어된 플로우 제한 디바이스를 사용하여 적합하게 낮은 압력으로 유지하기 위해 사용될 수도 있다.
상기에 논의된 바와 같이, 본 명세서에서 논의된 증착을 위한 기법은 멀티-스테이션 또는 단일 스테이션 툴 상에서 구현될 수도 있다. 구체적인 구현예들에서, 4-스테이션 증착 스킴을 갖는 300 ㎜ Lam VectorTM 툴 또는 6-스테이션 증착 스킴을 갖는 200 ㎜ SequelTM 툴이 사용될 수도 있다. 일부 구현예들에서, 450 ㎜ 웨이퍼들을 프로세싱하기 위한 툴들이 사용될 수도 있다. 다양한 구현예들에서, 웨이퍼들은 매 증착 및/또는 증착 후 플라즈마 처리 후에 인덱싱 (indexed) 될 수도 있고, 또는 에칭 챔버들 또는 스테이션들이 동일한 툴의 일부이면, 에칭 단계들 후에 인덱싱될 수도 있고, 또는 다중 증착들 및 처리들이 웨이퍼를 인덱싱하기 전에 단일 스테이션에서 수행될 수도 있다.
일부 실시예들에서, 본 명세서에 기술된 기법들을 수행하도록 구성된 장치가 제공될 수도 있다. 적합한 장치는 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기 (2130) 뿐만 아니라 다양한 프로세스 동작들을 수행하기 위한 하드웨어를 포함할 수도 있다. 시스템 제어기 (2130) 는 통상적으로 하나 이상의 메모리 디바이스들 및 예를 들어, 밸브, RF 발생기들, 웨이퍼 처리 시스템들, 등의 다양한 프로세스 제어 장비와 통신가능하게 연결되고, 장치가 개시된 실시예들에 따른 기법 예를 들어, 도 19의 증착 단계들에서 제공된 것과 같은 기법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 수도 있다. 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능한 매체는 시스템 제어기 (2130) 에 커플링될 수도 있다. 제어기 (2130) 는 본 명세서에 개시된 바와 같은 증착 동작들과 연관된 다양한 프로세스 파라미터들의 제어를 용이하게 하기 위해 예를 들어, 질량 유량 제어기들, 밸브들, RF 발생기들, 진공 펌프들, 등의 다양한 하드웨어 디바이스들과 통신가능하게 연결될 수도 있다.
일부 실시예들에서, 시스템 제어기 (2130) 는 반응기 (2100) 의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (2130) 는 대용량 저장 디바이스에 저장되고, 메모리 디바이스로 로딩되고, 프로세서 상에서 실행되는 시스템 제어 소프트웨어를 실행할 수도 있다. 시스템 제어 소프트웨어는 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 반도체 기판 페데스탈, 척 및/또는 서셉터 위치, 및 반응기 장치 (2100) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들뿐만 아니라 가스 플로우들의 타이밍, 웨이퍼 이동, RF 발생기 활성화, 등을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
시스템 제어기 (2130) 는 통상적으로 하나 이상의 메모리 디바이스들 및 장치가 본 개시에 따라 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함한다. 개시된 실시예들에 따라 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (2130) 에 커플링될 수도 있다.
본 명세서에 기술된 방법 및 장치는 반도체 디바이스들, 디스플레이, LED들, 광전 패널 등의 제조 또는 제작을 위해 이하에 기술된 바와 같은 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴들을 사용하여 수행되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여 워크피스, 즉, 개시된 실시예들에 제공된 바와 같은 기판 또는 다층 스택 상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 동작, (4) 습윤 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 동작, (5) 이하에 개시된 바와 같은 건식 또는 플라즈마 보조 에칭 툴을 사용하여 레지스트 패턴을 비정질 탄소층과 같은, 그 아래의 막 또는 워크피스에 전사하는 동작 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 동작을 포함할 수 있다. 일 구현예에서, 웨이퍼 상의 하나 이상의 갭 피처들이 본 명세서에 개시된 바와 같은 기법을 사용하여 탄소막으로 충진된다. 이어서 예를 들어, 본 명세서에 기술된 목적들 중 하나를 위해 탄소막이 사용될 수도 있다. 게다가 구현예는 상기 기술된 단계들 (1) 내지 (6) 중 하나 이상을 포함할 수도 있다.
하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 22는 하나 또는 양자가 원격 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (2202) 및 아웃바운드 로드록 (2204) 을 갖는 멀티-스테이션 프로세싱 툴 (2200) 의 실시예의 개략도이다. 대기압에서, 로봇 (2206) 은 대기 포트 (atmospheric port) (2210) 를 통해 인바운드 로드록 (2202) 으로 로딩된 카세트로부터 포드 (2208) 를 통해 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 로봇 (2206) 에 의해 인바운드 로드록 (2202) 내의 페데스탈 (2212) 상에 위치되고, 대기 포트 (2210) 는 닫히고, 로드록은 펌프다운된다. 인바운드 로드록 (2202) 이 원격 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (2214) 내에 도입되기 전에 로드록 내의 원격 플라즈마 처리에 노출될 수도 있다. 게다가, 웨이퍼는 또한 예를 들어, 수분 및 흡수된 가스들을 제거하기 위해, 인바운드 로드록 (2202) 내에서 히팅될 수도 있다. 다음에, 챔버 이동 포트 (2216) 가 프로세싱 챔버 (2214) 에 대해 개방되고, 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제1 스테이션의 페데스탈 상의 반응기 내에 웨이퍼를 위치시킨다. 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션에 웨이퍼가 직접적으로 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (2214) 는 도 22에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 히팅된 페데스탈 (스테이션 1에 대해 2218로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 다수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 CFD 프로세스 모드와 PECVD 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (2214) 는 CFD 프로세스 스테이션과 PECVD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (2214) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 갖는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 22는 또한 프로세싱 챔버 (2214) 내에서 웨이퍼들의 이송을 위한 웨이퍼 처리 시스템 (2290) 의 일 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 처리 시스템 (2290) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 처리 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 및 웨이퍼 처리 로봇들을 포함한다. 도 22는 또한 프로세스 툴 (2200) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (2250) 의 일 실시예를 도시한다. 시스템 제어기 (2250) 는 하나 이상의 메모리 디바이스들 (2256), 하나 이상의 대용량 저장 디바이스들 (2254), 및 하나 이상의 프로세서들 (2252) 을 포함할 수도 있다. 프로세서 (2252) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어 보드들, 등을 가질 수도 있다.
일부 실시예들에서, 시스템 제어기 (2250) 는 프로세스 툴 (2200) 의 모든 액티비티들을 제어한다. 시스템 제어기 (2250) 는 대용량 저장 디바이스 (2254) 에 저장되고, 메모리 디바이스 (2256) 로 로딩되고, 프로세서 (2252) 상에서 실행되는 시스템 제어 소프트웨어 (2258) 를 실행한다. 대안적으로, 제어 로직은 제어기 (2250) 내에서 하드코딩될 수도 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGA, field-programmable gate arrays) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용되면, 대신 기능적으로 비교가능한 하드코딩된 로직이 사용될 수도 있다. 시스템 제어 소프트웨어 (2258) 는 타이밍, 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (2200) 에서 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (2258) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (2258) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (2258) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, CFD 프로세스의 단계 각각은 시스템 제어기 (2250) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. CFD 프로세스 단계에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 CFD 레시피 단계에 포함될 수도 있다. 일부 실시예들에서, CFD 프로세스 단계를 위한 모든 인스트럭션들이 프로세스 단계와 동시에 실행되도록 CFD 레시피 단계들이 연속적으로 배열될 수도 있다.
시스템 제어기 (2250) 와 연관된 대용량 저장 디바이스 (2254) 및/또는 메모리 디바이스 (2256) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채택될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (2218) 상에 기판을 로딩하고 반도체 기판과 프로세스 툴 (2200) 의 다른 부분들 사이의 공간을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택적으로 하나 이상의 프로세스 스테이션들로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 제어기는 코어층 상에 나노적층물 보호층을 증착하고, 보호층 위에 컨포멀 층을 증착하기 위한 인스트럭션들을 포함한다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 제어기는 코어층 상에 나노적층물 보호층을 증착하고, 보호층 위에 컨포멀 층을 증착하기 위한 인스트럭션들을 포함한다.
히터 제어 프로그램은 반도체 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 반도체 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 특정한 구현예들에서, 제어기는 제 1 온도에서 나노적층물 보호층, 그리고 제 2 온도에서 보호층 위에 컨포멀 층을 증착하기 위한 인스트럭션들을 포함하고, 제 2 온도는 제 1 온도보다 높다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 RF 전력 레벨들 및 노출 시간들을 설정하기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 제어기는 제 1 RF 전력 레벨 및 RF 지속기간에서 나노적층물 보호층을 증착하고, 제 2 RF 전력 레벨 및 RF 지속기간에서 보호층 위에 컨포멀 층을 증착하기 위한 인스트럭션들을 포함한다. 제 2 RF 전력 레벨 및/또는 제 2 RF 지속기간은 제 1 RF 전력 레벨/지속기간보다 높고/길 수도 있다.
일부 실시예들에서, 시스템 제어기 (2250) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (2250) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (2250) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (2200) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (2250) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 장치가 개시된 실시예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능, 비일시적인 매체는 시스템 제어기와 커플링될 수도 있다.
실험
실험 1
보호되지 않은 코어들 상에 증착된 스페이서 또는 컴포멀 막들과 나노적층물 보호층에 의해 보호된 코어들 상에 증착된 스페이서 또는 컴포멀 막들을 비교하기 위한 실험들이 수행된다. 제 1 시험에서, 비정질 탄소로 이루어진 패터닝된 코어층을 갖는 기판이 제공된다. 고품질 산화 티타늄이 PEALD (plasma enhanced atomic layer deposition) 또는 CFD (conformal film deposition) 방법에 의해 코어층 상에 직접 증착된다. 챔버 압력은 약 3 Torr이고 온도는 약 150 ℃이다. 반도체 기판은 제 1 프리커서, TDMAT에 2 초 동안 노출되고, 10 초 퍼지가 이어진다. 이어서 반도체 기판은 30 초 노출시 산화제들의 혼합물 N2O/O2에 노출되고, 여기서 처음 25 초는 캐리어 가스 N2 (최대 9500 sccm의 플로우 레이트) 만을 유동시키고 마지막 5 초에서 N2O/O2 플로우가 턴 온되고 플라즈마가 0.5 초동안 개시될 때까지 유지된다. 플라즈마는 스테이션 당 625 W, 또는 4 개의 스테이션들에 대해 2500 W의 HFRF (high frequency radio frequency) 전력으로 개시된다. N2O/O2 플로우 및 플라즈마는 0.5 초 플라즈마 노출 후에 동시에 턴오프되고, 마지막으로 챔버는 챔버를 퍼지하기 위해 베이스로 펌프된다. 증착된 컨포멀 막 및 아래에 놓인 코어층의 이미지가 도 23a에 도시된다. 열화된 코어층 (2304a) 이 산화 티타늄 (TiO2) 컨포멀 막층 (2302a) 아래에 도시된다.
제 2 시험에서, 비정질 탄소로 이루어진 패터닝된 코어층을 갖는 기판이 또한 제공된다. 산화 실리콘 (SiO2) 나노적층물 보호층이 PEALD에 의해 코어층 상에 증착된다. 증착 챔버 온도는 50 ℃이고 챔버의 압력 1.8 Torr이다. 반도체 기판이 실리콘-함유 프리커서에 0.2 초간 노출되고, 0.2 초 퍼지가 이어진다. 이어서 반도체 기판은 0.3 초 노출시 산화제들의 혼합물 N2O/O2 에 노출된다. 플라즈마는 4-스테이션 챔버에 대해 1000 W에서, 또는 스테이션 당 약 500 W에서 개시된다. N2O/O2 플로우 및 플라즈마는 턴 오프되고 챔버는 퍼지된다. 후속하여, 반도체 기판은 TDMAT에 2 초 동안 노출되고, 10 초 퍼지가 이어진다. 퍼지에 이어서, 반도체 기판은 혼합물이 마지막 5초 동안만 유동되고 30 초 후에, 스테이션 당 625 W, 또는 4 개의 스테이션들에 대해 2500 W로 플라즈마가 0.5 초 동안 개시되는 동안 0.5 초 동안 유동을 계속하도록 30 초 노출시 산화제들 N2O/O2 에 노출된다. 플라즈마 및 산화제들 N2O/O2 모두의 플로우가 턴 오프된 후, 챔버는 다시 배기된다. 증착된 컨포멀 막, 나노적층물층, 및 아래에 놓인 코어층의 이미지가 도 23b에 도시된다. 도시된 바와 같이, 증착된 컨포멀 막 (2302b) 은 너무 박막이어서 인접한 컨포멀 막 및 코어층으로부터 구별이 안되는 나노적층물층 (2306) 의 상단에 증착된다. 도 23a와 비교하여, 코어 맨드릴 (2304b) 은 매우 적게 열화 또는 소모된다는 것을 주의한다.
제 3 시험에서, 비정질 탄소로 이루어진 패터닝된 코어층을 갖는 기판이 또한 제공된다. 산화 티타늄 (TiO2) 나노적층물 보호층이 PEALD에 의해 코어층 상에 증착된다. 증착 챔버 온도는 150 ℃이고 챔버 압력은 3.0 Torr이다. 반도체 기판은 TDMAT에 2 초 동안 노출되고, 10 초 퍼지가 이어진다. 이어서 반도체 기판은 혼합물이 마지막 5초 동안만 유동되고 30 초 후에, 스테이션 당 약 500 W, 또는 4 개의 스테이션들에 대해 1000 W로 플라즈마가 0.5 초 동안 개시되는 동안 0.5 초 동안 유동을 계속하도록 30 초 노출 시 산화제들의 혼합물 N2O/O2 에 노출된다. N2O/O2 플로우 및 플라즈마는 턴 오프되고 챔버는 퍼지된다. 후속하여, 반도체 기판은 TDMAT에 2 초 동안 노출되고, 10 초 퍼지가 이어진다. 퍼지에 이어서, 반도체 기판은 혼합물이 마지막 5초 동안만 유동되고 30 초 후에, 스테이션 당 625 W, 또는 4 개의 스테이션들에 대해 2500 W로 플라즈마가 0.5 초 동안 개시되는 동안 0.5 초 동안 유동을 계속하도록 30 초 노출시 산화제들 N2O/O2 에 노출된다. 플라즈마 및 산화제들 N2O/O2 모두의 플로우가 턴 오프된 후, 챔버는 다시 배기된다. 증착된 컨포멀 막, 나노적층물층, 및 아래에 놓인 코어층의 이미지가 도 23c에 도시된다. 도시된 바와 같이, 증착된 컨포멀 막 (2302c) 은 너무 박막이어서 인접한 컨포멀 막 및 코어층으로부터 구별이 안되는 나노적층물층 (2308) 의 상단에 증착된다. 코어 맨드릴 (2304c) 은 매우 적게 열화 또는 소모된다는 것을 주의한다.
실험 2
다른 일련의 실험들이 상기한 3 번의 시험들에 대하여 기술된 조건들과 동일한 조건들을 사용하지만, 피처들을 갖지 않는 블랭킷 기판들에 대하여 수행된다. 각각의 시험에서, 비정질 탄소층의 두께가 측정되고, 이어서 스페이서가 증착되고 스페이서 두께가 측정되고, 스페이서 증착 후에 마지막으로 남아 있는 비정질 탄소층의 두께가 측정된다. 스페이서 증착 전의 두께로부터 스페이서 증착 후의 두께를 감산함으로써 탄소 소모가 계산된다. 이 일련의 실험들의 결과가 표 1에 도시된다.
탄소 소모 및 나노적층물층들
시험 1 시험 2 시험 3
나노적층물 조성 없음 SiO2 TiO2
증착 전력 500 W 500 W
증착 온도 50 ℃ 150 ℃
증착된 두께 (TEM) 1.44 ㎚
스페이서 증착된 두께 (TEM) 5.9 ㎚ 4.7 ㎚
비정질 탄소 스페이서 증착 전 두께 43.886 ㎚ 44.1 ㎚ 45.0 ㎚
스페이서 증착 후 두께 28.36 ㎚ 35.3 ㎚ 36.4 ㎚
탄소 소모 15.4 ㎚ 8 ㎚ 8.6 ㎚
표에 나타낸 바와 같이, 나노적층물층의 제공이 탄소 소모를 실질적으로 감소시킨다. 나노적층물층이 없이, 탄소 소모는 15.4 ㎚이지만, SiO2 및 TiO2 양자의 나노적층물층들에 대해, 탄소 소모는 단지 약 8 ㎚이다. 따라서, 비정질 탄소층, 특별하게 패터닝된 비정질 탄소층 위에 나노적층물층을 증착하는 것은, 스페이서가 증착될 때 비정질 탄소층을 보호하고 결과적으로 스페이서 증착 동안 고품질 막들이 증착될 수도 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (29)

  1. 반도체 기판을 프로세싱하는 방법으로서,
    상기 반도체 기판 상에 나노적층물층 (nanolaminate layer) 을 증착하는 단계; 및
    상기 나노적층물층 상에 산화 티타늄층을 증착하는 단계를 포함하고,
    상기 나노적층물층은 약 15 Å 내지 약 200 Å의 두께를 갖고 상기 산화 티타늄층의 밀도보다 낮은 밀도를 갖는, 반도체 기판 프로세싱 방법.
  2. 제 1 항에 있어서,
    비정질 탄소층을 증착하는 단계를 더 포함하고,
    상기 나노적층물층은 상기 비정질 탄소층 상에 증착되는, 반도체 기판 프로세싱 방법.
  3. 제 2 항에 있어서,
    상기 비정질 탄소층은 패터닝되는, 반도체 기판 프로세싱 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 나노적층물층은 2 이상의 서브층 (sublayer) 을 포함하는 스택을 포함하는, 반도체 기판 프로세싱 방법.
  5. 제 4 항에 있어서,
    상기 2 이상의 서브층들은 각각 산화 실리콘, 또는 산화 티타늄, 또는 이들의 조합을 포함하는, 반도체 기판 프로세싱 방법.
  6. 제 4 항에 있어서,
    상기 스택은 2 개 이하의 서브층들을 포함하는, 반도체 기판 프로세싱 방법.
  7. 제 6 항에 있어서,
    상기 나노적층물층은 산화 실리콘의 제 1 서브층 및 산화 티타늄의 제 2 서브층을 포함하는, 반도체 기판 프로세싱 방법.
  8. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 나노적층물층은 산화 실리콘 또는 산화 티타늄을 포함하는, 반도체 기판 프로세싱 방법.
  9. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 나노적층물층은,
    상기 반도체 기판을 티타늄-함유 프리커서 또는 실리콘-함유 프리커서에 노출시키는 단계;
    상기 반도체 기판을 산화제에 노출시키는 단계; 및
    상기 반도체 기판이 상기 산화제에 노출되는 동안 플라즈마를 개시하는 단계에 의한 PEALD (plasma-enhanced atomic layer deposition) 를 사용하여 증착되는, 반도체 기판 프로세싱 방법.
  10. 제 9 항에 있어서,
    상기 나노적층물층은 약 50 ℃ 내지 약 150 ℃의 온도에서 증착되고, 상기 플라즈마는 약 1.768 × 10-4 W /㎟ 내지 약 1.768 × 10-3 W /㎟의 기판 면적의 제곱 밀리미터 당 HFRF (high frequency radio frequency) 전력으로 개시되는, 반도체 기판 프로세싱 방법.
  11. 제 9 항에 있어서,
    상기 나노적층물층은 약 100 ℃ 미만의 온도에서 증착되는, 반도체 기판 프로세싱 방법.
  12. 제 9 항에 있어서,
    상기 티타늄-함유 프리커서는 TDMAT을 포함하는, 반도체 기판 프로세싱 방법.
  13. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 산화 티타늄층은,
    상기 반도체 기판을 티타늄-함유 프리커서에 노출시키는 단계;
    상기 반도체 기판을 산화제에 노출시키는 단계; 및
    상기 반도체 기판이 상기 산화제에 노출되는 동안 적어도 약 1.768 × 10-3 W /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 플라즈마를 개시하는 단계에 의한 PEALD에 의해 증착되는, 반도체 기판 프로세싱 방법.
  14. 제 13 항에 있어서,
    상기 산화제는 아산화 질소 (nitrous oxide) 또는 산소 또는 이산화 탄소 또는 이들의 혼합물을 포함하는, 반도체 기판 프로세싱 방법.
  15. 제 13 항에 있어서,
    상기 티타늄-함유 프리커서는 TDMAT를 포함하는, 반도체 기판 프로세싱 방법.
  16. 제 13 항에 있어서,
    상기 산화 티타늄층은 약 3 Torr 내지 약 3.5 Torr의 압력에서 증착되는, 반도체 기판 프로세싱 방법.
  17. 제 13 항에 있어서,
    상기 산화 티타늄층은 약 50 ℃ 내지 약 400 ℃의 온도에서 증착되는, 반도체 기판 프로세싱 방법.
  18. 반도체 기판을 프로세싱하는 방법으로서,
    코어층을 증착하는 단계;
    상기 코어층 상에 나노적층물층을 증착하는 단계; 및
    상기 나노적층물층 상에 금속 질화물층 또는 금속 산화물층을 증착하는 단계를 포함하는, 반도체 기판 프로세싱 방법.
  19. 제 18 항에 있어서,
    상기 금속 질화물층 또는 상기 금속 산화물층은 상기 코어층에 대한 에칭 선택비 (etch selectivity) 를 갖는, 반도체 기판 프로세싱 방법.
  20. 반도체 기판을 프로세싱하는 방법으로서,
    (a) 상기 반도체 기판을 제 1 티타늄-함유 프리커서 또는 실리콘-함유 프리커서에 노출시키는 단계;
    (b) 상기 반도체 기판을 제 1 산화제에 노출시키는 단계;
    (c) 상기 반도체 기판이 상기 제 1 산화제에 노출되는 동안 약 1.768 × 10-4 /㎟ 내지 약 1.768 × 10-3 /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 제 1 플라즈마를 개시하는 단계;
    (d) 상기 반도체 기판을 제 2 티타늄-함유 프리커서에 노출시키는 단계;
    (e) 상기 반도체 기판을 제 2 산화제에 노출시키는 단계; 및
    (f) 상기 반도체 기판이 상기 제 2 산화제에 노출되는 동안 적어도 약 1.768 × 10-3 /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 제 2 플라즈마를 개시하는 단계를 포함하는, 반도체 기판 프로세싱 방법.
  21. 반도체 기판을 패터닝하는 방법으로서,
    코어층 상에 컨포멀 막 (conformal film) 을 증착하기 전에, 패터닝된 코어층 상에 나노적층물 보호층을 증착하는 단계;
    상기 나노적층물 보호층 상에 컨포멀 막을 증착하는 단계;
    상기 코어층을 노출시키도록 상기 컨포멀 막을 평탄화하는 단계; 및
    마스크를 형성하도록 상기 코어층를 선택적으로 에칭하는 단계를 포함하는, 반도체 기판 패터닝 방법.
  22. 제 21 항에 있어서,
    상기 코어층은 비정질 탄소를 포함하는, 반도체 기판 패터닝 방법.
  23. 제 21 항에 있어서,
    상기 나노적층물 보호층은 산화 실리콘 또는 산화 티타늄을 포함하는, 반도체 기판 패터닝 방법.
  24. 제 21 항 내지 제 23 항 중 어느 한 항에 있어서,
    상기 나노적층물 보호층의 두께는 약 15 Å 내지 약 200 Å인, 반도체 기판 패터닝 방법.
  25. 제 21 항 내지 제 23 항 중 어느 한 항에 있어서,
    상기 나노적층물 보호층은,
    상기 반도체 기판을 티타늄-함유 프리커서 또는 실리콘-함유 프리커서에 노출시키는 단계;
    상기 반도체 기판을 산화제에 노출시키는 단계; 및
    상기 반도체 기판이 상기 산화제에 노출되는 동안 제 1 플라즈마를 개시하는 단계에 의한 PEALD를 사용하여 증착되는, 반도체 기판 패터닝 방법.
  26. 제 25 항에 있어서,
    상기 나노적층물 보호층은 약 100 ℃ 미만의 온도에서 증착되는, 반도체 기판 패터닝 방법.
  27. 제 25 항에 있어서,
    상기 나노적층물 보호층은 약 50 ℃ 내지 약 150 ℃의 온도에서 증착되고 상기 제 1 플라즈마는 약 1.768 × 10-4 /㎟ 내지 약 1.768 × 10-3 /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 개시되는, 반도체 기판 패터닝 방법.
  28. 제 25 항에 있어서,
    상기 산화 티타늄층은, 상기 반도체 기판을 티타늄-함유 프리커서에 노출시키는 단계; 상기 반도체 기판을 산화제에 노출시키는 단계; 및 상기 반도체 기판이 상기 산화제에 노출되는 동안 적어도 약 1.768 × 10-3 W /㎟의 기판 면적의 제곱 밀리미터 당 HFRF 전력으로 제 2 플라즈마를 개시하는 단계에 의한 PEALD를 사용하여 증착되는, 반도체 기판 패터닝 방법.
  29. 반도체 기판을 프로세싱하기 위한 장치로서,
    하나 이상의 프로세스 챔버들;
    상기 프로세스 챔버들 및 이와 연관된 플로우-제어 하드웨어로의 하나 이상의 가스 유입구들 (gas inlet);
    HFRF 발생기; 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신가능하게 접속되고,
    상기 적어도 하나의 프로세서는 상기 플로우-제어 하드웨어 및 HFRF 발생기와 적어도 동작가능하게 접속되고, 그리고
    상기 메모리는,
    상기 반도체 기판을 금속-함유 프리커서에 노출시키고;
    상기 반도체 기판을 제 1 산화제에 노출시키고;
    상기 반도체 기판이 상기 제 1 산화제에 노출되는 동안 약 12.5 W 내지 125 W의 HFRF 전력으로 제 1 플라즈마를 개시하고;
    상기 반도체 기판을 티타늄-함유 프리커서에 노출시키고;
    상기 반도체 기판을 제 2 산화제에 노출시키고; 그리고
    상기 반도체 기판이 상기 제 2 산화제에 노출되는 동안 적어도 약 125 W의 HFRF 전력으로 제 2 플라즈마를 개시하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하는, 반도체 기판 프로세싱 장치.
KR1020140154559A 2013-11-07 2014-11-07 진보된 패터닝을 위한 소프트 랜딩 나노적층물들 KR102407002B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220068086A KR102551503B1 (ko) 2013-11-07 2022-06-03 진보된 패터닝을 위한 소프트 랜딩 나노적층물들

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/074,617 US9287113B2 (en) 2012-11-08 2013-11-07 Methods for depositing films on sensitive substrates
US14/074,617 2013-11-07
US14/194,324 US9390909B2 (en) 2013-11-07 2014-02-28 Soft landing nanolaminates for advanced patterning
US14/194,324 2014-02-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220068086A Division KR102551503B1 (ko) 2013-11-07 2022-06-03 진보된 패터닝을 위한 소프트 랜딩 나노적층물들

Publications (2)

Publication Number Publication Date
KR20150053253A true KR20150053253A (ko) 2015-05-15
KR102407002B1 KR102407002B1 (ko) 2022-06-08

Family

ID=53007347

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020140154559A KR102407002B1 (ko) 2013-11-07 2014-11-07 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
KR1020220068086A KR102551503B1 (ko) 2013-11-07 2022-06-03 진보된 패터닝을 위한 소프트 랜딩 나노적층물들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220068086A KR102551503B1 (ko) 2013-11-07 2022-06-03 진보된 패터닝을 위한 소프트 랜딩 나노적층물들

Country Status (5)

Country Link
US (3) US9390909B2 (ko)
JP (1) JP2015111668A (ko)
KR (2) KR102407002B1 (ko)
CN (2) CN111501013A (ko)
TW (2) TWI675797B (ko)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170024552A (ko) * 2015-08-25 2017-03-07 에이에스엠 아이피 홀딩 비.브이. 집적 회로 제조에 사용하기 위한 티타늄 나노적층체들의 퇴적
KR20180002026A (ko) * 2016-06-28 2018-01-05 램 리써치 코포레이션 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
KR20180025247A (ko) * 2016-08-31 2018-03-08 램 리써치 코포레이션 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR20180053238A (ko) * 2016-11-11 2018-05-21 램 리써치 코포레이션 Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
WO2018139746A1 (ko) * 2017-01-25 2018-08-02 인천대학교 산학협력단 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법
KR20190055681A (ko) * 2017-11-15 2019-05-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에칭 및 이에 의하여 형성된 구조물
KR20200105531A (ko) * 2018-01-26 2020-09-07 램 리써치 코포레이션 다중 패터닝 프로세스에서 원자 층 증착을 사용한 스페이서 프로파일 제어
KR20200106087A (ko) * 2018-01-30 2020-09-10 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
KR20200118354A (ko) * 2018-03-01 2020-10-15 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
WO2021034447A1 (en) * 2019-08-20 2021-02-25 Tokyo Electron Limited Deposition process
KR20210078663A (ko) * 2019-12-19 2021-06-29 주식회사 원익아이피에스 반도체 소자 제조방법 및 그 방법에 의하여 제조된 반도체 소자
US11322351B2 (en) 2017-02-17 2022-05-03 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11551938B2 (en) 2019-06-27 2023-01-10 Lam Research Corporation Alternating etch and passivation process
US11637037B2 (en) 2017-02-13 2023-04-25 Lam Research Corporation Method to create air gaps
US11987876B2 (en) 2018-03-19 2024-05-21 Lam Research Corporation Chamfer-less via integration scheme

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
EP3101682A1 (en) * 2015-06-03 2016-12-07 IMEC vzw Method for providing a patterned target layer in a semiconductor structure
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170016107A (ko) * 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6163524B2 (ja) * 2015-09-30 2017-07-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102449195B1 (ko) 2015-12-18 2022-09-29 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
WO2017160647A1 (en) * 2016-03-13 2017-09-21 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
JP6815158B2 (ja) * 2016-10-13 2021-01-20 東京エレクトロン株式会社 酸化チタン膜の成膜方法およびハードマスクの形成方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102216380B1 (ko) * 2016-12-08 2021-02-17 주식회사 원익아이피에스 반도체 소자의 패터닝 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10622266B2 (en) * 2017-04-04 2020-04-14 Globalfoundries Inc. Methods of identifying space within integrated circuit structure as mandrel space or non-mandrel space
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10340149B2 (en) * 2017-09-05 2019-07-02 Nanya Technology Corporation Method of forming dense hole patterns of semiconductor devices
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
JP7018729B2 (ja) 2017-09-19 2022-02-14 東京エレクトロン株式会社 成膜方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) * 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10340136B1 (en) * 2018-07-19 2019-07-02 Lam Research Corporation Minimization of carbon loss in ALD SiO2 deposition on hardmask films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10910381B2 (en) * 2018-08-01 2021-02-02 Applied Materials, Inc. Multicolor approach to DRAM STI active cut patterning
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109273378B (zh) * 2018-09-20 2021-11-02 长江存储科技有限责任公司 平衡晶圆弯曲度分布的方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20200111669A1 (en) * 2018-10-04 2020-04-09 Asm Ip Holding B.V. Method for depositing oxide film by peald using nitrogen
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
CN110707004B (zh) * 2018-10-11 2022-02-18 联华电子股份有限公司 半导体装置及其形成方法
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
JP2020063470A (ja) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 パターニングスペーサ用酸化チタン膜を成膜する方法およびパターン形成方法
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11587782B2 (en) * 2018-11-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210039194A (ko) * 2019-10-01 2021-04-09 삼성전자주식회사 집적회로 소자의 제조 방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11862602B2 (en) * 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20230238238A1 (en) * 2020-07-23 2023-07-27 Lam Research Corporation Advanced self aligned multiple patterning using tin oxide
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113517181A (zh) * 2021-04-27 2021-10-19 长江先进存储产业创新中心有限责任公司 一种硬掩膜叠层结构及半导体器件的形成方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090060198A (ko) * 2007-12-08 2009-06-11 도쿄엘렉트론가부시키가이샤 타이타늄막의 성막 방법 및 타이타늄막의 성막 장치
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法
JP2012142574A (ja) * 2010-12-28 2012-07-26 Asm Japan Kk 金属酸化物のハードマスクの形成方法
US20130032949A1 (en) * 2011-08-03 2013-02-07 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
KR101274960B1 (ko) * 2008-04-04 2013-06-19 어플라이드 머티어리얼스, 인코포레이티드 붕소 질화물 및 붕소-질화물 유도 물질들 증착 방법

Family Cites Families (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3378508D1 (en) 1982-09-10 1988-12-22 Nippon Telegraph & Telephone Plasma deposition method and apparatus
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6094757A (ja) 1983-10-20 1985-05-27 Fujitsu Ltd 抵抗体
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
US5194398A (en) 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
TW201848B (ko) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5514908A (en) 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
AU4001395A (en) 1994-10-11 1996-05-06 Gelest, Inc. Conformal titanium-based films and method for their preparation
CN1075243C (zh) 1994-12-28 2001-11-21 松下电器产业株式会社 集成电路用电容元件及其制造方法
US5700519A (en) 1995-01-06 1997-12-23 Sony Corporation Method for producing ultra high purity titanium films
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5994220A (en) 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6054382A (en) 1996-03-28 2000-04-25 Texas Instruments Incorporated Method of improving texture of metal films in semiconductor integrated circuits
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5961791A (en) 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
KR100273989B1 (ko) 1997-11-25 2001-01-15 윤종용 반도체장치의콘택형성방법
JP4947834B2 (ja) 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド ダメージフリー被覆刻設堆積法
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6093966A (en) 1998-03-20 2000-07-25 Motorola, Inc. Semiconductor device with a copper barrier layer and formation thereof
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6337151B1 (en) 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6350688B1 (en) 2000-08-01 2002-02-26 Taiwan Semiconductor Manufacturing Company Via RC improvement for copper damascene and beyond technology
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6541374B1 (en) 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6566246B1 (en) 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
US7250083B2 (en) 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP2004039699A (ja) 2002-06-28 2004-02-05 Fujitsu Ltd 半導体装置及びその製造方法
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6716753B1 (en) 2002-07-29 2004-04-06 Taiwan Semiconductor Manufacturing Company Method for forming a self-passivated copper interconnect structure
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6841044B1 (en) 2002-08-28 2005-01-11 Novellus Systems, Inc. Chemically-enhanced physical vapor deposition
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
EP1616043B1 (en) 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US20050048788A1 (en) * 2003-08-26 2005-03-03 Tang Woody K. Sattayapiwat Methods of reducing or removing micromasking residue prior to metal etch using oxide hardmask
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
KR100599434B1 (ko) 2003-10-20 2006-07-14 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP2005244178A (ja) 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4651955B2 (ja) 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
JP4506951B2 (ja) 2004-04-23 2010-07-21 セイコーエプソン株式会社 Mfs型電界効果トランジスタ、強誘電体メモリならびに半導体装置
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7651568B2 (en) 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070018214A1 (en) * 2005-07-25 2007-01-25 Micron Technology, Inc. Magnesium titanium oxide films
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20090183994A1 (en) 2005-09-09 2009-07-23 University Of Nevada, Reno Preparation of nano-tubular titania substrate with oxygen vacancies and their use in photo-electrolysis of water
JP2009515319A (ja) 2005-09-23 2009-04-09 エヌエックスピー ビー ヴィ 半導体デバイス用構造体の製造方法
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
KR20080106984A (ko) 2006-03-31 2008-12-09 어플라이드 머티어리얼스, 인코포레이티드 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
CN101345191A (zh) * 2007-07-10 2009-01-14 力晶半导体股份有限公司 光致抗蚀剂层的重工方法与图案化工艺
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
EP2252389A4 (en) 2008-01-14 2011-06-08 Nanexa Ab BIOCOMPATIBLE FILTER ELEMENT FOR DIALYSIS OF BODILY FLUIDS, ITS MANUFACTURE AND USE
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090305506A1 (en) * 2008-06-09 2009-12-10 Joerg Linz Self-aligned dual patterning integration scheme
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US20100048025A1 (en) * 2008-08-25 2010-02-25 Seoul National University Industry Foundation Nanostructures and nanostructure fabrication
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8084310B2 (en) * 2008-10-23 2011-12-27 Applied Materials, Inc. Self-aligned multi-patterning for advanced critical dimension contacts
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP5075897B2 (ja) * 2009-09-25 2012-11-21 株式会社東芝 半導体装置の製造方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
JP4733214B1 (ja) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
KR101897604B1 (ko) 2010-04-28 2018-09-12 어플라이드 머티어리얼스, 인코포레이티드 수명이 짧은 종들을 위한 빌트-인 플라즈마 소스를 구비한 프로세스 챔버 리드 설계
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5743488B2 (ja) * 2010-10-26 2015-07-01 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
CN103228983A (zh) 2010-11-10 2013-07-31 纳米系统公司 量子点薄膜、照明器件及照明方法
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8609553B2 (en) 2011-02-07 2013-12-17 Micron Technology, Inc. Methods of forming rutile titanium dioxide and associated methods of forming semiconductor structures
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8298951B1 (en) * 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
US20130045908A1 (en) * 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
WO2013043330A1 (en) 2011-09-23 2013-03-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
KR101901320B1 (ko) * 2012-05-22 2018-09-21 삼성전자주식회사 발광소자 및 그 제조방법
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
KR102294252B1 (ko) 2013-10-17 2021-08-25 나노시스, 인크. 발광 다이오드 (led) 디바이스들
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090060198A (ko) * 2007-12-08 2009-06-11 도쿄엘렉트론가부시키가이샤 타이타늄막의 성막 방법 및 타이타늄막의 성막 장치
KR101274960B1 (ko) * 2008-04-04 2013-06-19 어플라이드 머티어리얼스, 인코포레이티드 붕소 질화물 및 붕소-질화물 유도 물질들 증착 방법
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法
JP2012142574A (ja) * 2010-12-28 2012-07-26 Asm Japan Kk 金属酸化物のハードマスクの形成方法
US20130032949A1 (en) * 2011-08-03 2013-02-07 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170024552A (ko) * 2015-08-25 2017-03-07 에이에스엠 아이피 홀딩 비.브이. 집적 회로 제조에 사용하기 위한 티타늄 나노적층체들의 퇴적
US11784047B2 (en) 2016-06-28 2023-10-10 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR20180002026A (ko) * 2016-06-28 2018-01-05 램 리써치 코포레이션 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
US11183383B2 (en) 2016-06-28 2021-11-23 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR20200034699A (ko) * 2016-06-28 2020-03-31 램 리써치 코포레이션 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
KR20220099524A (ko) * 2016-06-28 2022-07-13 램 리써치 코포레이션 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
KR20180025247A (ko) * 2016-08-31 2018-03-08 램 리써치 코포레이션 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR20180053238A (ko) * 2016-11-11 2018-05-21 램 리써치 코포레이션 Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
WO2018139746A1 (ko) * 2017-01-25 2018-08-02 인천대학교 산학협력단 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법
US11637037B2 (en) 2017-02-13 2023-04-25 Lam Research Corporation Method to create air gaps
US11322351B2 (en) 2017-02-17 2022-05-03 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10672614B2 (en) 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
KR20190055681A (ko) * 2017-11-15 2019-05-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에칭 및 이에 의하여 형성된 구조물
KR20200105531A (ko) * 2018-01-26 2020-09-07 램 리써치 코포레이션 다중 패터닝 프로세스에서 원자 층 증착을 사용한 스페이서 프로파일 제어
KR20200106087A (ko) * 2018-01-30 2020-09-10 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
US11355353B2 (en) 2018-01-30 2022-06-07 Lam Research Corporation Tin oxide mandrels in patterning
KR20200118354A (ko) * 2018-03-01 2020-10-15 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11987876B2 (en) 2018-03-19 2024-05-21 Lam Research Corporation Chamfer-less via integration scheme
US11551938B2 (en) 2019-06-27 2023-01-10 Lam Research Corporation Alternating etch and passivation process
US11848212B2 (en) 2019-06-27 2023-12-19 Lam Research Corporation Alternating etch and passivation process
US10978307B2 (en) 2019-08-20 2021-04-13 Tokyo Electron Limited Deposition process
WO2021034447A1 (en) * 2019-08-20 2021-02-25 Tokyo Electron Limited Deposition process
KR20210078663A (ko) * 2019-12-19 2021-06-29 주식회사 원익아이피에스 반도체 소자 제조방법 및 그 방법에 의하여 제조된 반도체 소자

Also Published As

Publication number Publication date
CN111501013A (zh) 2020-08-07
US20180158683A1 (en) 2018-06-07
KR102407002B1 (ko) 2022-06-08
US10192742B2 (en) 2019-01-29
TW201534556A (zh) 2015-09-16
CN104752199A (zh) 2015-07-01
US20160293418A1 (en) 2016-10-06
TWI675797B (zh) 2019-11-01
US9390909B2 (en) 2016-07-12
US20150126042A1 (en) 2015-05-07
KR102551503B1 (ko) 2023-07-05
US9905423B2 (en) 2018-02-27
TWI640469B (zh) 2018-11-11
TW201840463A (zh) 2018-11-16
JP2015111668A (ja) 2015-06-18
KR20220082792A (ko) 2022-06-17

Similar Documents

Publication Publication Date Title
KR102551503B1 (ko) 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US9892917B2 (en) Plasma assisted atomic layer deposition of multi-layer films for patterning applications
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
KR102341824B1 (ko) 캡슐화 방법
TWI612173B (zh) 用以在敏感基板上沉積薄膜的方法
KR102384484B1 (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
KR20240000424A (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR20210013633A (ko) 서브-포화된 원자층 증착 및 등각막 증착
KR20180053238A (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR20180025247A (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR20160131943A (ko) 박막들의 잔여 응력을 조절하는 방법들
KR20150037662A (ko) 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
TW202403079A (zh) 含金屬膜的沉積及腔室清潔
KR20240032126A (ko) 실리콘-함유 막들의 플라즈마 강화 원자 층 증착

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant