KR102341824B1 - 캡슐화 방법 - Google Patents

캡슐화 방법 Download PDF

Info

Publication number
KR102341824B1
KR102341824B1 KR1020170056225A KR20170056225A KR102341824B1 KR 102341824 B1 KR102341824 B1 KR 102341824B1 KR 1020170056225 A KR1020170056225 A KR 1020170056225A KR 20170056225 A KR20170056225 A KR 20170056225A KR 102341824 B1 KR102341824 B1 KR 102341824B1
Authority
KR
South Korea
Prior art keywords
plasma
encapsulation layer
substrate
post
deposited
Prior art date
Application number
KR1020170056225A
Other languages
English (en)
Other versions
KR20170125730A (ko
Inventor
슈라벤디즈크 바트 제이. 반
아크힐 싱할
조셉 훙-치 웨이
바드리 엔. 바라다라잔
케빈 엠. 맥라우글린
케이시 홀더
아난다 케이. 바네르지
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170125730A publication Critical patent/KR20170125730A/ko
Priority to KR1020210180835A priority Critical patent/KR102596765B1/ko
Application granted granted Critical
Publication of KR102341824B1 publication Critical patent/KR102341824B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • H01L43/12
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • H01L43/02
    • H01L43/08
    • H01L43/10
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

약 300 ℃ 미만의 온도들에서 저 수소 함량의, 밀봉된, 박형 캡슐화 층들을 증착하기 적합한 방법들 및 장치들이 본 명세서에 제공된다. 방법들은 증착 반응물질들에 기판을 노출하는 동안 플라즈마를 펄싱하는 단계, 및 치밀화하고 수소 함량을 감소시키도록 증착된 캡슐화 막들을 후-처리하는 단계를 수반한다. 후-처리 방법들은 약 300 ℃ 미만의 기판 온도에서 반응물질들이 없는 불활성 플라즈마로의 주기적 노출 및 자외선 복사로의 노출을 포함한다.

Description

캡슐화 방법{METHODS OF ENCAPSULATION}
반도체 디바이스 제조는, 종종 산화 및 수분에 민감하고 고온 동작들 또는 에너제틱 종 (energetic species) 에 대한 노출을 견디지 못할 수도 있는, 메모리 스택들의 형성을 수반한다. 그 결과, 메모리 스택들은 종종 후속 프로세싱 전에 캡슐화된다. 그러나, 캡슐화 층들을 증착하는 종래의 방법들은 프로세스 챔버의 컴포넌트들을 손상시킬 수도 있고 또는 기판 재료들을 손상시킬 수도 있다. 또한, 종래의 기법들은 충분히 박형이고 기밀성 층들을 형성할 수 없을 수도 있다.
메모리 디바이스 위에 캡슐화 층을 형성하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는 기판 상에 메모리 디바이스를 캡슐화하는 방법을 수반하고, 방법은: (i) 300 ℃ 미만의 기판 온도에서 증착 전구체 및 반응물질에 메모리 디바이스를 갖는 기판을 노출하는 단계; 및 (ii) 메모리 디바이스 위에 캡슐화 층을 증착하도록 플라즈마를 점화하는 단계를 포함하고, 캡슐화 층은 기밀성 (hermetic) 이고 15 % 미만의 수소 함량을 갖는다.
다양한 실시예들에서, 방법은 300 ℃ 미만의 온도에서 후-처리 프로세스에 캡슐화 층을 노출하는 단계를 더 포함한다.
예를 들어, 일부 실시예들에서, 후-처리 프로세스는 기판을 후-처리 가스에 노출시키고 반응물질을 사용하지 않고 제 2 플라즈마를 점화하는 단계를 포함한다. 기판은 후-처리 가스 및 제 2 플라즈마에 약 10 초 내지 약 50 초의 지속기간 동안 노출될 수도 있다. 일부 실시예들에서, 후-처리 가스는 질소, 암모니아, 헬륨, 아르곤, 및 이들의 조합들 중 임의의 하나이다. 방법은 캡슐화 층을 형성하기 위해 단계 (i) 및 단계 (ii) 를 반복하는 단계를 더 포함할 수도 있다.
또 다른 예에서, 일부 실시예들에서, 후-처리 프로세스는 기판을 UV 복사선에 노출시키는 것을 포함한다. UV 복사선은 약 180 ㎚ 내지 약 600 ㎚의 파장으로 약 60 초 내지 약 600 초의 지속기간 동안 방출될 수도 있다.
다양한 실시예들에서, 캡슐화 층은 실리콘 나이트라이드, 도핑되지 않은 실리콘 카바이드, 산소 도핑된 실리콘 카바이드, 게르마늄 나이트라이드, 도핑되지 않은 게르마늄 카바이드, 및 산소 도핑된 게르마늄 카바이드 중 임의의 하나이다.
다양한 실시예들에서, 방법은 캡슐화 층을 증착하도록 플라즈마를 펄싱하는 단계를 더 포함한다. 플라즈마의 펄스들은 약 0.02 ㎳ 내지 약 5 ㎳의 펄스 지속기간을 가질 수도 있다. 플라즈마는 약 100 ㎐ 내지 약 6 ㎐의 펄싱 주파수로 펄싱될 수도 있다. 일부 실시예들에서, 플라즈마는 인시츄 생성된다. 일부 실시예들에서, 증착 전구체는 실리콘-함유 전구체 또는 게르마늄-함유 전구체이다.
다양한 실시예들에서, 캡슐화 층은 리모트 PECVD (plasma enhanced chemical vapor deposition) 에 의해 증착된다. 예를 들어, 일부 실시예들에서, 플라즈마는 기판이 하우징되는 프로세싱 영역으로부터 분리되고, 업스트림의 영역에서 생성된다. 일부 실시예들에서, 리모트 PECVD는, (a) 리모트 플라즈마-생성 영역으로 반응물질을 흘리고 반응물질 라디칼들을 생성하도록 플라즈마를 점화하는 단계; (b) 반응물질 라디칼들을 샤워헤드를 통해 기판으로 도입하는 단계; 및 (c) 반응물질 라디칼들을 도입하는 동안 샤워헤드의 다운스트림에서 증착 전구체를 기판으로 도입하는 단계를 포함한다. 기판은 약 1.5 Torr 내지 약 7 Torr의 챔버 압력을 갖는 챔버에서 프로세싱될 수도 있다.
다양한 실시예들에서, 메모리 디바이스는 MRAM (magnetoresistive random-access memory) 이다. 다양한 실시예들에서, 메모리 디바이스는 자기 터널 접합을 포함한다.
캡슐화 층은 약 50 Å 내지 약 500 Å의 두께로 증착될 수도 있다. 후-처리 프로세스가 사용되는 일부 실시예들에서, 후-처리 프로세스는 캡슐화 층이 캡슐화 층은 약 20 Å 내지 약 50 Å의 두께로 증착된 후 수행될 수도 있다.
다양한 실시예들에서, 캡슐화 층은 PECVD에 의해 증착된다. 메모리 디바이스 위에 증착된 캡슐화 층은 약 70 % 내지 약 90 %의 단차 커버리지를 가질 수도 있다. 다양한 실시예들에서, 기판은 약 1.5:1 내지 약 20:1의 종횡비를 갖는 피처들로 패터닝된다.
캡슐화 층은 실리콘-함유 전구체 및 질소-함유 반응물질에 기판을 노출시킴으로써 증착된 실리콘 나이트라이드 막일 수도 있다. 일부 실시예들에서, 캡슐화 층은 실리콘-및-탄소-함유 전구체 및 수소에 기판을 노출시킴으로써 증착된 실리콘 카바이드 막일 수도 있다.
방법은 캡슐화 층을 증착하기 전에, 기판을 약 300 ℃의 온도로 가열하는 단계를 더 포함할 수도 있다.
또 다른 양태는 기판 상에 메모리 디바이스를 캡슐화하는 방법을 수반하고, 방법은 (i) 약 300 ℃ 미만의 기판 온도에서 증착 전구체 및 반응물질에 기판을 노출하는 단계; 및 (b) 캡슐화 층을 형성하기 위해 증착 반응물질들에 기판이 노출될 때 약 0.02 ㎳ 내지 약 5 ㎳의 지속기간 동안 약 100 ㎐ 내지 약 6 ㎐의 펄싱 주파수로 플라즈마를 펄싱하는 단계를 포함하고, 형성된 캡슐화 층은 실리콘 나이트라이드, 도핑되지 않은 실리콘 카바이드, 산소 도핑된 실리콘 카바이드, 게르마늄 나이트라이드, 도핑되지 않은 게르마늄 카바이드, 및 산소 도핑된 게르마늄 카바이드 중 임의의 하나이다.
방법은 막을 증착한 후, 캡슐화 층을 형성하기 위해 막을 약 300 ℃ 미만의 기판 온도에서 후-처리 프로세스에 노출시키는 단계를 더 포함할 수도 있다. 후-처리 프로세스는 후-처리 가스에 기판을 노출하고 실리콘-함유 반응물질 또는 게르마늄-함유 반응물질을 사용하지 않고 플라즈마를 점화하는 단계를 포함할 수도 있다. 일부 실시예들에서, 후-처리 프로세스는 기판을 UV 복사선에 노출하는 단계를 포함한다.
또 다른 양태는 메모리 스택; 및 메모리 스택 위에 증착되고 메모리 스택을 캡슐화하는 기밀성 캡슐화 층으로서, 증착된 캡슐화 층은 약 300 ℃ 미만의 기판 온도에서 증착 전구체, 반응물질, 및 플라즈마에 노출함으로써 증착되고, 캡슐화 층은 15 % 미만의 수소를 갖는, 캡슐화 층을 포함하는, 메모리 디바이스를 수반한다. 다양한 실시예들에서, 캡슐화 층은 적어도 70 %의 단차 커버리지를 갖는다. 일부 실시예들에서, 노출은 약 0.02 ㎳ 내지 약 5 ㎳의 플라즈마 펄스 지속기간들을 사용하여 플라즈마를 펄싱하는 단계를 포함할 수도 있다. 캡슐화 층은 약 300 ℃ 미만의 기판 온도에서 UV 노출을 사용하여 후-처리될 수도 있다. 일부 실시예들에서, 캡슐화 층은 약 10 초 내지 약 50 초의 지속기간 동안 후-처리 가스와 함께 제 2 플라즈마에 대한 노출들을 사용하여 후-처리된다.
일부 실시예들에서, 캡슐화 층은 실리콘 나이트라이드 (SiN), 실리콘 카바이드 (SiC), 산소 도핑된 실리콘 카바이드 (SiCO), 게르마늄 나이트라이드 (GeN), 게르마늄 카바이드 (GeC), 및 산소 도핑된 게르마늄 카바이드 (GeCO) 중 임의의 하나이다.
캡슐화 층은 리모트 플라즈마 CVD를 사용하여 증착될 수도 있다.
또 다른 양태는 반도체 재료를 포함하는 반도체 기판을 프로세싱하기 위한 장치에 를 수반하고, 장치는 하나 이상의 프로세스 챔버들로서, 적어도 하나의 프로세스 챔버는 반도체 기판을 가열하기 위한 가열된 페데스탈을 포함하는, 하나 이상의 프로세스 챔버들; 플라즈마 생성기; 프로세스 챔버들 및 연관된 플로우-제어 하드웨어 내로의 하나 이상의 가스 유입부들; 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 적어도 하나의 프로세서 및 메모리는 서로 통신하게 연결되고, 적어도 하나의 프로세서는 적어도 플로우-제어 하드웨어와 동작하게 연결되고, 그리고 메모리는, (i) 약 300 ℃ 미만의 온도로 페데스탈 온도를 설정하고; (ii) 증착 전구체 및 반응물질을 하나 이상의 프로세스 챔버들로 도입하고; 그리고 (iii) 15 % 미만의 수소 함량을 갖는 기밀성 캡슐화 층을 형성하기 위해 하나 이상의 프로세스 챔버들로 증착 전구체 및 반응물질이 도입될 때 펄스들로 플라즈마를 점화함으로써, 적어도 플로우-제어 하드웨어를 제어하도록 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장한다.
컴퓨터-실행가능 인스트럭션들은 (iii) 에서 약 0.02 ㎳ 내지 약 5 ㎳의 펄스 지속기간들 동안 약 100 ㎐ 내지 약 6 ㎐의 펄싱 주파수로 플라즈마를 펄싱하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 메모리는, (iv) 플라즈마를 펄스들로 점화한 후, 하나 이상의 프로세스 챔버들로의 증착 전구체 및 반응물질의 플로우를 중지하고; (v) 하나 이상의 프로세스 챔버로 불활성 가스를 도입하고; 그리고 (vi) 약 10 초 내지 약 50 초의 지속기간 동안 플라즈마를 점화함으로써 적어도 플로우-제어 하드웨어를 제어하도록 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 더 저장한다.
일부 실시예들에서, 장치는 또한 UV 복사선 소스를 포함하고, 메모리는 UV 복사선 소스를 턴온함으로써 적어도 플로우-제어 하드웨어를 제어하도록 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 더 저장한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 수분 및 공기 노출을 겪는 캡슐화 층을 갖는 예시적인 메모리 스택의 개략적인 예시이다.
도 2a 내지 도 2c는 개시된 실시예들에 따른 방법들을 위한 동작들을 도시하는 프로세스 흐름도들이다.
도 3은 특정한 개시된 실시예들에 따른 방법의 예를 도시하는 타이밍 시퀀스도이다.
도 4 내지 도 6은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버들의 개략도들이다.
도 7은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 8은 실험적인 데이터로부터 막들의 Fourier 변환 적외선 스펙트럼이다.
도 9는 개시된 실시예들에 따라 수행된 실험에서 증착된 캡슐화 층을 갖는 기판의 개략적인 예시이다.
도 10은 실험적인 데이터로부터 막들의 Fourier 변환 적외선 스펙트럼이다.
도 11은 실험적인 데이터로부터 막들의 Fourier 변환 적외선 스펙트럼이다.
도 12a 및 도 12b는 개시된 실시예들에 따라 수행된 실험에서 증착된 캡슐화 층을 갖는 기판의 이미지들이다.
도 13a는 실험에서 증착된 다양한 캡슐화 층들의 수소 함량 그래프이다.
도 13b는 실험에서 증착된 다양한 캡슐화 층들의 습식 에칭 레이트 그래프이다.
이하의 기술에서, 다수의 구체적인 상세들이 본 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 상호교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 달리 언급되지 않는다면, 본 명세서에 언급된 프로세싱 상세들 (예를 들어, 플로우 레이트들, 전력 레벨들, 등) 은 300 ㎜ 직경 기판들을 프로세싱하거나, 300 ㎜ 직경 기판들을 프로세싱하도록 구성된 처리 챔버들에 관련되고, 다른 사이즈들의 기판들 또는 챔버들에 적절하게 스케일링될 수 있다.
반도체 제작 프로세스들은, 종종 산화 및 수분에 센서티브한 재료들을 포함하는, 메모리 스택들의 제조를 수반한다. 또한 메모리 스택들은 또한 고온 동작들 또는 에너제틱 종 (energetic species) 에 대한 노출을 견디지 못할 수 있는 재료들을 포함할 수도 있다. 그 결과, 메모리 스택들은 통상적으로 후속 프로세싱 전에 캡슐화된다.
메모리의 일 예는 MRAM (magnetic random access memory) 이고, 이는 복수의 박형 금속 층들 또는 막들을 포함하고, 자기 터널 접합 스택들을 형성하도록 순차적으로 에칭될 수도 있다. 자기 터널 접합 (MTJ) 은 2 개의 자기 재료들 사이의 박형 유전체 배리어 층으로 구성된다. 전자들은 양자 터널링 (quantum tunneling) 프로세스에 의해 배리어를 통과한다. 이는 자기-기반 메모리에 대한 기초로서 역할을 할 수 있다. 도 1은 제 1 자기 층 (105a), 유전체 층 (105b), 및 제 2 자기 층 (105c) 을 포함하는 자기 터널 접합을 갖는 메모리 스택들을 갖는 기판 (101) 의 예를 제공한다. 층 (103) 이 제 1 자기 층 (105a) 과 기판 (101) 사이에 배치되고, 층 (107) 은 배리어 층일 수도 있다. 다양한 적합한 화학물질들이 이들 층들 각각을 위해 사용될 수도 있고, 층 각각은 2 이상의 층을 포함할 수도 있다.
2 개의 스택들 위에 컨포멀한 (conformal) 캡슐화 층 (109) 이 놓인다. 종래의 캡슐화 층 (109) 은 자기 터널 접합 내로 확산할 수도 있고 질소-함유 층 (110) 의 형성을 유발할 수도 있는, 수소 (115) 를 포함할 수도 있다. 캡슐화 층 (109) 이 결핍되면 또한, 물 분자들 (130) 과 같은 수분에 재료가 노출될 때 형성될 수도 있는 손상 (120) 에 민감해질 수도 있다.
캡슐화 층들을 증착하는 종래의 기법들은 최적의 결과들을 달성하기 불충분하다. 예를 들어, 종래의 기법들을 사용하여 증착된 캡슐화 층들은, 도 1에 대해 상기 기술된 바와 같이 확산을 유발할 수도 있는, 고 수소 함량; 캡슐화 층의 박리 (peeling) 또는 제거 및 아래에 놓인 메모리를 보호하기 불충분한 품질을 발생시킬 수 있는, 저 밀도 및 기밀성 (hermeticity); 및 메모리 재료들의 부분적으로 노출된 측벽들을 발생시킬 수 있는, 4:1의 종횡비를 갖는 비아에 대해 대략 약 30 % 내지 약 50 %와 같은 저 단차 커버리지를 발생시킨다.
증착된 막들은 또한 컨포멀하다. 막들의 컨포멀성 (conformality) 은 스텝 커버리비에 의해 측정될 수도 있다. 본 명세서에서 사용된 바와 같은 "단차 커버리지"는 측벽 상에 증착된 막의 평균 두께를 피처의 상단에 증착된 막의 평균 두께로 나누고 백분율을 얻기 위해 100을 곱함으로써 계산된다.
이들 문제들 중 일부를 방지하기 위해, 기법들은 캡슐화 층 내 저 수소 함량을 달성하도록 개발되었지만, 이러한 기법들은 종종 메모리 스택이 견딜 수 없는, 고온들에서 수행되어, 자기 터널 접합 내 유전체 층과 같은 메모리의 에칭 또는 손상을 발생시켜, 고르지 않은 메모리 스택들을 발생시킨다.
약 300 ℃ 미만의 저온에서 수행된 기법들을 사용하여 저 수소 함량, 고 밀도 및 기밀성, 및 고 단차 커버리지를 갖는 캡슐화 층들을 증착하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 다양한 개시된 실시예들은 약 0.1 Torr 내지 약 20 Torr의 압력에서 수행될 수도 있다. 많은 실시예들에서, 개시된 방법들은 약 300 ℃ 미만, 예컨대 약 250 ℃ 또는 약 200 ℃, 또는 약 200 ℃ 내지 약 250 ℃의 기판 온도에서 수행될 수도 있다. 이러한 실시예들에서, 페데스탈은 기판 온도를 제어하기 위해 약 300 ℃ 미만의 온도로 설정될 수도 있다. 예를 들어, MRAM 및 PCRAM (phase change random access memory) 애플리케이션들을 위해, 기판 상의 재료들은 고온들에 센서티브할 수도 있다.
막들은 PECVD (plasma enhanced chemical vapor deposition) 및/또는 리모트 PECVD (RPCVD) 에 의해 증착될 수도 있다. 다양한 실시예들에서, 짧은 펄스들, 예컨대 약 0.01 ㎳ 내지 약 5 ㎳, 또는 약 0.02 ㎳ 내지 약 5 ㎳, 또는 약 0.05 ㎳ 내지 약 5 ㎳, 또는 약 0.05 ㎳ 내지 약 1.9 ㎳, 약 0.5 ㎳ 내지 약 1.9 ㎳의 지속기간을 갖는 펄스들로 플라즈마를 펄싱하는 동안 증착 전구체 및 반응물질에 기판을 노출함으로써 막들이 증착된다. 플라즈마는 다양한 실시예들에서 약 100 ㎐ 내지 약 6 ㎐의 펄싱 주파수를 사용하여 펄싱된다.
다양한 실시예들은 하나 이상의 후-처리 프로세싱 동작들이 이어지는 증착을 수반한다. 후-처리 프로세싱 동작들은 불활성 가스에 대한 주기적 노출 및 불활성 가스에 대한 노출 동안 플라즈마를 점화하는 것을 포함한다. 예를 들어, 일부 실시예들에서, 캡슐화 층이 증착된 후, 캡슐화 층은 약 10 초 내지 약 50 초의 지속기간 동안 아르곤 플라즈마에 노출될 수도 있다. 다양한 실시예들에서, 캡슐화 층들은 (1) 짧은 펄싱된 플라즈마를 포함하는 PECVD 증착과 (2) 불활성 가스 및 플라즈마에 대한 노출들 사이를 순환함으로써 증착될 수도 있다. 또 다른 후-처리 프로세싱 동작은 증착된 캡슐화 층을 300 ℃ 미만의 기판 온도에서 UV 복사선에 노출하는 것을 포함한다.
개시된 실시예들에 의해 증착된 막들은 통상적으로 25 % 내지 35 %의 수소 함량을 갖는, 종래의 기법들에 의해 증착된 캡슐화 층들보다 적은 약 50 % 내지 70 %의 수소인 수소 함량을 갖는다. 다양한 실시예들에서, 증착된 캡슐화 막들은 FTIR을 사용하여 원자%로 약 10 % 내지 15 %의 수소 함량을 갖는다.
본 명세서에 기술된 방법들은, IV족 원소 나이트라이드들 또는 카바이드들을 포함할 수도 있는 임의의 적합한 화학물질의 캡슐화 층들을 증착하도록 사용될 수도 있고, 이들 중 임의의 화합물은 (예컨대 산소를 사용하여) 도핑되거나 도핑되지 않을 수도 있다. 다양한 실시예들에서, 캡슐화 층은 다음의 화학물질들: 실리콘 나이트라이드 (SiN), 실리콘 카바이드 (SiC), 산소 도핑된 실리콘 카바이드 (SiCO), 게르마늄 나이트라이드 (GeN), 게르마늄 카바이드 (GeC), 및 산소 도핑된 게르마늄 카바이드 (GeCO) 중 임의의 화학물질 또는 이들의 임의의 조합들일 수도 있다.
개시된 실시예들은 약 50 Å 내지 약 500 Å의 두께로 캡슐화 층들을 증착하기 적합할 수도 있다. 예를 들어, MRAM 스택 위에 증착된 캡슐화 층은 약 150 Å 내지 약 300 Å의 두께를 가질 수도 있다. PCRAM 스택 위에 증착된 다른 예시적인 캡슐화 층은 두께가 약 50 Å일 수도 있다. 개시된 실시예들은 약 70 % 초과, 또는 약 90 % 초과, 또는 약 70 % 내지 약 90 %의 단차 커버리지를 달성할 수도 있다.
도 2a는 개시된 실시예들에 따라 수행될 수도 있는 동작들을 도시하는 프로세스 흐름도를 제공한다. 동작 201에서, 메모리 스택을 포함하는 기판이 제공된다. 다양한 실시예들에서, 기판은 프로세스 챔버에 제공된다. 예시적인 프로세스 챔버들은 도 4 내지 도 6에 대해 이하에 더 기술된다.
기판은 유전체, 도전성 또는 반도전성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 하부 층들의 비제한적인 예들은 유전체 층들 및 도전성 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. 일부 실시예들에서, 기판은 스택 예컨대 자기 터널 접합을 포함한다. 일부 실시예들에서, 기판은 2 이상의 스택들을 포함하고, 스택들 각각은 도 1에 도시된 층들과 같은 층들을 포함한다. 스택들 사이의 공간은, 스택들 간의 종횡비들이 약 1:1 내지 약 60:1, 또는 약 1.5:1 초과, 또는 약 4:1 초과, 또는 약 1.5:1 내지 60:1, 또는 약 1.5:1 내지 40:1, 또는 약 1.5:1 내지 20:1, 예컨대 약 5:1일 수도 있도록 좁을 수도 있다. 일부 실시예들에서, 스택들 사이의 공간은 "피처"로 지칭될 수도 있다. 스택은 비휘발성이고 강자성인 재료들, 예컨대 Co, Fe, Mn, Ni, Pt, Pd, Ru, 및 이들의 조합들 (예컨대 CoFe) 을 함유할 수도 있고, 유전체 층, 예컨대 강자성 재료들의 2 층들 사이의 MgO 층을 포함할 수도 있다. 일부 스택 재료들은 붕소와 함께 상기 열거된 강자성 층들 중 임의의 재료, 예컨대 CoFeB를 포함할 수도 있다.
동작 201 후 그리고 동작 203 전에, 기판은 "온도 소킹 (temperature soak)"을 겪을 수도 있어서, 기판이 본 명세서에 기술된 바와 같은 다양한 동작들을 겪을, 프로세스 온도로 기판이 가열된다. 예를 들어, 개시된 방법들은 약 300 ℃ 미만, 예컨대 약 250 ℃ 또는 약 200 ℃, 또는 약 200 ℃ 내지 약 250 ℃의 기판 온도에서 수행될 수도 있다. 따라서, 일부 실시예들에서, 프로세스 챔버 내에서 기판을 홀딩하는 페데스탈이 프로세스 온도로 기판을 가열하고 프로세싱 전에 온도를 안정화하도록 예를 들어, 약 250 ℃의 온도로 설정될 수도 있도록 기판은 온도 소킹에 노출된다.
동작 203에서, 캡슐화 층은 메모리 스택 상에 증착된다. 다양한 실시예들에서, 캡슐화 층은 2 이상의 메모리 스택들 상에 증착된다. 많은 실시예들에서, 캡슐화 층은 컨포멀한 막으로서 증착된다.
캡슐화 층은 다양한 방법들에 의해 증착될 수도 있다. 도 2b 및 도 2c는 개시된 실시예들에 따라 캡슐화 층을 증착하는 2가지 예시적인 방법들의 동작들을 도시하는 프로세스 흐름도들이다.
도 2b의 동작들은 도 2a의 동작 203을 수행하도록 사용될 수도 있다. 도 2b의 동작 213a에서, 기판은 저 기판 온도에서 증착 전구체 및 반응물질에 연속해서 노출될 수도 있다. 예를 들어, 다양한 실시예들에서, 기판이 약 300 ℃ 미만의 온도로 가열되는 동안, 증착 전구체 및 반응물질의 연속적인 플로우들이 기판을 하우징하는 프로세스 챔버로 흐를 수도 있다.
캡슐화 층은 증착될 캡슐화 층의 타입에 따라 다양한 반응물질들을 사용하여 증착될 수도 있다. 예를 들어, 실리콘 나이트라이드 캡슐화 층의 증착은 실리콘-함유 전구체 및 질소-함유 반응물질에 기판을 노출함으로써 수행될 수도 있다. 또 다른 예에서, 실리콘 카바이드 전구체의 증착은 기판을 실리콘-함유 전구체 및 탄소-함유 반응물질에 노출함으로써 수행될 수도 있다. 또 다른 예에서, 산소 도핑된 실리콘 카바이드 전구체의 증착은 기판을 실리콘-함유 전구체 및 산소-및-탄소-함유 반응물질에 노출함으로써 수행될 수도 있다. 또 다른 예에서, 산소 도핑된 실리콘 카바이드 전구체의 증착은 기판을 실리콘-및-탄소-함유 전구체 및 수소에 노출함으로써 수행될 수도 있다. 또 다른 예에서, 게르마늄 나이트라이드 캡슐화 층의 증착은 기판을 게르마늄-함유 전구체 및 질소-함유 반응물질에 노출함으로써 수행될 수도 있다. 또 다른 예에서, 게르마늄 카바이드 전구체의 증착은 기판을 게르마늄-함유 전구체 및 탄소-함유 반응물질에 노출함으로써 수행될 수도 있다. 또 다른 예에서, 산소 도핑된 게르마늄 카바이드 전구체의 증착은 기판을 게르마늄-함유 전구체 및 산소-및-탄소-함유 반응물질에 노출함으로써 수행될 수도 있다. 또 다른 예에서, 산소 도핑된 게르마늄 카바이드 전구체의 증착은 기판을 게르마늄-및-탄소-함유 전구체 및 수소에 노출함으로써 수행될 수도 있다.
본 명세서에 기술된 방법들에 사용된 일반적인 실리콘-함유 전구체는 다음의 구조를 가질 수도 있고,
Figure 112017063665187-pat00001
여기서 R1, R2, 및 R3은 동일하거나 상이한 치환기들일 수도 있고, 실란들, 아민들, 할라이드들, 수소, 또는 유기기들, 예컨대 알킬아민들, 알콕시, 알킬, 알케닐, 알키닐, 및 방향족들을 포함할 수도 있다.
예시적인 실리콘-함유 전구체들은 폴리실란들 (H3Si-(SiH2)n-SiH3) 을 포함하고, 여기서 n ≥ 1, 예컨대 실란, 디실란, 트리실란, 테트라실란 및 트리실릴아민이다:
Figure 112017063665187-pat00002
일부 실시예들에서, 실리콘-함유 전구체는 알콕시실란이다. 사용될 수도 있는 알콕시실란들은, 이로 제한되는 것은 아니지만, 다음을 포함한다:
Hx-Si-(OR)y 여기서 x = 1 내지 3, x+y = 4이고, R은 치환되거나 치환되지 않은 알킬기; 그리고
Hx(RO)y-Si-Si-(OR)yHx 여기서 x = 1 내지 2, x+y = 3이고 R은 치환되거나 치환되지 않은 알킬기이다.
실리콘-함유 전구체들의 예들은: 메틸실란; 트리메틸실란 (3MS); 에틸실란; 부타실란들; 펜타실란들; 옥타실란들; 헵타실란; 헥사실란; 사이클로부타실란; 사이클로헵타실란; 사이클로헥사실란; 사이클로옥타실란; 사이클로펜타실란; 1,4-디옥사-2,3,5,6-테트라실라사이클로헥산; 디에톡시메틸실란 (DEMS); 디에톡시실란 (DES); 디메톡시메틸실란; 디메톡시실란 (DMOS); 메틸-디에톡시실란 (MDES); 메틸-디메톡시실란 (MDMS); 옥타메톡시도데카실록산 (OMODDS); tert-부톡시디실란; 데트라메틸사이클로데트라실록산 (TMCTS); 테트라옥시메틸사이클로테트라실록산 (TOMCTS); 트리에톡시실란 (TES); 트리에톡시실록산 (TRIES); 및 트리메톡시실란 (TMS 또는 TriMOS) 을 포함한다.
일부 실시예들에서, 실리콘-함유 전구체는 수소 원자들을 갖는 아미노실란, 예컨대 비스디에틸아미노실란, 디이소프로필아미노실란, tert-부틸아미노실란 (BTBAS), 또는 트리스(디메틸아미노)실란일 수도 있다. 아미노실란 전구체들은, 이로 제한되는 것은 아니지만, 다음: Hx-Si-(NR)y을 포함하고, 여기서 x = 1 내지 3, x + y = 4이고 R은 유기기 또는 하이드라이드기이다.
일부 실시예들에서, 실란이 적어도 하나의 수소 원자를 포함하도록 할로겐-함유 실란이 사용될 수도 있다. 이러한 실란은 SiXaHy의 화학식을 가질 수도 있고, y ≥ 1이다. 예를 들어, 디클로로실란 (H2SiCl2) 이 일부 실시예들에서 사용될 수도 있다.
예시적인 질소-함유 반응물질들은 질소 가스 및 암모니아 가스를 포함한다.
예시적인 게르마늄-함유 반응물질들은 게르마늄 나이트라이드, 게르마늄 카바이드, 또는 산소 도핑된 게르마늄 카바이드 층을 형성하도록 반응할 수 있는 임의의 게르마늄-함유 화합물을 포함한다. 예들은 게르만들, 예컨대 GenHn +4, GenHn +6, GenHn+8, 및 GenHm을 포함하고, 여기서 n은 1 내지 10의 정수이고, n은 m과 상이한 정수이다. 다른 게르마늄-함유 화합물들, 예를 들어, 알킬 게르만들, 알킬 게르마늄, 아미노게르만들, 카보게르만들, 및 할로게르만들이 또한 사용될 수도 있다.
예시적인 탄소-함유 반응물질들은 테트라메틸실란, 트리메틸실란, 및 bis 트리부틸아미노실란을 포함한다. 일부 실시예들에서, 실리콘 카바이드 또는 게르마늄 카바이드 캡슐화 층들은 실리콘 및 탄소-함유 전구체를 수소와 반응시킴으로써 증착될 수도 있다.
산소-도핑된 카바이드는 하나 이상의 실리콘-함유 전구체들 이외의 또는 하나 이상의 실리콘-함유 전구체들에 더하여 공-반응물질을 사용함으로써 증착될 수도 있다. 이러한 공-반응물질들의 예들은 이산화탄소 (CO2), 일산화탄소 (CO), 물 (H2O), 메탄올 (CH3OH), 산소 (O2), 오존 (O3), 질소 (N2), 아산화질소 (N2O), 암모니아 (NH3), 메탄 (CH4), 에탄 (C2H6), 아세틸렌 (C2H2), 에틸렌 (C2H4), 디보란, 및 이들의 조합들을 포함한다.
일부 실시예들에서, 증착 동안 막 또는 전구체로부터 탄소를 제거함으로써 실리콘 카바이드의 조성을 변경하도록 산소 및/또는 이산화탄소가 전구체와 함께 도입된다. 일부 실시예들에서, 산소-및-탄소-함유 반응물질, 예컨대 메탄올이 사용될 수도 있다.
다양한 실시예들에서, 산소 도핑된 실리콘 카바이드 캡슐화 층, 또는 산소 도핑된 게르마늄 카바이드 캡슐화 층을 증착하기 위해, 수소 가스가 반응물질로서 사용될 수도 있다.
동작 213b에서, 전구체 및 반응물질이 연속해서 흐르는 동안, 인시츄 플라즈마가 점화될 수도 있고 플라즈마를 턴온 및 턴오프함으로써 펄싱될 수도 있다. 플라즈마는 13.56 ㎒의 플라즈마 주파수로 점화될 수도 있다. 일부 실시예들에서, 플라즈마는 듀얼 주파수 RF 생성기를 사용하여 생성된다. 일부 실시예들에서, 플라즈마는 단일 주파수 RF 생성기를 사용하여 생성된다. 다양한 실시예들에서, 고 주파수 플라즈마를 위한 플라즈마 전력은 약 400 W (0.1 W/㎠) 내지 약 5000 W (1.5 W/㎠) 이다. 다양한 실시예들에서, 저주파수 플라즈마를 위한 플라즈마 전력은 400 W (0.1 W/㎠) 내지 약 3000 W (1W/㎠) 이다. 플라즈마는 약 1 % 내지 약 95 % 범위의 듀티 사이클로 약 2 ㎐ 내지 약 100 ㎑의 펄스 주파수로 펄싱될 수도 있다. 듀티 사이클은 지속기간 T를 갖는 기간 동안 플라즈마가 온인 지속기간으로 규정된다. 지속기간 T는 미리 결정된 기간 동안 펄스 ON 시간에 대한 지속기간 (플라즈마가 ON 상태인 지속기간) 및 플라즈마 OFF 시간에 대한 지속기간 (플라즈마가 OFF 상태인 지속기간) 을 포함한다. 펄스 주파수는 1/T로 이해될 것이다. 예를 들어, 플라즈마 펄싱 기간 T = 100 ㎲에 대해, 주파수는 1/T = 1/100 ㎲, 또는 10 ㎑이다. 듀티 사이클 또는 듀티비는 듀티 사이클 또는 듀티비가 펄스 ON 시간 나누기 기간 T이도록 플라즈마가 ON 상태인 동안 기간 T의 분율 또는 백분율이다. 예를 들어, 플라즈마 펄싱 기간 T = 100 ㎲에 대해, 펄스 ON 시간이 70 ㎲ (이 기간에서 플라즈마가 ON 상태인 지속기간이 70 ㎲이도록) 이고 펄스 OFF 시간은 30 ㎲ (이 기간에서 플라즈마가 OFF 상태인 지속기간이 30 ㎲이도록) 이면, 듀티 사이클은 70 %이다. 일부 실시예들에서, 펄스 단계 동안 가장 짧은 RF 온 시간은 약 5 ㎲만큼 낮을 수 있다. 일부 실시예들에서, 가장 짧은 RF 시간은 약 5 ㎲일 수 있다. 듀티 사이클 및 주파수에 따라, RF 온/RF 오프 펄스들의 다양한 조합들이 수행될 수 있다. 예를 들어, 일부 실시예들에서, 이 동작은 약 0.01 ㎳ 내지 약 5 ㎳, 또는 약 0.02 ㎳ 내지 약 5 ㎳, 또는 약 0.05 ㎳ 내지 약 5 ㎳, 또는 약 0.05 ㎳ 내지 약 1.9 ㎳, 약 0.5 ㎳ 내지 약 1.9 ㎳의 지속기간 동안 수행될 수도 있다. 동작 213b 동안, 플라즈마는 총 플라즈마 지속기간 시간에 따라 수천번에 대해 수백번 펄싱될 수도 있다.
어떠한 특정한 이론에도 매이지 않고, 플라즈마를 펄싱하는 것은 고 단차 커버리지를 갖는 기판 위에 컨포멀한 캡슐화 층을 형성하기 충분한 조건들을 달성한다고 믿어진다. 연속적인 PECVD 플라즈마 증착에서, 플라즈마가 온일 때, 이온들, 라디칼들, 중성종, 및 다른 반응성 종들이 챔버 내에서 생성된다. 이온들의 존재는, 피처의 측벽들 상에 증착된 막들이 균일하게 증착되지 않을 수도 있고 고 단차 커버리지를 달성하지 못할 수도 있도록 지향성을 발생시킨다.
본 명세서에 기술된 바와 같은 펄싱된 PECVD에서, 펄스 각각 후에 플라즈마가 턴오프될 때 반응성 종들은 다음: 전자들이 사라지고/재결합하고, 이온들이 재결합하고, 그리고 라디칼들이 재결합하는 순서로 재결합한다고 믿어진다. 펄스들이 매우 짧기 때문에 (예를 들어, 플라즈마는 짧은 지속기간 동안 턴온되고, 이어서 증착을 허용하도록 보다 긴 지속기간 동안 턴오프된다), 플라즈마가 턴오프될 때, 전자들 및 이온들은 재결합하고, 재료의 증착 시 이온들의 지향성을 제거한다. 라디칼들은 재결합하는데 보다 긴 시간이 걸리고, 따라서 증착은 이온들보다 주로 라디칼들에 의해 구동된다. 이어서 라디칼들은 고 종횡비 피처들 (1.5:1 내지 20:1, 특히 4:1 초과의 애플리케이션들에 적합함) 내로 깊이 파고들 수 있고 피처들의 하단부에서도 컨포멀하고, 고 단차 커버리지 막을 증착할 수 있다.
도 2c는 개시된 실시예들에 따라 캡슐화 층을 증착하는 제 2 예시적인 방법을 제공한다. 도 2c의 동작들은 도 2a의 동작 203을 수행하도록 사용될 수도 있다. 도 2c는 RPCVD를 위해 임의의 적합한 장치 내에서 수행될 수도 있다. 일 예시적인 장치는 도 6에 제공되고 이하에 더 상세히 기술된다.
도 2c의 동작 223a에서, 반응물질은 기판을 하우징하는 프로세스 챔버의 샤워헤드의 업스트림의 플라즈마-생성 영역으로 도입된다. 반응물질은 반응물질 라디칼들을 생성하도록 이 영역으로 도입된다. 동작 223a의 프로세스 조건들이 주로 반응물질 라디칼들을 생성하도록 토글링될 수도 있지만, 일부 이온들, 전자들 및 다른 종들이 플라즈마에서 생성될 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 질소 또는 질소 및 수소 가스의 혼합물은 플라즈마-생성 영역으로 전달되고 주로 반응물질 라디칼들을 함유하는 플라즈마를 형성하도록 점화될 수도 있다.
다양한 실시예들에서, 반응물질은 도 2b의 동작 213a에 대해 상기 기술된 바와 같이, 암모니아 (NH3) 가스는 리모트 플라즈마에서 반응물질 라디칼들을 형성하기에 보다 덜 민감할 수도 있기 때문에, 암모니아 가스를 제외하고, 임의의 질소-함유 반응물질들, 탄소-함유 반응물질들, 산소-및-탄소-함유 반응물질들, 수소, 또는 이들의 조합들일 수도 있다. 실리콘-함유 막 또는 게르마늄-함유 막을 증착하기 위해, 실리콘-함유 전구체 또는 게르마늄-함유 전구체는 이 동작에서 플라즈마-생성 영역으로 전달되는 반응물질이 아닐 수도 있다는 것을 주의한다.
일부 실시예들에서, 플라즈마-생성 영역은 리모트 플라즈마 생성기 내에 있을 수도 있다는 것을 주의한다. 예를 들어, 일부 실시예들에서, 용량 결합 플라즈마 생성기가 사용될 수도 있다. 플라즈마는 13.56 ㎒의 주파수의 약 0 W 내지 약 500 W의 플라즈마 전력을 플라즈마-생성 영역에 인가함으로써 생성된다. 플라즈마는 약 0.1 W/㎠ 내지 약 1.5 W/㎠의 전력 밀도를 사용하여 생성될 수도 있다.
동작 223b에서, 플라즈마-생성 영역으로부터 생성된 반응물질 라디칼들은 샤워헤드를 통해 기판을 하우징하는 프로세스 챔버로 전달된다.
동작 223c에서, 증착 전구체가 반응물질 라디칼들과 반응하고 기판 위에 캡슐화층을 형성하도록 샤워헤드의 다운스트림에서 기판으로 도입된다. 일부 실시예들에서, 증착 전구체는 플라즈마-생성 영역의 다운스트림에서 도입된다. 증착 전구체는 프로세스 챔버 내로 흐르거나 주입될 수도 있다. 다양한 실시예들에서, 증착 전구체는 샤워헤드로부터 분리된 유입부를 통해 프로세스 챔버로 전달된다. 증착 전구체는, 도 2b의 동작 213a에 대해 상기 기술된 바와 같이, 임의의 IV 족-함유 전구체, 예컨대 실리콘-함유 전구체 또는 게르마늄-함유 전구체일 수도 있다.
플라즈마-생성 영역으로부터 전달된 반응물질 라디칼들은 캡슐화 층으로서 기판 표면 상에 증착하는 복합 라디칼들을 형성하도록 증착 전구체와 반응할 수도 있다고 믿어진다. 챔버로 전달된 플라즈마 종은 이온들과 반대되는, 반응물질 라디칼들을 주로 포함하기 때문에, 기판의 표면 상의 이온 충돌로 인한 이온-유도된 손상이 거의 없거나 전혀 없다고 또한 믿어진다. 증착 동안 프로세스 챔버의 압력은 약 1.5 Torr 내지 약 7 Torr일 수도 있다. 일부 실시예들에서, 프로세스 챔버는 보다 컨포멀한 막을 증착하도록 약 7 Torr 초과의 압력을 가질 수도 있다.
동작들 223a 내지 223c는 프로세스 챔버 내의 기판이 약 300 ℃ 미만의 온도로 가열되는 동안 수행될 수도 있다. 예를 들어, 동작 223c에서 형성된 캡슐화 층은 페데스탈의 온도가 약 300 ℃ 미만, 예컨대 약 250 ℃로 설정되는 프로세스 챔버 내에서 페데스탈 상의 기판 위에 형성될 수도 있다.
도 2c에 대해 기술된 캡슐화 방법을 사용하여 증착된 막들은 고 단차 커버리지를 가질 수도 있다. 예를 들어, 8:1의 종횡비를 갖는 피처들을 갖는 기판에 대해, 동작들 223a 내지 223c에 대해 기술된 바와 같은 방법에 따라 증착된 산소 도핑된 실리콘 카바이드 캡슐화 층은 약 90 % 초과의 단차 커버리지를 가질 수도 있다. 이러한 막들은 또한 감소된 수소 함량을 가질 수도 있고, 이는 막이 증착되는 메커니즘으로 인한 것일 수도 있다. 다양한 실시예들에서, 이러한 막들은 막들이 박형이고, 여전히 기밀성이도록 보다 고 품질을 가질 수도 있다. 이들 막들은 측벽들 상, 예컨대 자기 터널 접합에 인접한 영역들 상, 기판의 필드 영역들 상에서 동일한 막 품질을 나타낸다.
다시 도 2a를 참조하면, 동작 205에서, 선택가능한 후-처리가 수행된다. 일부 실시예들에서, 본 명세서에 기술된 후-처리 방법들은 수소 함량을 감소시키고 증착된 층들의 품질을 개선하도록 캡슐화 층들의 종래의 PECVD와 함께 사용될 수도 있다. 그러나, 종래의 PECVD를 사용하여 증착되고 개시된 실시예들에 따라 후-처리된 캡슐화 층들은 (도 2b 및 도 2c에 대해 상기 기술된 바와 같은) 개시된 실시예들 및 본 명세서에 기술된 후-처리 실시예들을 사용하여 증착된 캡슐화 층들만큼 고 단차 커버리지를 갖는 고 품질 막들을 산출하지 못할 수도 있다.
일부 실시예들에서, 후-처리는, 캡슐화 층들이 도 2b 또는 도 2c에 대해 기술된 바와 같은 방법을 사용하여 증착되도록, 선택가능할 수도 있다. 본 명세서에 기술된 바와 같은 증착 방법 및 이하에 더 상세히 기술된 바와 같은 후-처리 방법의 조합을 사용하여 증착된 캡슐화 층들은 후-처리 없이 증착된 막들보다 고 품질, 보다 높은 단차 커버리지를 나타낼 수도 있다. 예들은 본 명세서에 더 상세히 기술된다.
동작 205는 주기적인 플라즈마 처리를 수행함으로써 수행될 수도 있고, 이 예는 도 2a의 동작들 215a 및 215b에 도시된다. 대안적으로, 동작 205는 도 2a의 동작 225에 도시된, UV (ultraviolet) 노출 후-처리 프로세스를 수행함으로써 수행될 수도 있다. 일부 실시예들에서, 기판은 두 후-처리들 중 하나 또는 이들의 조합을 겪을 수도 있다. 예를 들어, 일부 실시예들에서, 캡슐화 층을 증착한 후, 기판은 주기적인 플라즈마 처리에 노출될 수도 있다. 일부 실시예들에서, 동작들은 주기적인 플라즈마 처리 후, 제 2 캡슐화 층이 처리된 제 1 캡슐화 층 위에 증착될 수도 있도록, 주기적인 플라즈마 처리에 노출되는 제 1 캡슐화 층을 포함하는 기판에 대해 반복될 수도 있다. 일부 실시예들에서, 캡슐화 층을 증착한 후, 기판은 UV 후-처리를 위해 UV에 노출될 수도 있다. 일부 실시예들에서, 캡슐화 층을 증착한 후, 기판은 주기적인 플라즈마 처리, 그리고 이어서 UV 후-처리에 노출될 수도 있다.
동작 215a에서, 증착된 캡슐화 층을 포함하는 기판은 후-처리 프로세스를 수행하는 일 방법으로서 증착된 캡슐화 층을 처리하기 위해 약 300 ℃ 미만의 기판 온도에서 실리콘-함유 반응물질 또는 게르마늄-함유 반응물질을 사용하지 않는 플라즈마에 노출된다. 후-처리 프로세스가 사용되는 일부 실시예들에서, 후-처리 프로세스는 캡슐화 층이 약 20 Å 내지 약 50 Å의 두께로 증착된 후 수행될 수도 있다. 일부 실시예들에서, 동작 215a가 주기적으로 수행되도록 도 2b에 대해 상기 기술된 바와 같이 펄싱된 플라즈마 증착이 동작 215a와 조합하여 수행된다. 예를 들어, 일부 실시예들에서, 도 2b의 동작들 213a 및 213b가 수행되는, 도 2a의 동작 203 후에, 실리콘-함유 전구체 및 반응물질의 플로우가 중지되고, 후-처리 가스가 도입되고, 연속적인 플라즈마가 실리콘-함유 반응물질 또는 게르마늄-함유 반응물질을 사용하지 않고 증착된 막을 처리하도록 점화된다. 펄싱된 PECVD 및 후-처리 플라즈마에 대한 후-처리 플라즈마 노출의 조합을 수행하는 것은 펄싱된 PECVD 만을 수행하는 것보다 고품질, 보다 높은 단차 커버리지 및 보다 낮은 수소 함량의 실리콘-함유 막들을 달성한다. 연속적인 플라즈마를 사용하여 증착된 종래에 증착된 PECVD 막들이 후-처리와 조합하여 사용될 수도 있지만, 이러한 막들은 펄싱된 PECVD 및 후-처리의 조합을 사용하여 증착된 막들만큼 고 단차 커버리지를 갖는 고 품질의 막들을 산출하지 못할 수도 있다. 도 2b에 대해 상기 기술된 캡슐화 층이 펄싱된 PECVD 프로세스에 의해 증착되는 다양한 실시예들에서, 동작 215a 동안 플라즈마 노출은 도 2b의 동작 213b의 플라즈마 펄스 각각보다 길 수도 있다. 예를 들어, 다양한 실시예들에서, 동작 215b 동안 플라즈마 노출은, 동작 213b의 펄스들 동안과 같이, 동작 203에서 캡슐화 층을 증착하도록 사용된 플라즈마 펄스 각각이 수백분의 1 ㎳ 내지 수 ㎲만큼 짧은 동안, 약 10 초 내지 약 50 초의 지속기간을 가질 수도 있다. 동작 213b에서 플라즈마 펄싱을 위한 예시적인 펄스 지속기간들은 도 2b에 대해 상기 기술되었다.
또한, 동작 213b와 달리, 동작 215a 동안, 실리콘-함유 반응물질 또는 게르마늄-함유 반응물질들이 프로세스 챔버로 흐르지 않는다. 오히려, 플라즈마가 점화될 때 동작 215 동안 후-처리 가스, 에컨대 불활성 가스가 프로세스 챔버로 흘러, 증착된 캡슐화 층을 개질하고 치밀화할 수도 있는 플라즈마 종을 생성한다. 불활성 가스들은 증착될 막의 타입 및 캡슐화 층 증착 프로세스 동안 사용된 반응물질들에 따라 선택될 수도 있다. 가능한 불활성 가스들의 일반적인 리스트는 질소, 암모니아, 및 헬륨 및 아르곤과 같은 희가스를 포함한다. 가스들은 증착될 막의 타입 및 증착 프로세스 동안 사용된 반응물질들에 따라 선택될 수도 있다. 후-처리 가스는 질소만, 암모니아만, 질소/암모니아 혼합물, 아르곤만, 헬륨만, 아르곤/헬륨 혼합물, 및 이들의 조합들을 포함할 수도 있다. 다른 희가스들이 또한 사용될 수도 있다. 일부 실시예들에서, 실리콘 나이트라이드를 형성하도록 동작 205에서 질소가 사용되더라도, 동작 207은 수소 함량을 감소시키고 막을 치밀화하기 위해 후처리 동안 질소 플라즈마에 대한 노출을 수반할 수도 있다. 불활성 가스와 함께 보다 긴 지속기간들에 대한 주기적인 노출은 증착된 캡슐화 층의 수소 함량을 감소시킨다고 믿어진다. 캡슐화 층의 상부 영역은 감소된 수소 함량을 가질 수도 있다. 예를 들어, 일부 실시예들에서, 캡슐화 층의 약 25 Å 내지 30 Å 상단은 감소된 수소 함량을 가질 수도 있다.
동작 215b에서, 동작들 203 내지 215a는 캡슐화 층이 다양한 사이클들로 증착되도록 선택가능하게 반복될 수도 있고, 사이클 각각은 증착 및 후-처리를 포함한다. 반복된 사이클들은 증착된 캡슐화 층의 품질을 개선하도록 수행될 수도 있다.
동작 225에서, 기판은 약 300 ℃ 미만의 기판 온도에서 UV 복사선에 노출될 수도 있다. 동작 225 동안, 기판은 증착 프로세스 챔버로부터 UV 복사선 프로세스 챔버로 이송될 수도 있다. 예시적인 장치는 도 5에 대해 이하에 기술된다. UV 복사선은 약 60 초 내지 약 600 초의 지속기간 동안 약 180 ㎚ 내지 약 600 ㎚의 파장으로 방출될 수도 있다.
일부 실시예들에서, 동작 225는 캡슐화 층 증착 동안 사용된 기판 온도보다 높은 온도에서 수행될 수도 있다. 일부 실시예들에서, 종래의 PECVD 캡슐화 층이 300 ℃ 초과의 온도에서 증착된다면, 캡슐화 층은 300 ℃ 미만의 온도에서 증착된 캡슐화 층보다 적은 수소 함량을 가질 수도 있지만, 300 ℃ 초과의 온도에서 증착된 캡슐화 층은 UV 처리에 의해 개질에 보다 덜 민감할 수도 있고 따라서 UV 처리는 이러한 캡슐화 층의 전체 수소 함량을 감소시키는 것을 돕지 못할 수도 있다. 따라서, UV 처리는 약 300 ℃ 미만의 온도에서 캡슐화 층이 증착되는 실시예들에 적합하다.
일부 실시예들에서, 증착 동안 기판 온도는 UV 처리 동안 기판 온도와 상이할 수도 있다. 일부 실시예들에서, 주기적인 플라즈마 처리가 또한 증착과 UV 처리 사이에 사용되면, 주기적인 플라즈마 처리 동안 기판 온도는 증착 동안 기판 온도와 같거나 상이할 수도 있다. 다양한 실시예들에서, 기판 온도들이 상이하지만, 동작 각각 동안 기판 온도들은 약 300 ℃ 미만일 수도 있다. 증착 및 UV 처리 양자를 위해 선택된 온도들은 증착될 캡슐화 층 재료에 따를 수도 있다. 예를 들어, 게르마늄-함유 캡슐화 층은 게르마늄-수소 결합들을 포함하고, 이는 실리콘-수소 결합들보다 낮은 에너지를 갖는다. 따라서, 게르마늄-함유 캡슐화 층의 증착 및 처리를 위해, 게르마늄-함유 캡슐화 층때문에 증착은 보다 고온 (예컨대 약 300 ℃) 에서 수행될 수도 있고 동일한, 보다 높은 온도의 UV 복사선으로 처리될 수도 있지만, 게르마늄-함유 캡슐화 층은 보다 저온에서 증착된 층보다 적은 수소 함량을 갖는 층을 발생시키도록 보다 고온에서 증착되지만, 게르마늄과 수소 사이의 결합 에너지가 실리콘과 수소 사이의 결합 에너지보다 작고, 따라서 UV 복사선이 동일한 온도에서 증착된 막의 실리콘-수소 결합들을 개질하지 못할 수도 있는 동안, UV 복사선이 보다 높은 온도에서 증착된 막의 게르마늄-수소 결합들을 개질할 수 있다. 따라서, 일부 실시예들에서, 실리콘-함유 캡슐화 층을 증착하기 위해, 실리콘-함유 캡슐화 층은 보다 낮은 증착 온도 (예컨대 약 250 ℃ 미만의 온도) 로 증착될 수도 있고 이어서 약 300 ℃와 같은 온도에서 UV 복사선을 겪을 수도 있다.
일 예에서, 캡슐화 층은 도 2b에 대해 상기 기술된 방법에 의해 증착될 수도 있고, 이어서 약 300 ℃ 미만, 예컨대 약 300 ℃, 또는 약 250 ℃의 온도에서 UV 처리를 겪을 수도 있다. 또 다른 예에서, 캡슐화 층은 도 2c에 대해 상기 기술된 방법에 의해 증착될 수도 있고, 이어서 약 300 ℃ 미만의 온도에서 UV 처리를 겪을 수도 있다. 또 다른 예에서, 캡슐화 층은 도 2b에 대해 상기 기술된 방법에 의해 증착될 수도 있고, 이어서 동작들 215a 및 215b에 대해 기술된 바와 같이 후-처리를 겪을 수도 있고, 그리고 이어서 약 300 ℃ 미만의 온도에서 UV 처리를 겪을 수도 있다. 또 다른 예에서, 캡슐화 층은 도 2c에 대해 상기 기술된 방법에 의해 증착될 수도 있고, 이어서 동작들 215a 및 215b에 대해 기술된 바와 같이 후-처리를 겪을 수도 있고, 그리고 이어서 약 300 ℃ 미만의 온도에서 UV 처리를 겪을 수도 있다.
도 3은 특정한 개시된 실시예들에 따른 방법의 예를 도시하는 예시적인 타이밍 시퀀스도이다. 프로세스 (300) 는 증착 페이즈 (303), 주기적인 플라즈마 후-처리 페이즈 (315), 및 UV 처리 페이즈 (325) 를 포함한다. 증착 페이즈 (303) 는 도 2a의 동작 203에 대응할 수도 있다. 이 예시적인 프로세스 (300) 에서, 증착 페이즈 (303) 는 도 2b에 대해 상기 기술된 바와 같이 펄싱된 PECVD를 사용하는 캡슐화 층의 증착을 포함한다. 이 예시적인 프로세스 (300) 는 실리콘-함유 캡슐화 층을 증착할 때 제공된다. 도시된 바와 같이, 불활성 가스가 증착 페이즈 (303) 동안 흐를 수도 있다. 실리콘-함유 전구체는 또한 증착 페이즈 (303) 동안 끊임 없이 흐르고, 도 2b의 동작 213a에 대해 상기 기술된 임의의 반응물질들일 수도 있는, 제 2 반응물질이 또한 증착 페이즈 (303) 동안 끊임 없이 흐를 수도 있다. 플라즈마가 짧은 펄스들로 턴온되고 턴오프되도록 플라즈마는 증착 페이즈 (303) 에 도시된 바와 같이 펄싱될 수도 있다. 이 페이즈 동안, UV 노출이 사용되지 않는다.
주기적인 플라즈마 후-처리 페이즈 (315) 에서, 불활성 가스는 계속해서 흐를 수도 있다. 프로세스 (300) 에서 사용된 불활성 가스가 플라즈마를 점화하기 위한 후-처리 가스로서 후-처리 동안 사용되지만, 일부 실시예들에서 주기적인 플라즈마 후-처리는 불활성 가스가 아닌 그리고/또는 프로세스 가스들을 전달하기 위해 사용된 캐리어 가스가 아닌 후-처리 가스를 사용하여 수행될 수도 있다는 것을 주의한다. 예를 들어, 도 2a에 대해 상기 기술된 바와 같은 임의의 적합한 후-처리 가스가 후-처리를 위한 플라즈마를 생성하도록 사용될 수도 있다. 주기적인 플라즈마 후-처리 페이즈 (315) 는 도 2a의 동작들 215a 및 215b에 대응할 수도 있다. 이 페이즈 동안, 실리콘-함유 전구체 플로우 및 제 2 반응물질 플로우는 기판 상에 어떠한 재료의 증착도 방지하도록 턴오프된다. 예로서 도 3에 도시된 바와 같이 2 사이클들 동안 플라즈마가 턴온되고 턴오프된다. 주기적인 플라즈마 후-처리 페이즈의 노출 각각 동안 플라즈마 온 지속기간은 증착 동안 사용된 짧은 펄스들보다 길다는 것을 주의한다. 2 사이클들이 본 명세서에 도시되지만, 일부 실시예들에서, 주기적인 플라즈마 후-처리는 1 사이클 동안 수행될 수도 있고, 또는 2 이상의 사이클들 동안 수행될 수도 있다는 것을 주의한다. UV 처리 페이즈 (325) 는 이 예에서 주기적인 플라즈마 후-처리 페이즈 (315) 에 바로 이어지지만, 일부 실시예들에서, 또 다른 증착 페이즈가 주기적인 플라즈마 후-처리 페이즈 (315) 후에 수행될 수도 있다. 주기적인 플라즈마 후-처리 페이즈 (315) 동안, UV 노출이 없다.
UV 처리 페이즈 (325) 에서, 불활성 가스는 턴오프될 수도 있고, 실리콘-함유 전구체 및 제 2 반응물질 플로우들을 포함하는, 모든 반응물질 플로우들이 턴오프된다. 이 때, 플라즈마가 점화되지 않고, UV 복사선만이 증착된 캡슐화 층을 개질, 치밀화 및/또는 경화하도록 턴온된다. UV 처리 페이즈 (325) 는 도 2a의 동작 225에 대응할 수도 있다.
장치
본 명세서에 제공된 증착 기법들은 PECVD 챔버 또는 CFD (conformal film deposition) 챔버 또는 일부 실시예들에서, ALD (atomic layer deposition) 챔버 내에서 구현될 수도 있다. 이러한 챔버는 많은 형태들을 취할 수도 있고, 각각 하나 이상의 기판 또는 웨이퍼를 하우징할 수도 있고 다양한 기판 프로세싱 동작들을 수행하도록 구성될 수도 있는 도 7에 대해 더 상세히 기술된 바와 같이 (때때로 복수의 스테이션들을 포함하는) 하나 이상의 챔버들 또는 반응기들을 포함하는 장치의 일부일 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들 (이 위치에서 운동, 예를 들어, 회전, 진동 또는 다른 교반과 함께 또는 운동 없이) 에 기판을 유지할 수도 있다. 일 구현예에서, 프로세스 동안, 막 증착을 겪는 기판은 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 (또는 장치 내에서 일 챔버로부터 또 다른 챔버로) 이송될 수도 있다. 다른 구현예들에서, 기판은 상이한 동작들, 예컨대 UV 노출 동작들, 에칭 동작들, 또는 리소그래피 동작들을 수행하도록 장치 내에서 챔버로부터 챔버로 이송될 수도 있다. 완전한 막 증착은 단일 스테이션에서 전체적으로 또는 임의의 증착 단계에 대해 총 막 두께의 임의의 분율로 일어날 수도 있다. 이 프로세스 동안, 기판 각각은 페데스탈, 기판 척, 및/또는 다른 기판-홀딩 장치에 의해 제자리에 홀딩될 수도 있다. 기판이 가열되는 특정한 동작들을 위해, 장치는 히터, 예컨대 히팅 플레이트를 포함할 수도 있다.
도 4는 본 명세서에 기술된 방법들을 구현하기 위해 구성된 다양한 반응기 컴포넌트들을 도시하는 단순한 블록도를 제공한다. 반응기 (400) 는 본 명세서에 기술된 바와 같이 캡슐화 층들을 증착하도록 사용될 수도 있다. 도시된 바와 같이, 반응기 (400) 는 반응기의 다른 컴포넌트들을 둘러싸고 접지된 히터 블록 (420) 과 함께 작용하는 샤워헤드 (414) 를 포함하는 용량-방전 타입 시스템에 의해 생성된 플라즈마를 담도록 역할을 하는 프로세스 챔버 (424) 를 포함한다. HF (high frequency) RF (radio frequency) 생성기 (404) 및 LF (low frequency) RF 생성기 (402) 는 매칭 네트워크 (406) 및 샤워헤드 (414) 에 연결될 수도 있다. 매칭 네트워크 (406) 에 의해 공급된 전력 및 주파수는 프로세스 챔버 (424) 에 공급된 프로세스 가스들로부터 플라즈마를 생성하기 충분할 수도 있다. 예를 들어, 매칭 네트워크 (406) 는 100 W 내지 1000 W의 전력을 제공할 수도 있다. HFRF 컴포넌트는 일반적으로 1 ㎒ 내지 100 ㎒, 예를 들어, 13.56 ㎒일 수도 있다. LF 컴포넌트가 사용되는 동작들에서, LF 컴포넌트는 약 1 ㎒ 미만, 예를 들어, 100 ㎑일 수도 있다. 일부 실시예들에서, 플라즈마는 듀티 사이클에 대해 약 300 ㎐ 내지 약 1.5 ㎑, 예컨대 약 500 ㎐의 펄스 주파수로 펄싱될 수도 있다. 제어기 (428) 는 약 0.05 ㎳ 내지 약 5 ㎳, 예컨대 약 0.02 ㎳ 내지 약 1.9 ㎳의 지속기간으로 플라즈마 펄스 각각의 지속기간을 설정하도록 구성될 수도 있다. 일부 실시예들에서, 플라즈마는 본 명세서에 기술된 바와 같은 후-처리로서 주기적인 플라즈마 처리를 위해 턴온될 수도 있다. 주기적인 플라즈마 처리를 위해, 플라즈마는 약 10 초 내지 약 50 초의 지속기간 동안 턴온될 수도 있다.
반응기 (400) 내에서, 페데스탈 (418) 은 기판 (416) 을 지지할 수도 있다. 페데스탈 (418) 은 증착 및/또는 후-처리 동작들 동안 그리고 증착 및/또는 후-처리 동작들 사이에 기판 (416) 을 홀딩하고 이송하기 위한 척, 포크, 또는 리프트 핀들 (미도시) 을 포함할 수도 있다. 척은 정전 척, 기계 척, 또는 산업 및/또는 연구를 위해 사용가능한 다양한 다른 타입들의 척일 수도 있다.
다양한 프로세스 가스들이 유입부 (412) 를 통해 도입될 수도 있다. 예를 들어, 가스들은 IV족-함유 전구체 예컨대 실리콘-함유 전구체 또는 게르마늄-함유 전구체를 포함할 수도 있다. 가스들은 제 2 반응물질, 예컨대 수소, 탄소-함유 반응물질, 산소-함유 반응물질, 산소-및-탄소-함유 반응물질, 질소-함유 반응물질 (예컨대 질소 또는 암모니아), 및 이들의 조합들을 포함할 수도 있다. 일부 실시예들에서, 불활성 가스들 또는 캐리어 가스들이 또한 흐를 수도 있다. 예시적인 불활성 가스들은 아르곤, 헬륨, 일부 경우들에서, 질소를 포함한다. 일부 실시예들에서, 캐리어 가스들은 프로세스 챔버 (424) 로 프로세스 가스들을 전달하기 전에 전달된다.
복수의 소스 가스 라인들 (410) 이 매니폴드 (408) 에 연결된다. 가스들은 미리 혼합될 수도 있고 또는 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 (mass flow) 제어 매커니즘들이 프로세스의 증착 및 후-처리 페이즈들 동안 올바른 가스들이 전달되는 것을 보장하기 위해 채용될 수도 있다. 화학적 전구체(들)가 액체 형태로 전달되는 경우에서, 액체 플로우 제어 매커니즘들이 채용될 수도 있다. 그 후 이러한 액체들은 기화될 수도 있고 프로세스 챔버 (424) 에 도달하기 전에 액체 형태로 공급된 화학적 전구체의 기화점 이상으로 가열된 매니폴드 내에서의 이동 동안 프로세스 가스들과 혼합될 수도 있다.
프로세스 가스들, 예컨대 실리콘-함유 전구체 또는 질소-함유 가스는 유출부 (422) 를 통해 프로세스 챔버 (424) 를 나갈 수도 있다. 진공 펌프 (426), 예를 들어, 1 또는 2 단계 기계적 드라이 펌프 및/또는 터보분자 펌프가 프로세스 챔버 (424) 로부터 프로세스 가스들을 인출하도록 그리고 쓰로틀 밸브 또는 펜둘럼 밸브와 같은 폐루프 제어된 플로우 제한 디바이스를 사용함으로써 프로세스 챔버 (424) 내에서 적합한 저압을 유지하도록 사용될 수도 있다.
장치 (400) 는 하나 이상의 메모리 디바이스들, 하나 이상의 대용량 저장 디바이스들, 및 하나 이상의 프로세서들을 포함할 수도 있는 제어기 (428) 를 포함한다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 장치 (400) 는 프로세스 툴 (400) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (428) 를 포함한다. 시스템 제어기 (428) 는 특정한 지속기간들 동안 다양한 플로우 레이트들로 특정한 프로세스 가스들을 전달하고 플라즈마 주파수, 플라즈마 펄스 주파수, 플라즈마 전력, 및 본 명세서에 기술된 바와 같은 다른 프로세스 조건들을 제어하도록 구성될 수도 있다. 시스템 제어기 (428) 는 일부 실시예들에 따라 플라즈마를 턴온 및 턴오프하도록 구성될 수도 있다. 시스템 제어기 (428) 는 도 7에 대해 이하에 기술된 제어기 (750) 의 임의의 특성들을 가질 수도 있다.
일부 실시예들에서, 캡슐화 층은 RPCVD 챔버 내에서 증착될 수도 있다. 도 5는 라디칼-기반 캡슐화 층 증착 프로세스와 같은 특정한 라디칼-기반 프로세스들에 사용될 수도 있는 장치 (500) 의 간략화된 도면을 제공한다. 다른 라디칼-기반 프로세스들 및 반응 챔버들이 또한 특정한 실시예들에 따라 사용될 수도 있다. 장치 (500) 는 챔버 벽들 (503), 챔버 플로어 (504), 및 챔버 천정 (505) 을 포함하는 프로세싱 챔버 (524) 를 포함한다. 프로세싱 챔버 (524) 내부에 기판 (516) 이 놓이는 기판 지지부 (518) 가 있다. 프로세싱 챔버 (524) 는 또한 유입부 (508) 및 배기 유출부 (509) 를 포함한다. 리모트 플라즈마 소스 (510) 가 프로세싱 챔버 (524) 위에 제공된다. 리모트 플라즈마 소스 (510) 는 리모트 플라즈마 소스 내에서 플라즈마를 생성하기 위한 플라즈마 생성기 (미도시) 를 포함한다. 플라즈마 생성기는 유도 결합 플라즈마, 용량 결합 플라즈마, 마이크로파 결합 플라즈마, 등일 수도 있는 플라즈마를 생성하기 위한 하드웨어 (예를 들어, 코일들, 전극들, 등) 를 포함한다. 리모트 플라즈마 소스 (510) 는 복수의 샤워헤드 홀들 (514b) 을 갖는 샤워헤드 (514a) 에 의해 프로세싱 챔버 (524) 로부터 분리된다. 리모트 플라즈마 소스 (510) 는 리모트 플라즈마를 생성하도록 사용된 가스를 제공하기 위한 유입부 (512) 를 갖는다. 장치 (500) 는 프로세스 툴 (500) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (550) 를 포함한다. 시스템 제어기 (550) 는 도 7에 대해 이하에 기술된 제어기 (750) 의 임의의 특성들을 가질 수도 있다.
다양한 실시예들에서, 증착된 캡슐화 층은 예를 들어, 상기 기술된 바와 같이 도 2a의 동작 225에 대해, 개시된 실시예들에 기술된 바와 같은 UV 후-처리를 겪을 수도 있다. 일부 실시예들에서, 증착된 캡슐화 층을 포함하는 기판은 경화를 위해 또는 UV 복사선에 기판을 노출하기 위해 챔버로 이송될 수도 있다. 도 6은 기판 (616) 을 UV에 노출하기 위한 노출 챔버 (624) 의 예의 개략적인 예시를 도시한다. 예를 들어, 도 6에 도시된 장치 (600) 는 도 2a에 대해 상기 기술된 바와 같이 동작 225를 수행하도록 사용될 수도 있다. 장치 (600) 는 플라즈마 생성 부분 (611) 및 샤워헤드 어셈블리 또는 대면플레이트 (617) 에 의해 분리된 노출 챔버 (624) 를 갖는다. 노출 챔버 (624) 내부에서, 플래튼 (또는 스테이지) (618) 은 웨이퍼 지지부를 제공한다. 플래튼 (618) 은 가열/냉각 엘리먼트에 피팅된다 (fitted). 일부 실시예들에서, 플래튼 (618) 은 또한 기판 (616) 에 바이어스를 인가하기 위해 구성된다. 진공 펌프를 통해 도관 (607) 을 통해 노출 챔버 (624) 내에서 저압이 달성된다. 가스성 처리 가스들의 소스들은 유입부 (612) 를 통해 장치 (600) 의 플라즈마 생성 부분 (611) 내로 가스의 플로우를 제공한다. 플라즈마 생성 부분 (611) 은 유도 코일들 (미도시) 에 의해 둘러싸일 수도 있다. 동작 동안, 가스 혼합물들이 플라즈마 생성 부분 (611) 내로 도입되고, 유도 코일들은 에너자이징되고 (energized) 플라즈마는 플라즈마 생성 부분 (611) 내에서 생성된다. 샤워헤드 어셈블리 (614) 은 인가된 전압을 가질 수도 있고 일부 이온들의 플로우를 종료하고 노출 챔버 (624) 내로의 중성 종의 플로우를 허용한다. 장치 (600) 는 장치 (600) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (650) 를 포함한다. 시스템 제어기 (650) 는 도 7에 대해 이하에 기술된 제어기 (750) 의 임의의 특성들을 가질 수도 있다.
상기 논의된 바와 같이, 본 명세서에 논의된 바와 같은 캡슐화의 증착 및 후-처리를 위한 기법들은 멀티-스테이션 또는 단일 스테이션 툴 상에서 구현될 수도 있다. 도 7은 이러한 툴의 예의 개략적인 예시이다. 구체적인 구현예들에서, 4-스테이션 증착 스킴을 갖는 300 ㎜ Lam Vector™ 툴 또는 6-스테이션 증착 스킴을 갖는 200 ㎜ Sequel™ 툴이 사용될 수도 있다. 일부 구현예들에서, 450 ㎜ 기판들을 프로세싱하기 위한 툴들이 사용될 수도 있다. 다양한 구현예들에서, 기판들은 매 증착 및/또는 증착-후 플라즈마 처리 후 인덱싱될 수도 있고, 또는 에칭 챔버들 또는 스테이션들이 또한 동일한 툴의 일부이면, 에칭 단계들 후에 인덱싱될 수도 있고, 또는 기판들을 인덱싱하기 전에 복수의 증착들 및 처리들이 단일 스테이션에서 수행될 수도 있다.
도 7은 인바운드 로드 록 (702) 및 아웃바운드 로드 록 (704) 을 갖는 멀티-스테이션 프로세싱 툴 (700) 의 실시예의 개략도를 도시하고, 인바운드 로드 록 및 아웃바운드 로드 록 중 하나 또는 양자는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서, 로봇 (706) 은 대기 포트 (710) 를 통해 인바운드 로드 록 (702) 으로 로딩된 카세트로부터 포드 (708) 를 통해 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 로봇 (706) 에 의해 인바운드 로드 록 (702) 내의 페데스탈 (712) 상에 위치되고, 대기 포트 (710) 는 닫히고, 로드 록 (702) 은 펌프다운된다. 인바운드 로드 록 (702) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (714) 내로 도입되기 전에 인바운드 로드 록 (702) 내의 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 인바운드 로드 록 (702) 내에서 가열될 수도 있다. 일부 실시예들에서, 웨이퍼는 본 명세서의 다른 곳에서 기술된 바와 같이 인바운드 로드 록 (702) 내에서 "온도 소킹"을 겪을 수도 있다.
챔버 이송 포트 (716) 가 프로세싱 챔버 (714) 에 대해 개방되고, 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내에 웨이퍼를 위치시킨다. 도 7에 도시된 실시예는 로드 록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션 내로 웨이퍼의 직접적인 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (714) 는 도 7에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 718로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 PECVD 증착 모드와 주기적인 플라즈마 후-처리 프로세스 모드 사이에서 스위칭할 수도 있다. 일부 실시예들에서, 프로세스 스테이션은 CVD (chemical vapor deposition) 프로세스 모드와 PECVD 프로세스 모드 사이에서 스위칭할 수도 있다. 일부 실시예들에서, 프로세스 스테이션은 PECVD 프로세스 모드와 RPCVD 프로세스 모드 사이에서 스위칭할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (714) 는 ALD 프로세스 스테이션 또는 PEALD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (714) 는 4 개의 스테이션들을 포함하지만, 특정한 개시된 실시예들에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 7은 프로세싱 챔버 (714) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (790) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (790) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 7은 또한 프로세스 툴 (700) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (750) 의 실시예를 도시한다. 시스템 제어기 (750) 는 하나 이상의 메모리 디바이스들 (756), 하나 이상의 대용량 저장 디바이스들 (754), 및 하나 이상의 프로세서들 (752) 을 포함할 수도 있다. 하나 이상의 프로세서들 (752) 은 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 는 프로세스 툴 (700) 의 모든 액티비티들을 제어한다. 시스템 제어기 (750) 는 대용량 저장 장치 (754) 에 저장되고 메모리 디바이스 (756) 내로 로딩되어 프로세서 (752) 상에서 실행되는 시스템 제어 소프트웨어 (758) 를 실행한다. 대안적으로, 제어 로직은 제어기 (750) 내에서 하드 코딩될 수 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGA (field-programmable gate arrays)) 등이 이들 목적들을 위해서 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 필적할만한 하드 코딩된 로직이 대신에 사용될 수도 있다. 시스템 제어 소프트웨어 (758) 는 타이밍, 가스의 혼합물, 가스 플로우의 양, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이어 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 플라즈마 펄스 주파수, 플라즈마 노출 지속기간, UV 복사선 지속기간, 및 프로세스 툴 (700) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (758) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (750) 와 연관된 대용량 저장 디바이스 (754) 및/또는 메모리 디바이스 (756) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, UV 노출 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 상에 기판을 로딩하고 기판과 프로세스 툴의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 실리콘-함유 가스들, 게르마늄-함유 가스들, 질소-함유 가스들, 탄소-함유 가스들, 산소-및-탄소-함유 가스들, 탄소-함유 가스들, 후-처리 가스들, 및 다른 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
UV 노출 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들에서 UV 복사선의 노출 지속기간을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (750) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (700) 의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (750) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 전력 레벨, 압력, 온도, 플라즈마 펄스 주파수, 플라즈마 노출 지속기간, UV 노출 지속기간, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (750) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이고, 장치가 개시된 실시예들에 따른 방법을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (750) 에 커플링될 수도 있다.
일부 구현예들에서, 제어기 (750) 는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (750) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 플라즈마 펄스 주파수 설정사항들, UV 노출 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (750) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (750) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (750) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (750) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
일부 실시예들에서, 본 명세서에 기술된 기법들을 수행하도록 구성되는 장치가 제공될 수도 있다. 적합한 장치는 다양한 프로세스 동작들을 수행하기 위한 하드웨어 뿐만 아니라 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기 (750) 를 포함할 수도 있다. 시스템 제어기 (750) 는 통상적으로 하나 이상의 메모리 디바이스들 및 다양한 프로세스 제어 장비 예를 들어, 밸브들, RF 생성기들, 기판 핸들링 시스템들, 등과 통신하게 연결되고 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이고, 장치가 개시된 실시예들에 따른 기법, 예를 들어 도 2a 내지 도 2c의 동작들에 제공된 바와 같은 기법을 수행할 것이다. 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (750) 에 커플링될 수도 있다. 제어기 (750) 는 본 명세서에 기술된 바와 같은 증착 동작들과 연관된 다양한 프로세스 파라미터들의 제어를 용이하게 하도록, 다양한 하드웨어 디바이스들, 예를 들어, 질량 유량 제어기들, 밸브들, RF 생성기들, 진공 펌프들, 등과 통신하게 연결될 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 는 반응기 (700) 의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (750) 는 대용량 저장 디바이스에 저장되고, 메모리 디바이스 내로 로딩되어, 프로세서 상에서 실행되는 시스템 제어 소프트웨어를 실행할 수도 있다. 시스템 제어 소프트웨어는 가스 플로우들의 타이밍, 기판 이동, RF 생성기 활성화, 등을 제어하기 위한 인스트럭션들, 뿐만 아니라 가스들의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 기판 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척, 및/또는 서셉터 위치, 및 반응기 장치 (700) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 예를 들어, 소프트웨어는 실리콘-함유 전구체의 플로우 레이트, 반응물질의 플로우 레이트, 질소-함유 가스의 플로우 레이트, 플라즈마 주파수, 플라즈마 펄스 주파수, 플라즈마 전력, UV 노출 시간들, 전구체 및 반응물질 노출 시간들, 후-처리 가스의 플로우 레이트, 및 상기 기술된 플로우 화학물질들 각각에 대한 UV 노출 시간들을 제어하기 위한 인스트럭션들 또는 코드를 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
시스템 제어기 (750) 는 통상적으로 하나 이상의 메모리 디바이스들 (756) 및 인스트럭션들을 실행하도록 프로세서들 (752) 을 포함할 수도 있고, 장치가 개시된 실시예들에 따른 기법을 수행할 것이다. 개시된 실시예들에 따라 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (750) 에 커플링될 수도 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 위해 적절한 장치는 2011년 4월 11일 출원되고 2014년 5월 20일 허여된, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 제 8,728,956 호; 및 2011년 4월 11일 출원되고 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 번호 제 13/084,305 호에 더 논의되고 기술되고, 각각은 전체가 본 명세서에 인용된다.
본 명세서에 기술된 장치들 및 프로세스들은, 예를 들어, 반도체 디바이스들, 디스플레이들, LEDs, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 동작들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
실험
실험 1
실리콘 나이트라이드 (SiN) 캡슐화 층들의 증착을 위한 실험이 수행되었다. SiN 캡슐화 층을 증착하도록 4:1 종횡비 피처를 포함하는 제 1 기판이 실란 (SiH4) 및 질소와 암모니아 (N2/NH3) 의 혼합물에 노출된다. 제 1 기판에 대해 달성된 단차 커버리지는 40 %였다.
300 Å SiN 캡슐화 층을 증착하도록 플라즈마가 0.02 ㎳ 온되고 1.98 ㎳ 오프되는 펄스 주파수의 0 W 내지 500 W로 펄싱되고 플라즈마 주파수가 13.56 ㎒인 동안 4:1 종횡비 피처를 포함하는 제 2 기판이 300 ℃에서 SiH4 및 N2/NH3에 노출되었다. 증착된 막의 하단-대-상단 비는 68 %였고 측벽-대-상단 비는 71 %였다. 발생되는 기판의 예는 도 9에 도시된다. 도 9는 TEOS (903) 및 저온에서 증착된 SiN 층 (905) 그리고 ALD에 의해 증착된 실리콘 옥사이드 막 (907) 을 갖는 기판 (901) 을 포함한다. 본 명세서에 기술된 바와 같이 펄싱된 PECVD에 의해 증착된 실리콘 나이트라이드 층 (909) 은 기판에 대해 70 %의 고 단차 커버리지로 컨포멀하게 증착된다.
실험 2
펄싱되지 않은 PECVD-증착된 SiN 캡슐화 층을 주기적인 플라즈마 후-처리에 노출하는 것을 수반하는 실험이 수행되었다. 펄싱되지 않은 PECVD에 의해 증착된, 증착된 SiN 캡슐화 층을 포함하는 기판이 13.56㎒의 플라즈마 주파수 및 2000 W의 전력에 30 초의 지속기간 동안 후-처리 동작을 위해 아르곤/헬륨 후-처리 가스를 사용한 플라즈마에 노출되었다. 기판은 다음의 노출 시퀀스에 노출되었다: (a) 0.02 ㎳ 동안 플라즈마 온, (b) 1.98 ㎳ 동안 플라즈마 오프, (c) (a) 및 (b) 반복, (d) 10 초 내지 60 초 불활성 플라즈마를 사용하여 후-처리, 및 (e) (a) 내지 (d) 반복.
증착만을 사용한 기판 (점선) 과 주기적인 플라즈마 처리를 사용한 기판 (실선) 양자에 대한 FTIR 스펙트럼이 도 10에 도시된다. 도시된 바와 같이, 실선은 Si-H 결합들을 도시하고, 이는 수소 함량의 감소 및 상승된 치밀화 피크를 암시하고, 양자는 주기적인 플라즈마 처리로부터 발생되는 보다 고 품질 막을 나타낸다.
실험 3
펄싱되지 않은 PECVD-증착된 SiN 캡슐화 층을 UV 노출 후-처리에 노출하기 위해 실험이 수행되었다. 펄싱되지 않은 PECVD에 의해 증착된, 증착된 SiN 캡슐화 층을 포함하는 기판이 300 ℃의 온도에서 300 초의 지속기간 동안 180 ㎚ 내지 600 ㎚의 파장의 UV 복사선에 노출되었다. 증착만을 사용한 기판 (실선) 과 UV 처리를 사용한 기판 (점선) 양자에 대한 FTIR 스펙트럼이 도 11에 도시된다. 도시된 바와 같이, 점선은 1101에서 N-H 결합들의 감소를 도시하고, 이는 수소 함량의 감소를 암시하고; 1103에서 Si-H 결합들의 감소는 또한 수소 함량의 감소를 암시하고; 1105에서 상승된 치밀화 피크를 암시한다. 이들 특성들은 보다 고 품질 막이 UV 처리로부터 발생한다는 것을 암시한다.
실험 4
캡슐화 층들의 증착을 위해 250 ℃에서 리모트 플라즈마 CVD를 사용하여 실험이 수행되었다.
250 ℃의 온도로 설정된 기판을 홀딩하는 페데스탈을 갖는 샤워헤드의 다운스트림에서 기판으로 전달된 실란과 함께 리모트 플라즈마로부터 기판으로 질소 라디칼들을 도입함으로써 샤워헤드를 포함하는 RPCVD 챔버 내에서 4:1 종횡비 피처를 포함하는 제 1 기판 상에 캡슐화 층이 증착되었다. 증착된 막의 단차 커버리지는 80 %를 초과하였다.
250 ℃의 온도로 설정된 기판을 홀딩하는 페데스탈을 갖는 샤워헤드의 다운스트림에서 기판으로 전달된 실리콘-함유 전구체와 함께 리모트 플라즈마로부터 기판으로 라디칼들을 도입함으로써 샤워헤드를 포함하는 RPCVD 챔버 내에서 7:1 종횡비 피처를 포함하는 제 2 기판 상에 SiCO 캡슐화 층이 증착되었다. 도 12a는 습식 에칭 레이트 실험을 수행하기 전 기판을 도시한다. 기판 (1200) 하부층 (1201) 및 TEOS (1203) 를 갖고, 저압에서 증착된 SiN 층 (1207) 및 기판 위에 컨포멀하게 증착된 SiCO 캡슐화 층 (1209) 을 갖는다. 컨포멀성 (conformality) 은 화살표 1219로 도시된다는 것을 주의한다.
기판은 100:1 희석된 HF 용액에 5 분 동안 노출되었다. 도 12b는 이 노출 후 기판 (1220) 을 도시한다. 도시된 바와 같이, 피처의 상단부 및 화살표 1229로 나타낸 측벽들에서 에칭이 보이지 않고, 따라서 습식 에칭 레이트는 증착된 캡슐화 층 (1209) 에 대해 거의 0이라는 것을 암시한다.
실험 5
다양한 방법들로 증착된 캡슐화 층들에 대한 실험이 수행되었다. 기판들은 4 가지 증착 방법들을 겪었다. 제 1 방법은 후-처리를 사용하지 않는 종래의 PECVD를 사용한 연속적인 플라즈마로의 노출 (연속적인 플라즈마와 함께 실리콘-함유 전구체 및 제 2 반응물질에 연속적인 노출) 을 수반한다. 플라즈마 전력은 13.5 ㎒의 플라즈마 주파수를 갖고 500 W로 설정되었다. 이 방법은 도 13a 및 도 13b에서 백색 막대기들 (1302) 로 나타내었다.
제 2 방법은 후-처리를 사용하지 않는 펄싱된 PECVD (펄싱된 플라즈마와 함께 실리콘-함유 전구체 및 제 2 반응물질에 연속적인 노출) 를 수반한다. 플라즈마는 500 ㎐의 펄싱 주파수와 10 %의 듀티 사이클을 갖고 0 W 내지 500 W로 펄싱되었다. 이 방법은 도 13a 및 도 13b에서 대각선 줄무늬 막대들 (1304) 로 나타내었다.
제 3 방법은 펄싱된 PECVD (펄싱된 플라즈마와 함께 실리콘-함유 전구체 및 제 2 반응물질에 연속적인 노출) 과 주기적인 플라즈마 후-처리를 수반한다. 펄싱된 플라즈마 증착 동안 플라즈마는 500 ㎐의 펄스 주파수의 0 W 내지 500 W의 0.2 ㎳ RF 온/1.8 ㎳ RF 오프 펄스들을 사용하여 펄싱되었다. 주기적인 플라즈마 후-처리 동안 플라즈마는 2000 W의 플라즈마 전력 (4-스테이션, 전력 밀도 = 0.6 W/㎠) 및 13.56 ㎒의 플라즈마 주파수로 60 초의 지속기간 동안 턴온되었다. 이 방법은 도 13a 및 도 13b에서 수평 줄무늬 막대들 (1306) 로 나타내었다.
제 4 방법은 종래의 PECVD를 사용한 연속적인 플라즈마로의 노출 (연속적인 플라즈마와 함께 실리콘-함유 전구체 및 제 2 반응물질에 연속적인 노출) 과 주기적인 플라즈마 후-처리를 수반한다. 플라즈마 전력은 13.56 ㎒의 플라즈마 주파수를 갖고 500 W로 설정되었다. 25 Å 내지 30 Å을 증착하기 위해 몇 초 동안 연속적인 플라즈마를 사용하여 막이 증착되었다. 이어서 이 막은 2000 W의 플라즈마 전력 및 13.56 ㎒의 플라즈마 주파수에 60 초의 지속기간 동안 턴온되는 주기적인 플라즈마 후-처리에 노출되었다. 이 사이클은 전체 막 두께가 달성될 때까지 반복된다. 이 방법은 도 13a 및 도 13b에서 어두운 막대들 (1308) 로 나타내었다.
도 13a 및 도 13b에 나타낸 바와 같은 프로세스 조건들 A, B, 및 C에 대한 프로세스 조건들은 이하의 표 1에 개괄되었다.
부분 증착을 위한 프로세스 조건들
A B C
전구체 SiH4 800 sccm SiH4 75 sccm SiH4 100 sccm
반응물질 NH3 800 sccm
N2=20000
NH3 50 sccm
N2=16000
NH3 2125 sccm
N2=16000
증착된 막 SiN SiN SiN
불활성 가스/캐리어 가스 없음 없음 없음
기판 온도 300 ℃ 400 ℃ 400 ℃
챔버 압력 1.5 Torr 7 Torr 9 Torr
HF 13.5 ㎒ O O O
LF 400 ㎑ O X X
도 13a는 4 가지 방법들 각각에 의해 프로세스 조건들의 세트 각각에 노출되고 증착된 기판들에 대해 발생되는 수소 함량을 도시한다. 프로세스 조건들 A에 대해, 주기적인 플라즈마 처리를 겪은 기판에 대한 수소 함량은 주기적인 플라즈마 처리와 함께 펄싱된 플라즈마 처리의 경우보다 적고, 이들 두 방법들에 대한 수소 함량은 후-처리를 사용하지 않은 연속적인 플라즈마 및 펄싱된 플라즈마를 겪은 기판들의 수소 함량보다 적다. 이는 주기적인 플라즈마 후-처리가 수소 함량을 감소시키고, 또한 후-처리를 사용하는 펄싱된 플라즈마가 일부 실시예들에서 실행가능하고 적합한 옵션일 수도 있다는 것을 암시한다.
프로세스 조건들 B에 대해, 펄싱된 플라즈마를 겪은 기판에 대한 수소 함량이 가장 높고, 이는 고온 (400 ℃) 의, 펄싱된 플라즈마가 일부 실시예들에서 적합하지 않을 수도 있다는 것을 암시하지만, 펄싱된 플라즈마와 주기적인 플라즈마 처리의 조합은 실질적으로 수소 함량을 저감시킨다. 주기적인 플라즈마 처리 단독으로는 또한 저 수소 함량을 발생시킨다. 이들 결과들은 400 ℃에서 증착되더라도, 펄싱된 플라즈마 증착과 주기적인 플라즈마 처리의 조합, 또는 주기적인 플라즈마 처리 단독으로 저 수소 함량 막을 발생시킬 수 있다는 것을 암시한다.
프로세스 조건들 C에 대해, 연속적인 플라즈마 및 펄싱된 플라즈마 기판들 양자에 대한 수소 함량이 높지만, 이들 중 하나와 주기적인 플라즈마 처리를 조합하는 것은 수소 함량의 실질적인 저감을 발생시키고, 이는 또한 기판들이 400 ℃에서 증착되더라도, 일부 실시예들에 대해 주기적인 플라즈마 처리가 수소 함량을 감소시키는데 적합할 수도 있다는 것을 지지한다. 결과들은 후-처리가 수소 함량 및 습식 에칭 레이트를 감소시킨다는 것을 나타낸다.
도 13b는 200:1 수소 플루오라이드 에천트를 겪을 때 도 13a의 기판들 각각에 대해 발생되는 습식 에칭 레이트를 도시한다. 프로세스 조건들 A에 대해, 펄싱된 플라즈마, 펄싱된 플라즈마와 주기적인 플라즈마 처리, 및 주기적인 플라즈마 처리에 의해 증착된 캡슐화 층들을 갖는 기판들에 대한 습식 에칭 레이트는 연속적인 플라즈마에 의해 증착된 캡슐화 층을 갖는 기판의 습식 에칭 레이트보다 낮다. 증착되고 주기적인 플라즈마 처리를 겪은 캡슐화 층들에 대한 습식 에칭 레이트는 펄싱된 플라즈마 증착되고 후-처리가 사용되지 않은 캡슐화 층들보다 훨씬 낮다. 보다 낮은 습식 에칭 레이트는 막의 품질이 보다 높고 따라서 후속 프로세싱에서 혹독한 조건들에 대한 노출을 견딜 수 있어서, 캡슐화 층으로 하여금 아래에 놓인 메모리 스택을 효과적으로 보호하게 한다는 것을 암시한다.
프로세스 조건들 B에 대해, 주기적인 플라즈마 처리를 또한 겪은 캡슐화 층들이 후-처리를 겪지 않은 층들보다 낮은 습식 에칭 레이트를 발생시키기 때문에 유사한 경향이 보인다. 유사하게, 프로세스 조건들 C에 대해, 증착되고 주기적인 플라즈마 처리를 겪은 캡슐화 층들에 대한 습식 에칭 레이트는 주기적인 플라즈마 처리를 겪지 않은 층들보다 실질적으로 낮은 습식 에칭 레이트를 가져 유사한 경향이 또한 보인다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (35)

  1. 기판 상에 메모리 디바이스를 캡슐화하는 방법에 있어서,
    (i) 300 ℃ 미만의 기판 온도에서 증착 전구체에 메모리 디바이스를 갖는 기판을 노출하는 단계; 및
    (ii) 상기 메모리 디바이스 위에 캡슐화 층을 증착하도록 반응물질의 존재 하에 플라즈마를 점화하는 단계로서, 상기 캡슐화 층은 기밀성 (hermetic) 이고 선택 가능하게 15 % 미만의 수소 함량을 갖고, 상기 플라즈마는 펄싱된 플라즈마 또는 리모트 플라즈마를 포함하는, 상기 플라즈마를 점화하는 단계를 포함하고,
    상기 캡슐화 층은 PECVD (plasma enhanced chemical vapor deposition) 에 의해 증착되는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  2. 제 1 항에 있어서,
    상기 노출하는 단계는 상기 반응물질의 존재를 포함하고, 상기 점화하는 단계는 펄스들로 상기 플라즈마를 점화하는 것을 포함하고,
    (iii) 15 % 미만의 수소를 갖는 기밀성 캡슐화 층을 형성하기 위해 후-처리 프로세스에 상기 캡슐화 층을 노출하는 단계를 더 포함하는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  3. 제 1 항에 있어서,
    300 ℃ 미만의 온도에서 후-처리 프로세스에 상기 캡슐화 층을 노출하는 단계를 더 포함하는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  4. 제 1 항에 있어서,
    상기 캡슐화 층은 실리콘 나이트라이드, 도핑되지 않은 실리콘 카바이드, 산소 도핑된 실리콘 카바이드, 게르마늄 나이트라이드, 도핑되지 않은 게르마늄 카바이드, 및 산소 도핑된 게르마늄 카바이드로 구성된 그룹으로부터 선택되는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  5. 제 1 항에 있어서,
    상기 캡슐화 층을 증착하도록 상기 플라즈마를 펄싱하는 단계를 더 포함하는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  6. 제 5 항에 있어서,
    상기 플라즈마의 펄스들은 0.02 ㎳ 내지 5 ㎳의 펄스 지속기간을 갖는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  7. 제 5 항에 있어서,
    상기 플라즈마는 100 ㎐ 내지 6 ㎐의 펄싱 주파수로 펄싱되는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  8. 제 1 항에 있어서,
    상기 플라즈마는 상기 리모트 플라즈마를 포함하는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  9. 제 8 항에 있어서,
    상기 점화하는 단계는,
    (a) 리모트 플라즈마 생성기 내에 반응성 종을 생성하는 단계;
    (b) 상기 메모리 디바이스 위에 캡슐화 층을 증착하기 위해 상기 증착 전구체와 반응하도록 프로세스 챔버에 상기 반응성 종을 도입하는 단계; 및
    (c) 기밀성 캡슐화 층을 형성하기 위해 후-처리 프로세스에 상기 캡슐화 층을 노출하는 단계를 포함하는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  10. 제 1 항에 있어서,
    상기 PECVD는 리모트 PECVD (plasma enhanced chemical vapor deposition) 인, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  11. 제 10 항에 있어서,
    상기 리모트 PECVD는,
    (a) 리모트 플라즈마-생성 영역으로 상기 반응물질을 흘리고 반응물질 라디칼들을 생성하도록 상기 플라즈마를 점화하는 단계;
    (b) 상기 반응물질 라디칼들을 샤워헤드를 통해 상기 기판으로 도입하는 단계; 및
    (c) 상기 반응물질 라디칼들을 도입하는 동안 상기 샤워헤드의 다운스트림에서 상기 증착 전구체를 상기 기판으로 도입하는 단계를 포함하는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  12. 제 3 항에 있어서,
    상기 후-처리 프로세스는 상기 기판을 후-처리 가스에 노출시키고 반응물질을 사용하지 않고 제 2 플라즈마를 점화하는 단계를 포함하는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  13. 제 12 항에 있어서,
    상기 기판은 상기 후-처리 가스 및 상기 제 2 플라즈마에 10 초 내지 50 초의 지속기간 동안 노출되는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  14. 제 12 항에 있어서,
    상기 후-처리 가스는 질소, 암모니아, 헬륨, 아르곤, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  15. 제 3 항에 있어서,
    상기 후-처리 프로세스는 상기 기판을 UV 복사선에 노출하는 단계를 포함하는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  16. 제 1 항에 있어서,
    상기 메모리 디바이스는 MRAM (magnetoresistive random-access memory) 인, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  17. 제 1 항에 있어서,
    상기 메모리 디바이스는 자기 터널 접합을 포함하는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  18. 제 1 항에 있어서,
    상기 캡슐화 층은 50 Å 내지 500 Å의 두께로 증착되는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  19. 제 1 항에 있어서,
    상기 PECVD는 펄싱된 PECVD인, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  20. 제 1 항에 있어서,
    상기 메모리 디바이스 위에 증착된 상기 캡슐화 층은 70 % 내지 90 %의 단차 커버리지를 갖는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  21. 제 1 항에 있어서,
    상기 캡슐화 층은 실리콘-함유 전구체 및 질소-함유 반응물질에 상기 기판을 노출시킴으로써 증착된 실리콘 나이트라이드 막인, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  22. 제 1 항에 있어서,
    상기 캡슐화 층은 실리콘-및-탄소-함유 전구체 및 수소에 상기 기판을 노출시킴으로써 증착된 실리콘 카바이드 막인, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  23. 제 1 항에 있어서,
    상기 캡슐화 층을 증착하기 전에, 상기 기판을 300 ℃의 온도로 가열하는 단계를 더 포함하는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  24. 반도체 재료를 포함하는 반도체 기판을 프로세싱하기 위한 장치에 있어서,
    하나 이상의 프로세스 챔버들로서, 적어도 하나의 프로세스 챔버는 상기 반도체 기판을 가열하기 위한 가열된 페데스탈을 포함하는, 상기 하나 이상의 프로세스 챔버들;
    플라즈마 생성기;
    상기 프로세스 챔버들 및 연관된 플로우-제어 하드웨어 내로의 하나 이상의 가스 유입부들; 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신하게 연결되고,
    상기 적어도 하나의 프로세서는 적어도 상기 플로우-제어 하드웨어와 동작하게 연결되고, 그리고
    상기 메모리는,
    (i) 300 ℃ 미만의 온도로 상기 페데스탈 온도를 설정하는 단계;
    (ii) 증착 전구체를 상기 하나 이상의 프로세스 챔버들로 도입하는 단계; 및
    (iii-a) 15 % 미만의 수소 함량을 선택 가능하게 갖는 기밀성 캡슐화 층을 형성하기 위해 상기 하나 이상의 프로세스 챔버들로 상기 증착 전구체 및 반응물질이 도입될 때 펄스들로 플라즈마를 점화하는 단계; 또는
    (iii-b) 플라즈마 생성기에 반응성 종을 생성하고, 15 % 미만의 수소 함량을 선택 가능하게 갖는 기밀성 캡슐화 층을 형성하기 위해 상기 증착 전구체와 반응하도록 상기 하나 이상의 프로세스 챔버들에 상기 반응성 종을 도입하는 단계 중 (iii-a) 또는 (iii-b) 에 의해, 적어도 상기 플로우-제어 하드웨어를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하고,
    상기 캡슐화 층은 PECVD (plasma enhanced chemical vapor deposition) 에 의해 증착되는, 반도체 기판을 프로세싱하기 위한 장치.
  25. 제 24 항에 있어서,
    상기 컴퓨터-실행가능 인스트럭션들은 상기 (iii) 에서 0.02 ㎳ 내지 5 ㎳의 펄스 지속기간들 동안 100 ㎐ 내지 6 ㎐의 펄싱 주파수로 상기 플라즈마를 펄싱하는 것을 포함하는, 반도체 기판을 프로세싱하기 위한 장치.
  26. 제 24 항에 있어서,
    상기 메모리는,
    (iv) 상기 플라즈마를 펄스들로 점화한 후, 상기 하나 이상의 프로세스 챔버들로의 상기 증착 전구체 및 상기 반응물질의 플로우를 중지하고;
    (v) 상기 하나 이상의 프로세스 챔버로 불활성 가스를 도입하고; 그리고
    (vi) 10 초 내지 50 초의 지속기간 동안 플라즈마를 점화함으로써 적어도 상기 플로우-제어 하드웨어를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 더 저장하는, 반도체 기판을 프로세싱하기 위한 장치.
  27. 제 24 항에 있어서,
    UV 복사선 소스를 더 포함하고,
    상기 메모리는 상기 UV 복사선 소스를 턴 온함으로써 적어도 상기 플로우-제어 하드웨어를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 더 저장하는, 반도체 기판을 프로세싱하기 위한 장치.
  28. 기판 상에 메모리 디바이스를 캡슐화하는 방법에 있어서,
    300 ℃ 미만의 기판 온도에서 증착 전구체 및 반응물질에 메모리 디바이스를 갖는 기판을 노출하는 단계;
    상기 메모리 디바이스 위에 펄싱된 PECVD에 의해 캡슐화 층을 증착하기 위해 플라즈마를 점화하는 단계; 및
    15 % 미만의 수소 함량을 갖는 기밀성 캡슐화 층을 형성하기 위해 후-처리 가스에 상기 캡슐화 층을 노출하는 단계를 포함하고,
    상기 기밀성 캡슐화 층은 실리콘 나이트라이드를 포함하고, 그리고
    상기 플라즈마는 ON 상태와 OFF 상태 사이로 펄싱되고, 상기 플라즈마는 상기 OFF 상태에서 턴 오프되고,
    상기 캡슐화 층은 PECVD (plasma enhanced chemical vapor deposition) 에 의해 증착되는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  29. 제 28 항에 있어서,
    상기 반응물질은 비암모니아 가스인, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  30. 제 28 항에 있어서,
    상기 플라즈마는 ON 상태와 OFF 상태 사이로 펄싱되고, 상기 플라즈마는 상기 OFF 상태에서 턴 오프되는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  31. 기판 상에 메모리 디바이스를 캡슐화하는 방법에 있어서,
    코발트, 철, 망간, 니켈, 백금, 팔라듐, 루테늄, 및 이들의 조합들로 구성된 그룹으로부터 선택된 비휘발성 재료의 노출된 표면을 포함하는 메모리 디바이스를 갖는 기판을 제공하는 단계;
    300 ℃ 미만의 기판 온도에서 증착 전구체 및 질소-함유 반응물질에 상기 노출된 표면을 노출하는 단계;
    상기 노출된 표면 상에 캡슐화 층을 증착하기 위해 0 W 사이의 플라즈마 및 0.1 W/cm2 내지 1.5 W/cm2의 플라즈마 전력 밀도를 펄싱하는 단계; 및
    15 % 미만의 수소 함량을 갖는 기밀성 캡슐화 층을 형성하기 위해 후-처리 프로세스에 상기 캡슐화 층을 노출하는 단계를 포함하고,
    상기 캡슐화 층은 PECVD (plasma enhanced chemical vapor deposition) 에 의해 증착되는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  32. 제 31 항에 있어서,
    상기 질소-함유 반응물질은 비암모니아 가스인, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  33. 제 31 항에 있어서,
    상기 후-처리 프로세스는 상기 후-처리 프로세스 전 상기 캡슐화 층의 습식 에칭 레이트에 비해 200:1 희석된 불화수소산에서 상기 기밀성 캡슐화 층의 습식 에칭 레이트를 감소시키는, 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  34. 프로세스 챔버의 기판 상에 메모리 디바이스를 캡슐화하는 방법에 있어서,
    300 ℃ 미만의 기판 온도에서 증착 전구체에 프로세스 챔버 내에 하우징된 메모리 디바이스를 갖는 기판을 노출하는 단계; 및
    리모트 플라즈마 생성기 내에 반응성 종을 생성하는 단계;
    상기 메모리 디바이스 위에 캡슐화 층을 증착하기 위해 상기 증착 전구체와 반응하도록 상기 프로세스 챔버에 상기 반응성 종을 도입하는 단계; 및
    기밀성 캡슐화 층을 형성하기 위해 후-처리 프로세스에 상기 캡슐화 층을 노출하는 단계를 포함하고,
    상기 캡슐화 층은 PECVD (plasma enhanced chemical vapor deposition) 에 의해 증착되는, 프로세스 챔버의 기판 상에 메모리 디바이스를 캡슐화하는 방법.
  35. 제 34 항에 있어서,
    상기 반응성 종은 질소 및 불활성 가스를 포함하는, 프로세스 챔버의 기판 상에 메모리 디바이스를 캡슐화하는 방법.
KR1020170056225A 2016-05-06 2017-05-02 캡슐화 방법 KR102341824B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210180835A KR102596765B1 (ko) 2016-05-06 2021-12-16 캡슐화 방법

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662333054P 2016-05-06 2016-05-06
US62/333,054 2016-05-06
US15/279,310 2016-09-28
US15/279,310 US10157736B2 (en) 2016-05-06 2016-09-28 Methods of encapsulation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210180835A Division KR102596765B1 (ko) 2016-05-06 2021-12-16 캡슐화 방법

Publications (2)

Publication Number Publication Date
KR20170125730A KR20170125730A (ko) 2017-11-15
KR102341824B1 true KR102341824B1 (ko) 2021-12-21

Family

ID=60243645

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020170056225A KR102341824B1 (ko) 2016-05-06 2017-05-02 캡슐화 방법
KR1020170056236A KR20170125732A (ko) 2016-05-06 2017-05-02 Pecvd를 사용하여 컨포멀하고 저 습식 에칭 레이트의 캡슐화 층을 증착하는 방법
KR1020210180835A KR102596765B1 (ko) 2016-05-06 2021-12-16 캡슐화 방법
KR1020230145345A KR20230153345A (ko) 2016-05-06 2023-10-27 캡슐화 방법

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020170056236A KR20170125732A (ko) 2016-05-06 2017-05-02 Pecvd를 사용하여 컨포멀하고 저 습식 에칭 레이트의 캡슐화 층을 증착하는 방법
KR1020210180835A KR102596765B1 (ko) 2016-05-06 2021-12-16 캡슐화 방법
KR1020230145345A KR20230153345A (ko) 2016-05-06 2023-10-27 캡슐화 방법

Country Status (4)

Country Link
US (4) US20170323785A1 (ko)
KR (4) KR102341824B1 (ko)
CN (3) CN107346745B (ko)
TW (2) TW201806128A (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US11011371B2 (en) * 2016-12-22 2021-05-18 Applied Materials, Inc. SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
EP4071835A1 (en) 2017-11-07 2022-10-12 Gallium Enterprises Pty Ltd Buried activated p-(al,in)gan layers
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
CN117637438A (zh) * 2018-01-15 2024-03-01 应用材料公司 添加氩至远程等离子体氧化
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10319696B1 (en) * 2018-05-10 2019-06-11 Micron Technology, Inc. Methods for fabricating 3D semiconductor device packages, resulting packages and systems incorporating such packages
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
JP2022519321A (ja) * 2019-02-11 2022-03-22 アプライド マテリアルズ インコーポレイテッド パルス状にしたrfプラズマを介した膜形成
US11778929B2 (en) 2019-02-27 2023-10-03 International Business Machines Corporation Selective encapsulation for metal electrodes of embedded memory devices
TW202107528A (zh) * 2019-04-30 2021-02-16 美商得昇科技股份有限公司 氫氣輔助的大氣自由基氧化
US11329219B2 (en) 2019-08-06 2022-05-10 Samsung Electronics Co., Ltd. Method of manufacturing a magnetoresistive random access memory device
JP7243521B2 (ja) * 2019-08-19 2023-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
CN114762082A (zh) * 2019-11-01 2022-07-15 应用材料公司 表面被覆材料层
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
US11570020B2 (en) * 2021-02-04 2023-01-31 Archcyber Technology Co. Ltd. Communication converters of dry pumps
US20230030436A1 (en) * 2021-07-30 2023-02-02 Applied Materials, Inc. Plasma treatment process to densify oxide layers
CN113629161B (zh) * 2021-08-04 2024-06-07 苏州拓升智能装备有限公司 间歇等离子体氧化方法和装置、太阳电池的制备方法
WO2023076524A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Atomic layer deposition seam reduction
US20230143204A1 (en) * 2021-11-08 2023-05-11 Tokyo Electron Limited Plasma Enhanced Film Formation Method
WO2023102440A1 (en) * 2021-12-03 2023-06-08 Lam Research Corporation Conformal, carbon-doped silicon nitride films and methods thereof
WO2023147136A1 (en) * 2022-01-31 2023-08-03 Lam Research Corporation Thin film growth modulation using wafer bow
US20240038527A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Forming films with improved film quality

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7163860B1 (en) * 2003-05-06 2007-01-16 Spansion Llc Method of formation of gate stack spacer and charge storage materials having reduced hydrogen content in charge trapping dielectric flash memory device
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4074281B2 (ja) 2004-09-14 2008-04-09 株式会社東芝 磁気ランダムアクセスメモリ
US20070054450A1 (en) 2005-09-07 2007-03-08 Magic Technologies, Inc. Structure and fabrication of an MRAM cell
US20070080381A1 (en) 2005-10-12 2007-04-12 Magic Technologies, Inc. Robust protective layer for MTJ devices
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US20080224305A1 (en) 2007-03-14 2008-09-18 Shah Amip J Method, apparatus, and system for phase change memory packaging
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR100962019B1 (ko) * 2008-06-30 2010-06-08 주식회사 하이닉스반도체 보호막을 포함하는 상변화 메모리 소자 및 그 제조방법
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) * 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US8558295B2 (en) * 2009-08-25 2013-10-15 Electronics And Telecommunications Research Institute Nonvolatile memory cell and method of manufacturing the same
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012204408A (ja) 2011-03-23 2012-10-22 Toshiba Corp 半導体装置の製造方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102029286B1 (ko) 2012-03-09 2019-10-07 버슘머트리얼즈 유에스, 엘엘씨 디스플레이 디바이스를 위한 배리어 물질
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US8716136B1 (en) 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9397143B2 (en) 2013-12-20 2016-07-19 Intel Corporation Liner for phase change memory (PCM) array and associated techniques and configurations
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US10249819B2 (en) 2014-04-03 2019-04-02 Micron Technology, Inc. Methods of forming semiconductor structures including multi-portion liners
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9748093B2 (en) * 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
EP3329032B1 (en) 2015-07-31 2022-09-21 Versum Materials US, LLC Compositions and methods for depositing silicon nitride films
CN108026637A (zh) 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride

Also Published As

Publication number Publication date
US20170323785A1 (en) 2017-11-09
US10566186B2 (en) 2020-02-18
KR102596765B1 (ko) 2023-10-31
US20190157078A1 (en) 2019-05-23
CN107393809A (zh) 2017-11-24
KR20230153345A (ko) 2023-11-06
CN107346745B (zh) 2020-10-16
CN112435934A (zh) 2021-03-02
US10157736B2 (en) 2018-12-18
US20200152452A1 (en) 2020-05-14
US20170323803A1 (en) 2017-11-09
TW201806128A (zh) 2018-02-16
US10763107B2 (en) 2020-09-01
KR20170125732A (ko) 2017-11-15
CN107346745A (zh) 2017-11-14
KR20170125730A (ko) 2017-11-15
KR20210156823A (ko) 2021-12-27
TW201805476A (zh) 2018-02-16

Similar Documents

Publication Publication Date Title
KR102596765B1 (ko) 캡슐화 방법
KR102551503B1 (ko) 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
KR20210152447A (ko) 초박 원자층 증착 막 정확도 두께 제어
KR20200033978A (ko) 유전체 막의 기하학적으로 선택적인 증착
KR20160061885A (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
KR20210150606A (ko) 변조된 원자 층 증착

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant