KR102602830B1 - Ald 및 ale에 의해 컨포멀한 막들의 증착 - Google Patents

Ald 및 ale에 의해 컨포멀한 막들의 증착 Download PDF

Info

Publication number
KR102602830B1
KR102602830B1 KR1020160039946A KR20160039946A KR102602830B1 KR 102602830 B1 KR102602830 B1 KR 102602830B1 KR 1020160039946 A KR1020160039946 A KR 1020160039946A KR 20160039946 A KR20160039946 A KR 20160039946A KR 102602830 B1 KR102602830 B1 KR 102602830B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
silicon
precursor
halogen
Prior art date
Application number
KR1020160039946A
Other languages
English (en)
Other versions
KR20160118968A (ko
Inventor
미첼 다넥
존 헨리
셰인 탱
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160118968A publication Critical patent/KR20160118968A/ko
Application granted granted Critical
Publication of KR102602830B1 publication Critical patent/KR102602830B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

ALD (atomic layer deposition) 동안 할로겐 함유 에천트를 사용하여 컨포멀한 막들을 증착하기 위한 방법들이 제공된다. 방법들은 기판을 제 1 전구체에 노출시키는 단계와 기판을 제 2 플라즈마-활성화된 반응물질에 노출시키는 단계 사이에 질소 트리플루오라이드와 같은 할로겐 함유 에천트에 기판을 노출시키는 단계를 수반한다. 증착될 수도 있는 컨포멀한 막들의 예들은 실리콘 함유 막들 및 금속 함유 막들을 포함한다. 관련된 장치들이 또한 제공된다.

Description

ALD 및 ALE에 의해 컨포멀한 막들의 증착{DEPOSITION OF CONFORMAL FILMS BY ALD AND ATOMIC LAYER ETCH}
반도체 디바이스들과 같은 디바이스들의 제조는 기판 상의 상승된 피처들 또는 리세스된 피처들 내 또는 위에 다양한 유전체 막들, 도전 막들, 또는 반도전성 막들의 증착을 수반할 수도 있다. 기판의 아래에 놓인 토포그래피에 컨포멀한 막들의 증착은, 특히 종횡비들의 상승 및 피처들의 임계 치수들의 감소로 어려울 수 있다.
디바이스 제조시 사용될 수도 있는 막의 일 예는 실리콘 나이트라이드 (SiN) 이다. 실리콘 나이트라이드 박막들은 고유한 물리적, 화학적, 그리고 기계적 속성들을 갖고 따라서 다양한 애플리케이션들에 사용된다. 반도체 디바이스들에 대해, 예를 들어, SiN 막들은 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 캡슐화 층들, 트랜지스터들 내의 변형된 막들, 등에 사용될 수도 있다. SiN 막들을 증착하는 종래의 방법들은 고 종횡비 피처들에서 SiN 막들을 증착하기 위해 사용될 때 오버행을 발생시킬 수도 있다. 디바이스 치수들이 점점 축소됨에 따라, 고 종횡비 피처들 내에 컨포멀한 SiN 막들 및 다른 타입들의 막들을 증착하는 것에 대한 요구가 증가한다.
기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는 챔버 내에서 기판들을 프로세싱하는 방법들을 수반하고, 방법은: (a) 하나 이상의 피처들을 갖는 기판을 제공하는 단계로서, 피처 각각은 피처 개구를 포함하는, 기판을 제공하는 단계; (b) 실리콘 함유 전구체로 하여금 기판의 표면 상에 흡착하게 하여 실리콘 함유 전구체의 흡착된 층을 형성하는 조건들 하에서 실리콘 함유 전구체에 기판을 노출시키는 단계; (c) 기판을 실리콘 함유 전구체에 노출시키는 단계 후에, 기판을 할로겐 함유 에천트에 노출시키는 단계; 및 (d) 피처 개구들에서 또는 피처 개구들 근방에서 실리콘 함유 전구체의 흡착된 층을 선택적으로 에칭하고 실리콘 나이트라이드 막을 형성하도록 기판을 질소 함유 반응물질에 노출시키는 단계 및 플라즈마를 점화하는 단계를 포함한다.
상기 기판은, 할로겐 함유 에천트로 하여금 실리콘 함유 전구체의 흡착된 층 상에 선택적으로 흡착하게 하는 조건들 하에서 할로겐 함유 에천트에 노출된다. 할로겐 함유 에천트들의 예들은 질소 트리플루오라이드, 염소, 플루오로포름, 탄소 테트라플루오라이드, 및 이들의 조합들을 포함한다. 일부 실시예들에서, 할로겐 함유 에천트는 CnF2n+2 또는 CnF2n의 화학식을 갖는 화합물이고, 여기서 n > 1이다.
일부 실시예들에서, 기판을 할로겐 함유 에천트에 노출시키는 단계 후에 챔버는 퍼지된다. 챔버는 아르곤, 헬륨, 질소, 및 수소와 같은 퍼지 가스를 흘림으로써 퍼지될 수도 있다.
실리콘 함유 전구체들의 예들은 실란, 디실란, 트리실란, 테트라실란, 트리실릴아민, 아미노실란들, 및 할로실란들이다. 질소 함유 반응물질들의 예들은 질소, 암모니아, 하이드라진, 및 아민들이다.
일부 실시예들에서, 방법은 단계 (a) 내지 (d) 를 반복하는 단계를 포함한다. 일부 실시예들에서, 단계 (a) 내지 (d) 는 동일한 챔버에서 수행된다.
또 다른 양태는 챔버 내에서 기판들을 프로세싱하는 방법을 수반하고, 방법은: 1 회 이상의 사이클들을 수행함으로써 막을 증착하는 단계를 포함하고, 일 사이클은, (a) 하나 이상의 피처들을 갖는 기판을 제공하는 단계로서, 피처 각각은 피처 개구를 포함하는, 기판을 제공하는 단계; (b) 제 1 전구체로 하여금 기판의 표면 상에 흡착하게 하여 제 1 전구체의 흡착된 층을 형성하는 조건들 하에서 제 1 전구체에 기판을 노출시키는 단계; (c) 기판을 제 1 전구체에 노출시키는 단계 후에, 기판을 할로겐 함유 에천트에 노출시키는 단계; 및 (d) 피처 개구들에서 또는 피처 개구들 근방에서 제 1 전구체의 흡착된 층을 선택적으로 에칭하고 막을 형성하도록 기판을 제 2 반응물질에 노출시키는 단계 및 플라즈마를 점화하는 단계를 포함한다.
상기 할로겐 함유 에천트들의 예들은 질소 트리플루오라이드, 염소, 플루오로포름, 탄소 테트라플루오라이드, 및 이들의 조합들을 포함한다. 일부 실시예들에서, 할로겐 함유 에천트는 CnF2n +2 또는 CnF2n의 화학식을 갖는 화합물이고, 여기서 n > 1이다.
다양한 실시예들에서, 챔버는 단계 (d) 전에 퍼지된다. 막은 예를 들어, 유전체 막 또는 금속 막일 수도 있다. 일부 실시예들에서, 막은 실리콘 나이트라이드, 실리콘 카바이드, 또는 실리콘 옥사이드와 같은 실리콘 함유 막이다. 제 2 반응물질은 산화제 또는 환원제일 수도 있다. 다양한 실시예들에서, 단계 (d) 는 매 n 사이클들마다 수행되고, 여기서 n은 1 이상의 정수이다.
또 다른 양태는 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: (a) 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; (b) 진공으로 커플링하기 위한 적어도 하나의 유출부; (c) 하나 이상의 실리콘 함유 전구체 소스들 및 하나 이상의 할로겐 함유 에천트들에 커플링된 하나 이상의 프로세스 가스 유입부들; (d) RF (radio frequency) 생성기; 및 (e) 장치의 동작들을 제어하기 위한 제어기를 포함하고, 제어기는, (i) 실리콘 함유 전구체를 프로세스 챔버로 도입하고, (ii) 실리콘 함유 전구체를 도입한 후, 할로겐 함유 에천트를 프로세스 챔버로 도입하고, 그리고 (iii) 실리콘 나이트라이드 막을 형성하도록 질소 함유 반응물질을 프로세스 챔버로 도입하고 플라즈마를 점화시키기 위한 머신 판독가능 인스트럭션들을 포함한다.
상기 제어기는 또한 프로세스 챔버를 퍼지하기 위해 질소 함유 반응물질을 도입하기 전에 퍼지 가스를 도입하기 위한 머신 판독가능 인스트럭션들을 포함할 수도 있다.
상기 할로겐 함유 에천트들의 예들은 질소 트리플루오라이드, 염소, 플루오로포름, 탄소 테트라플루오라이드, 및 이들의 조합들을 포함한다. 일부 실시예들에서, 할로겐 함유 에천트는 CnF2n +2 또는 CnF2n의 화학식을 갖는 화합물이고, 여기서 n > 1이다.
또 다른 양태는 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: (a) 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; (b) 진공으로 커플링하기 위한 적어도 하나의 유출부; (c) 하나 이상의 전구체 소스들 및 하나 이상의 할로겐 함유 에천트들에 커플링된 하나 이상의 프로세스 가스 유입부들; (d) RF 생성기; 및 (e) 장치의 동작들을 제어하기 위한 제어기를 포함하고, 제어기는, (i) 전구체를 프로세스 챔버로 도입하고, (ii) 전구체를 도입한 후, 할로겐 함유 에천트를 프로세스 챔버로 도입하고, 그리고 (iii) 막을 형성하도록 제 2 반응물질을 프로세스 챔버로 도입하고 플라즈마를 점화시키기 위한 머신 판독가능 인스트럭션들을 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 개시된 실시예들에 따른, 방법을 위한 동작들을 도시하는 프로세스 흐름도이다.
도 2a 및 도 2b는 개시된 실시예들에 따른, 막들을 증착하기 위한 메커니즘의 예의 개략적인 도면들이다.
도 3은 개시된 실시예들에 따른, 방법에서 예시적인 사이클들을 도시하는 타이밍 시퀀스도이다.
도 4는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 5는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 6a는 피처들을 갖는 기판 상에 증착된 실리콘 나이트라이드 막의 이미지이다.
도 6b는 개시된 실시예들에 따라 수행된 실험에서 피처들을 갖는 기판 상에 증착된 실리콘 나이트라이드 막의 이미지이다.
이하의 기술에서, 다수의 구체적인 상세들이 본 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술될 것이지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
이하에 기술된 구현예들은 웨이퍼 또는 다른 워크피스와 같은 기판 상의 재료의 증착을 기술한다. 워크피스는 다양한 사이즈들, 형상들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 명세서에 개시된 구현예들을 사용할 수도 있는 다른 워크피스들은 인쇄 회로 기판들 등과 같은 다양한 물품들을 포함한다. 프로세스들 및 장치들은 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조에 이용될 수 있다.
일 예에서, 실리콘 나이트라이드는 반도체 디바이스 제조시, 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 및 캡슐화 층들로서 사용될 수도 있다. 특정한 애플리케이션에서, 실리콘 나이트라이드는 메모리 디바이스에서 메모리 캡슐화 층으로서 사용된다. 이러한 디바이스들에서, 가열될 때 상들 (phases) 이 변화하는 상 변화 층 상에 탄소 층이 증착될 수도 있다. 손상을 받는다면, 상 변화 층은 상들을 변화시키지 않을 수도 있다. 상 변화 층은 또한 광에 민감할 수도 있다. 상 변화 층에 대한 어떠한 손상도 방지하기 위해, 실리콘 나이트라이드 컨포멀 메모리 캡슐화 층이 상 변화 층 상에 증착될 수도 있다. 메모리 캡슐화 층은 다른 화합물들의 오염이 거의 없거나 전혀 없고, 디바이스를 손상시키는 것을 방지하기 위해 저온에서 증착된다. 컨포멀한 실리콘 나이트라이드 층들은 또한 다른 애플리케이션들에서 사용될 수도 있다.
ALE (atomic layer etch) 기법들과 ALD (atomic layer deposition) 를 통합함으로써 컨포멀한 막들을 증착하는 방법들이 본 명세서에 제공된다. 개시된 실시예들은 질소 함유 플라즈마와 반응하기에 충분히 반응성인 실리콘 함유 전구체의 흡착된 층을 형성하는 실리콘 나이트라이드를 증착하는 방법들을 포함한다. 본 명세서에 제공된 예들은 실리콘 나이트라이드의 컨포멀한 증착을 기술하지만, 개시된 실시예들을 사용하여 다른 재료들이 증착될 수도 있다는 것이 이해될 것이라는 것을 주의한다. 예를 들어, 본 명세서에 제공된 방법들은 디바이스 제조시 실리콘 옥사이드, 실리콘 카바이드, 도핑된 실리콘 함유 막들, 알루미늄 나이트라이드 및 티타늄 나이트라이드와 같은 금속 함유 막들 및 다른 컨포멀한 막들을 증착하기 위해 사용될 수도 있다.
증착된 막들은 컨포멀하다. 막들의 컨포멀성 (conformality) 은 단차 커버리지로 측정될 수도 있다. 단차 커버리지는 피처의 하단, 측벽, 또는 상단 상에 증착된 막의 평균 두께와 피처의 하단, 측벽, 또는 상단 상에 증착된 막의 평균 두께를 비교함으로써 계산될 수도 있다. 예를 들어, 단차 커버리지는 측벽 상에 증착된 막의 평균 두께를 피처의 상단에 증착된 막의 평균 두께로 나누고 백분율을 얻기 위해 100을 곱함으로써 계산될 수도 있다. 특정한 개시된 실시예들은 ALD 사이클 동안 플라즈마 분위기에서 기판을 전구체에 노출시키는 단계와 기판을 제 2 반응물질에 노출시키는 단계 사이에 기판을 에천트에 노출시킴으로써 기판 상에 컨포멀 층을 증착하는 단계를 수반한다.
본 명세서에 제공된 방법들은 일부 ALE 기법들을 ALD와 통합함으로써 막들의 증착을 수반한다. ALE는 순차적인 자기 제한 반응들을 사용하여 재료의 박층들을 제거하는 기법이다. 통상적으로, ALE 사이클은 반응층을 형성하기 위한 개질 동작, 및 이어서 이 개질된 층만을 제거하거나 에칭하기 위한 제거 동작을 포함한다. 예로서, ALE 사이클은 이하의 동작들: (i) 기판을 하우징하는 챔버로 반응물질 가스의 전달, (ii) 챔버로부터 반응물질 가스의 퍼지, (iii) 제거 가스 및 선택가능한 플라즈마의 전달, 및 (iv) 챔버의 퍼지를 포함할 수도 있다.
ALD는 순차적인 자기 제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 기판 표면으로 적어도 하나의 반응물질을 전달하고 흡착시키고, 이어서 막의 부분적인 층을 형성하도록 흡착된 반응물질을 하나 이상의 반응물질들과 반응시키는 동작들을 포함한다. 예로서, 실리콘 나이트라이드 증착 사이클은 이하의 동작들: (i) 실리콘 함유 전구체의 전달/흡착, (ii) 챔버로부터 실리콘 함유 전구체의 퍼지, (iii) 질소 플라즈마의 전달, 및 (iv) 챔버로부터 플라즈마의 퍼지를 포함할 수도 있다. 다른 타입들의 막들이 다양한 전구체들 및 공반응물질들의 펄스들을 사용하여 증착될 수도 있다.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층단위로 막들을 증착하도록 표면-매개 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는 기판 표면은, 기판을 하우징하는 챔버에 제공된 도즈 내의 실리콘 함유 전구체와 같은 제 1 전구체의 가스 상 분배에 노출된다. 이 제 1 전구체의 분자들은 기판 표면 상에 흡착되고, 제 1 전구체의 화학흡착 종 및/또는 물리흡착 분자들을 포함한다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것을 이해해야 한다. 예를 들어, 실리콘 함유 전구체의 흡착된 층은 실리콘 함유 전구체뿐만 아니라 실리콘 함유 전구체의 유도체들을 포함할 수도 있다. 제 1 전구체 도즈 후에, 이어서 챔버는 주로 또는 흡착된 종만 남도록 가스 상으로 남아 있는 제 1 전구체의 대부분 또는 모두를 제거하도록 배기된다. 일부 구현예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 반응기는 가스 상의 제 1 전구체의 분압이 반응을 완화시키기에 충분히 낮도록 배기될 수도 있다. 제 2 반응물질, 예컨대 질소 함유 반응물질이 챔버로 도입되어 제 2 반응물질의 분자들의 일부가 표면 상에 흡착된 제 1 전구체와 반응한다. 일부 프로세스에서, 제 2 전구체는 흡착된 제 1 전구체와 즉시 반응한다. 다른 실시예들에서, 제 2 반응물질은 활성화 소스가 적용된 직후에만 반응한다. 이어서 챔버는 결합되지 않은 제 2 반응물질 분자들을 제거하기 위해 다시 배기될 수도 있다. 상기 기술된 바와 같이, 일부 실시예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 부가적인 ALD 사이클들은 막 두께를 구축하기 위해 사용될 수도 있다.
특정한 실시예들에서, ALD 제 1 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 표면을 고르게 포화시키기 위해 전구체가 기판과 콘택트하기 전에 종료된다. 통상적으로, 전구체 플로우는 이때 턴오프되거나 방향이 바뀌고, 퍼지 가스만 흐른다. 이 반-포화 (sub-saturation) 레짐에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 쓰루풋을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간씩 가변할 수도 있다. 반-포화 레짐에서 동작하는 ALD 프로세스들의 예들은 전체가 본 명세서에 참조로서 인용된, 2013년 10월 23일 출원된 명칭이 "SUB-SATURATED ALD AND CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 14/061,587 호에 제공된다.
일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법들 및 장치들은 CFD (conformal film deposition) 방법들일 수도 있고, 이는 각각 전체가 본 명세서에 참조로서 인용된, 명칭이 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 대체적으로 기술된다.
도 1은 개시된 실시예들에 따른 방법들을 수행하기 위한 동작들을 도시하는 프로세스 흐름도의 예이다. 본 명세서에 제공된 예들은 실리콘 나이트라이드 막들을 증착하는 맥락에서 개시된 실시예들을 기술하지만, 방법들은 또한 ALD에 의해 임의의 재료의 막들을 증착하도록 사용될 수도 있다는 것이 이해될 것이다.
도 1의 동작 101에서, 기판은 단일 스테이션 또는 멀티스테이션 챔버의 프로세스 스테이션에 제공된다. 기판은, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼, 상부에 유전체, 도전성 또는 반도전성 재료가 증착된 하나 이상의 재료의 층들을 갖는 웨이퍼들을 포함하는, 실리콘 웨이퍼일 수도 있다. 기판들은 하나 이상의 좁고/좁거나 재차 들어간 개구들, 피처 내의 수축부들, 및 고 종횡비들을 특징으로 할 수도 있는, 비아 또는 콘택트 홀들과 같은 "피처들"을 가질 수도 있다. 피처는 상기 기술된 층들 중 하나 이상에 형성될 수도 있다. 피처의 일 예는 반도체 기판 또는 기판 상의 층의 홀 또는 비아이다. 또 다른 예는 기판 또는 층의 트렌치이다. 다양한 실시예들에서, 피처는 배리어 층 또는 접착 층과 같은 하부 층을 가질 수도 있다. 하부 층들의 비제한적인 예들은 유전체 층들 및 도전 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다.
일부 실시예들에서, 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1, 또는 보다 높은 종횡비를 가질 수도 있다. 피처는 또한 개구와 가까운 치수, 예를 들어, 약 10 ㎚ 내지 500 ㎚, 예를 들어 약 25 ㎚ 내지 약 300 ㎚의 개구 직경 또는 선 폭을 가질 수도 있다. 개시된 방법들은 약 150 ㎚ 미만의 개구를 갖는 피처들을 갖는 기판들 상에서 수행될 수도 있다. 비아, 트렌치, 또는 다른 리세스된 피처는 충진되지 않은 피처 또는 피처로 지칭될 수도 있다. 다양한 실시예들에 따라, 피처 프로파일들은 점진적으로 좁아지고/지거나 피처 개구에서 오버행을 포함할 수도 있다. 재차 들어간 프로파일은 피처의 하단, 폐쇄된 단부 또는 내부로부터 피처 개구로 좁아지는 프로파일들 중 하나이다. 재차 들어간 프로파일은 확산 배리어의 증착과 같은 이전의 막 증착 시 컨포멀하지 않은 막 단차 커버리지로 인해 패터닝 및/또는 오버행 동안 비대칭적인 에칭 운동들에 의해 생성될 수도 있다. 다양한 예들에서, 피처는 피처의 하단의 폭보다 피처의 상단에서 보다 좁은 폭의 개구를 가질 수도 있다.
도 1의 동작들 103 내지 115에서, 불활성 가스가 흐를 수도 있다. 다양한 실시예들에서, 불활성 가스는 캐리어 가스로서 사용된다. 예시적인 캐리어 가스들은 아르곤, 헬륨, 및 네온을 포함한다. 일부 실시예들에서, 캐리어 가스는 증착된 실리콘 나이트라이드 막 내로 수소가 거의 또는 전혀 포함되지 않도록 수소가 아니다. 일부 실시예들에서, 수소 함유 캐리어 가스가 사용될 수도 있다. 일부 실시예들에서, 캐리어 가스는 일부 동작들에서 퍼지 가스로서 사용된다. 일부 실시예들에서, 캐리어 가스는 방향이 바뀐다. 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어, 액체 반응물질의 기화, 반응물질의 보다 신속한 전달을 돕도록 그리고/또는 프로세스 챔버로부터 프로세스 가스들을 제거 및/또는 프로세스 챔버 플럼빙을 위한 스윕핑 가스로서 제공될 수도 있다.
다양한 개시된 실시예들은 약 0.1 Torr 내지 약 20 Torr의 압력에서 수행될 수도 있다. 많은 실시예들에서, 개시된 방법들은 약 650 ℃ 미만, 또는 약 450 ℃ 미만, 또는 약 50 ℃ 내지 약 650 ℃, 예컨대 약 200 ℃의 기판 온도에서 수행될 수도 있다. 이러한 실시예들에서, 페데스탈은 기판 온도를 제어하기 위해 약 450 ℃ 미만의 온도로 설정될 수도 있다. 일부 실시예들에서, 방법은 보다 높은 온도, 예컨대 약 250 ℃ 초과, 또는 450 ℃ 초과의 온도에서 수행된다.
도 1의 동작 103에서, 제 1 전구체가 기판 표면 상에 흡착하도록 기판이 제 1 전구체에 노출된다. 본 명세서에 기술된 예들이 제 1 전구체로서 실리콘 함유 전구체를 사용하지만, 제 1 전구체는 실리콘 나이트라이드, 실리콘 옥사이드, 실리콘 카바이드, 알루미늄 나이트라이드, 텅스텐 나이트라이드, 티타늄 나이트라이드, 티타늄 나이트라이드, 티타늄 옥사이드, 등의 막들과 같은 막들을 기판 상에 증착하기 위해 임의의 적합한 전구체일 수도 있다.
동작 103은 ALD 사이클의 일부일 수도 있다. 상기 논의된 바와 같이, 일반적으로 ALD 사이클은 표면 증착 반응을 1회 수행하기 위해 사용된 동작들의 최소 세트이다. 일부 실시예들에서, 일 사이클의 결과는 기판 표면 상에 적어도 일부의 실리콘 나이트라이드 막층의 생성이다. 사이클은 반응 물질들 또는 부산물들 중 하나의 스윕핑 및/또는 증착될 때 부분적인 막의 처리와 같은 보조 동작들을 포함할 수도 있다. 일반적으로, 사이클은 고유한 동작들의 시퀀스의 일 예를 포함한다. 상기 논의된 바와 같이, 일반적으로 사이클은 표면 증착 반응을 1회 수행하기 위해 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상에, 적어도 부분적인 막 층, 예를 들어, 부분적인 실리콘 나이트라이드 막 층의 생성이다.
동작 103 동안, 기판은, 흡착된 층을 형성하기 위해 제 1 전구체가 기판 상에 흡착하도록 제 1 전구체에 노출된다. 일부 실시예들에서, 실리콘 함유 전구체는, 일단 활성 사이트들이 실리콘 함유 전구체에 의해 점유되면, 부가적인 실리콘 함유 전구체가 기판 표면 상에 거의 또는 전혀 흡착하지 않도록, 기판 표면 상에 자기 제한 방식으로 흡착된다. 예를 들어, 실리콘 함유 전구체들은 기판 표면의 약 60 % 상에 흡착될 수도 있다. 다양한 실시예들에서, 실리콘 함유 전구체가 챔버로 흐를 때, 실리콘 함유 전구체는 기판의 표면 상의 활성 사이트들 상에 흡착하여, 기판 상에 실리콘 함유 전구체의 박층을 형성한다. 다양한 실시예들에서, 이 층은 모노레이어보다 보다 작을 수도 있고, 약 0.2 Å 내지 약 0.4 Å의 두께를 가질 수도 있다. 본 명세서에 제공된 방법들은 약 450 ℃ 미만의 온도에서 수행될 수도 있다. 약 450 ℃보다 높은 프로세스 온도에서, 일부 실리콘 함유 전구체들은 실리콘 층을 형성하도록 분해될 수도 있다.
실리콘 함유 전구체는 실리콘 함유 막을 형성하기 위해 사용된 단일 시약 또는 시약들의 혼합물이고, 시약 또는 시약 혼합물은 적어도 하나의 실리콘 화합물을 함유한다. 일부 실시예들에서, 실리콘 함유 전구체는, 예를 들어, 실란, 할로실란, 또는 아미노실란일 수도 있다. 그러나, 다양한 실시예들에서, 실리콘 함유 전구체는 할로겐-프리이다. 할로겐-프리 실란은 수소기 및/또는 탄소기를 포함할 수도 있지만, 할로겐은 함유하지 않는다.
개시된 실시예들에 따라 사용하기에 적합한 실리콘 함유 전구체들은 폴리실란들 (H3Si-(SiH2)n-SiH3) 을 포함하고, 여기서 n ≥ 0이다. 실란들의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 유기실란들, 예컨대 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 아릴실란, sec-부틸실란, 덱실실란, 이소아밀실란, t-부틸디실란, 디-t-부틸디실란, 등이다.
할로실란은 적어도 하나의 할로겐기를 포함하고, 수소기들 및/또는 탄소기들을 포함하거나 포함하지 않을 수도 있다. 할로실란들의 예들은 요오드실란들, 브로모실란들, 클로로실란들 및 플루오로실란들이다. 할로실란들, 특히 플루오로실란들은 플라즈마가 스트라이킹될 때 실리콘 재료들을 에칭할 수 있는 반응성 할라이드 종을 형성할 수도 있지만, 할로실란이 일부 실시예들에서, 플라즈마가 스트라이킹될 때 챔버로 도입되지 않을 수도 있어서, 할로실란으로부터 반응성 할라이드 종의 형성이 완화될 수도 있다. 구체적인 클로로실란들은 테트라클로로실란, 트리클로로실란, 디클로로실란, 모노클로로실란, 클로로아릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로이소프로필실란, 클로로-sec-부틸실란, t-부틸디메틸클로로실란, 덱실디메틸클로로실란, 등이다.
아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들, 및 탄소들을 함유할 수도 있다. 아미노실란들의 예들은 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란 (각각 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4) 뿐만 아니라, 치환된 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란, 예를 들어, t-부틸아미노실란, 메틸아미노실란, tert-부틸실릴아민, BTBAS (bis(tertiarybutylamino)silane) (SiH2(NHC(CH3)3)2, tert-부틸 실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 다른 예는 트리실릴아민 (N(SiH3)) 이다.
동작 103에서 실리콘 함유 전구체들 대신 사용될 수도 있는 다른 제 1 전구체들의 예들이 이하에 주어진다.
도 2a 및 도 2b는 도 1에 따른 방법의 다양한 스테이지들의 예들의 개략도이다. 도 2a 및 도 2b는 피처들의 상단에 또는 상단 근방일 수도 있는, 피처 개구들에서 또는 피처 개구들 근방에서 기판의 표면 상의 분자들의 예를 도시한다. 일부 실시예들에서, 피처의 측벽들 또는 하단부를 따라 피처의 기판의 표면은 도 2a 및 도 2b에 도시된 바와 같은 화학적 메커니즘들을 나타낼 수도 있다. 도 2a 및 도 2b에 제공된 예들에서, 실리콘 함유 전구체는 디실란이고, 에천트는 질소 트리플루오라이드이고, 제 2 반응물질은 질소이다. 다른 실리콘 함유 전구체들, 에천트들, 및 제 2 반응물질들이 개시된 실시예들에서 사용될 수도 있고, 일부 실시예들에서, 도 2a 및 도 2b에 대하여 기술된 바와 같은 유사한 화학적 메커니즘들을 겪을 수도 있다는 것이 이해될 것이다.
도 2a의 201에서, 기판 (200) 은 디실란에 노출되어, 디실란 분자들 (211, 221, 및 231) 이 기판 (200) 의 표면 상에 흡착하여, 디실란의 흡착된 층을 형성한다.
다시 도 1을 참조하면, 동작 105에서, 프로세스 챔버는 기판의 표면 상에 흡착되지 않은 가스 상의 과잉 실리콘 함유 전구체를 제거하도록 선택가능하게 퍼지된다. 챔버를 퍼지하는 것은 퍼지 가스 또는 스윕핑 가스를 흘리는 것을 수반할 수도 있고, 퍼지 가스 또는 스윕핑 가스는 다른 동작들에서 사용된 캐리어 가스일 수도 있고 또는 상이한 가스일 수도 있다. 일부 실시예들에서, 퍼지는 챔버를 배기하는 것을 수반할 수도 있다. 예시적인 퍼지 가스들은 아르곤, 질소, 수소, 및 헬륨을 포함한다. 일부 실시예들에서, 동작 105은 프로세스 챔버를 배기하기 위한 하나 이상의 배기 하위 페이즈들을 포함할 수도 있다. 대안적으로, 동작 105는 일부 실시예들에서 생략될 수도 있다는 것이 이해될 것이다. 동작 105는 임의의 적합한 지속기간, 예컨대 약 0 초 내지 약 60 초, 예를 들어 약 0.01 초일 수도 있다. 일부 실시예들에서, 하나 이상의 퍼지 가스들의 플로우 레이트를 증가시키는 것은 동작 105의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 가스 플로우 레이트는 동작 105의 지속기간을 수정하기 위해 다양한 반응물질 열역학 특성들 및/또는 프로세스 챔버의 기하학적 특성들 및/또는 프로세스 챔버 플럼빙에 따라 조정될 수도 있다. 일 비제한적인 예에서, 퍼지 페이즈의 지속기간은 퍼지 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이는 기판 쓰루풋을 개선할 수도 있는, 증착 사이클 시간을 감소시킬 수도 있다. 퍼지 후에, 실리콘 함유 전구체들은 기판 표면에 흡착된 채로 남는다.
동작 107에서, 기판은 할로겐 함유 에천트에 노출된다. 할로겐 함유 에천트는 임의의 할로겐 함유 화합물, 예컨대 불소 함유 화합물들 또는 염소 함유 화합물들일 수도 있다. 다양한 실시예들에서, 할로겐 함유 에천트는 질소 트리플루오라이드, 염소, 또는 탄소 함유 에천트, 또는 이들의 조합들이다. 일부 실시예들에서, 탄소 함유 에천트는 CHF3 또는 CnF2n +2 또는 CnF2n (n > 1) 의 화학식을 갖는 화합물, 예컨대 CF4이다. 일부 실시예들에서, ALD가 나이트라이드를 증착하기 위해 사용된다면, 염소 함유 에천트 화합물들은 회피될 수도 있다. 이는 염소 함유 에천트들은 대체로 나이트라이드들을 용이하게 에칭하지 못하기 때문이다.
동작 107을 수행하는 주파수는 증착된 ALD 층들로부터 관찰된 오버행의 양에 따를 수도 있다. 다양한 실시예들에서, 동작 107은 ALD의 매 1 사이클 내지 매 50 사이클마다 수행된다. 일부 실시예들에서, 동작 107은 ALD의 매 사이클에서 수행된다. 일부 실시예들에서, 동작 107은 ALD의 매 50 사이클 이상의 사이클마다 수행된다. 동작 107은 비플라즈마 분위기에서 수행될 수도 있다. 플라즈마 분위기에서 동작 107을 수행하는 것은 많은 양의 반응성 할라이드 종을 생성할 수도 있어서, 기판 상에서 목표된 것보다 많이 에칭한다. 예를 들어, 반응성 할라이드 종은 기판의 표면 상에 흡착된 제 1 전구체의 대부분 또는 전부를 에칭할 수도 있어서, 쓰루풋을 감소시키고 증착 레이트를 감소시킨다.
특정한 이론에 매이지 않고, 할로겐 함유 화합물은 실리콘 함유 전구체의 흡착된 층의 표면에 흡착한다고 여겨진다. 예를 들어, 도 2의 203에서, 질소 트리플루오라이드 분자들 (243) 이 챔버로 도입되어, 질소 트리플루오라이드 분자들 (243) 이 기판 (200) 상의 흡착된 디실란의 층 상에 흡착된다. 많은 실시예들에서, 할로겐 함유 에천트는 기판 표면 대부분 또는 전부에 흡착하기에 충분한 지속기간 동안 챔버 내로 흐른다. 일부 실시예들에서, 할로겐 함유 에천트는 피처 개구들에서 또는 근방에 선택적으로 흡착될 수도 있다. 일부 실시예들에서, 할로겐 함유 에천트는 보다 많은 할로겐 함유 에천트가 수직 피처에 대해, 피처의 하단에서 또는 하단 근방에서보다 피처 개구 근방에서 흡착되도록 선택적으로 흡착될 수도 있다. 피처의 상단에서 또는 근방에서 선택적인 흡착을 용이하게 하기 위한 적절한 프로세스 조건들은 이하에 기술된다.
다시 도 1을 참조하면, 동작 109에서, 챔버는 잔여 에천트, 예컨대, 가스 상으로 남아 있고 제 1 전구체의 흡착된 층의 표면 상에 흡착되지 않은 에천트를 제거하기 위해 퍼지된다. 퍼지 조건들 및 방법들은 동작 105에 대해 상기 기술된 것들 중 임의의 하나일 수도 있다. 일부 실시예들에서, 동작 109는 후속하는 동작들에서 너무 많은 흡착된 제 1 전구체 층을 제거하는 것으로부터 잔여 에천트를 방지하도록 수행된다. 예를 들어, 플라즈마가 점화되는 동안 잔여 질소 트리플루오라이드 가스가 챔버 내에 존재한다면, 여기된 불소가 기판을 스트라이킹할 수도 있고, 따라서 증착보다 보다 많은 에칭이 수행되도록 기판의 표면을 에칭한다. 일부 실시예들에서, 플라즈마가 점화되는 동안 가스 상의 잔여 에천트가 챔버 내에 있다면, 증착 효율성 및 증착 레이트가 느려질 수도 있다.
동작 111에서, 기판은 제 2 반응물질에 노출되고 플라즈마가 점화된다. 제 2 반응물질 플로우 및 플라즈마는 다양한 실시예들에서 동시에 턴온될 수도 있다. 일부 실시예들에서, 제 2 반응물질 플로우는, 예를 들어, 제 2 반응물질 플로우로 하여금 안정화되게 하도록, 플라즈마를 턴온하기 전에 턴온될 수도 있다. 다양한 실시예들에서, 제 2 반응물질은, 기판의 표면 상에 적어도 부분적인 실리콘 나이트라이드 막을 형성하기 위해 질소 함유 반응물질이다. 질소 함유 반응물질은 질소, 예를 들어, 암모니아, 하이드라진, 아민들 (탄소 베어링 아민들) 예컨대 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 사이클로프로필아민, sec-부틸아민, 사이클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸하이드라진, 뿐만 아니라 방향족 함유 아민들 예컨대 아닐린들, 피리딘들, 및 벤질아민들 중 적어도 하나를 포함하는 반응물질 또는 반응물질들의 혼합물이다. 아민들은 1차, 2차, 3차 또는 4차 (예를 들어, 테트라알킬암모늄 화합물들) 이다. 질소 함유 반응물질은 질소 이외에 헤테로원자들을 함유할 수 있고, 예를 들어, 하이드록실아민, t-부틸옥시카르보닐 아민, 및 N-t-부틸 하이드록실아민은 질소 함유 반응물질들이다. 예시적인 질소 함유 반응물질들은 질소 가스, 암모니아, 및 아민들을 포함한다.
다른 실리콘 함유 재료들의 증착을 위해, 상이한 재료들의 막들을 증착하기 위해 다른 반응물질들이 제 2 반응물질로서 사용될 수도 있다. 예를 들어, 개시된 실시예들을 사용하여 실리콘 카바이드 막을 증착하기 위해, 제 2 반응물질은 탄소 함유 반응물질일 수도 있다. 예를 들어, 실리콘 옥사이드의 증착을 위해, 산화제 또는 산소 함유 화합물들이 사용될 수도 있다. 도핑된 막을 증착하기 위해, 도펀트는 또한 제 2 반응물질로서 첨가될 수도 있다. 용어 "제 2 반응물질"은 플라즈마가 ALD 사이클에서 점화될 때 챔버로 도입된 하나 이상의 가스들을 기술하기 위해 사용될 수도 있다는 것을 주의한다.
다양한 실시예들에서, 동작 111 동안 플라즈마 에너지는, 제 2 반응물질, 예컨대, 질소 함유 가스를 이온들 및 라디칼들 및 제 1 전구체의 흡착된 층과 반응하는 다른 활성화된 종으로 활성화하도록 제공된다. 예를 들어, 플라즈마는 질소 라디칼들 또는 이온들을 형성하도록 질소 함유 가스 상 분자들을 직접적으로 또는 간접적으로 활성화할 수도 있다. 플라즈마는 또한 흡착된 에천트를 여기할 수도 있고, 따라서 제 1 전구체를 에칭하고 기판으로부터 제 1 전구체를 제거할 수도 있는 여기된 에천트 종을 형성한다. 피처 프로파일을 맞추고 (tailor) 컨포멀성을 개선하기 위해 충분한 에천트가 여기되도록 챔버의 상태들이 모니터링될 수도 있다. 예를 들어, 플라즈마 조건들은, 피처의 측벽들에서 또는 측벽들 근방에서 또는 피처의 하단부를 향해 보다 적은 여기된 에천트를 형성하는 동안, 피처 개구들에서 또는 근방에서 우선적으로 에칭하도록 제어될 수도 있다. 피처의 상단에서 또는 근방에서 선택적인 흡착을 용이하게 하기 위한 적절한 프로세스 조건들은 이하에 기술된다.
다양한 실시예들에서, 플라즈마는, 플라즈마가 챔버 내에서 기판 표면 바로 위에 형성되도록 인-시츄 플라즈마이다. 인-시츄 플라즈마는 약 0.2122 W/㎠ 내지 약 2.122 W/㎠의 기판 면적 당 전력으로 점화될 수도 있다. 예를 들어, 4 개의 300 ㎜ 웨이퍼들을 프로세싱하는 챔버에 대해, 전력은 약 150 W 내지 약 6000 W, 또는 약 600 W 내지 약 6000 W, 또는 약 800 W 내지 약 4000 W의 범위일 수도 있다. 예를 들어, ALD 프로세스들을 위한 플라즈마들은 2 개의 용량 커플링된 플레이트들을 사용하여 가스에 RF 필드를 인가함으로써 생성될 수도 있다. RF 필드에 의한 플레이트들 간의 가스의 이온화는 플라즈마를 점화하고, 플라즈마 방전 영역에 자유 전자들을 생성한다. 이들 전자들은 RF 필드에 의해 가속되고 가스 상 반응물질 분자들과 충돌할 수도 있다. 이들 전자들과 반응물질 분자들의 충돌은 증착 프로세스에 참여하는 라디칼 종을 형성할 수도 있다. RF 필드는 임의의 적합한 전극들을 통해 커플링될 수도 있다는 것이 이해될 것이다. 다양한 실시예들에서, 적어도 약 13.56 ㎒, 또는 적어도 약 27 ㎒, 또는 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒의 주파수를 갖는 고 주파수 플라즈마가 사용된다. 일부 실시예들에서, 마이크로파 기반 플라즈마가 사용될 수도 있다. 전극들의 비제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. ALD 프로세스들을 위한 플라즈마들은 가스로의 RF 필드의 용량성 커플링 이외의 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 플라즈마는 제 2 반응물질이 챔버의 리모트 플라즈마 생성기 업스트림에서 점화되고, 이어서 기판이 하우징된 챔버로 전달되도록, 리모트 플라즈마이다.
프로세스 조건들은 선택적인 에칭에 의해 컨포멀한 막들을 얻도록 주의깊게 설계된다. 적합한 에칭 온도, 에천트 플로우, 퍼지 동작들, 플라즈마 조건들, 및 에칭 압력의 조합이 목표된 컨포멀성을 달성하는 것을 도울 수 있다. 에칭 컨포멀성이 증착되는 막의 타입 각각에 대해 올바르게 튜닝되지 않으면, 이는 불량한 단차 커버리지를 갖는 컨포멀하지 않은 증착을 발생시킬 수 있다. 프로세스 조건들은 컨포멀하지 않은 에칭 (또한 선택적인 에칭으로 지칭됨) 이 수행되게 할 수도 있다.
보다 높은 온도들에서, 들어오는 에천트 종, 예컨대 불소 원자들은 피처 입구에서용이하게 반응하고 에칭하여, 보다 컨포멀하지 않은 에칭을 발생시키고, 보다 낮은 온도에서, 들어오는 에천트 종은 피처 내로 확산하고 더 에칭할 수 있고, 보다 컨포멀한 에칭을 발생시킨다. 동작 109 동작 보다 짧은 퍼지는 챔버 내 및 기판 상에 보다 많은 에천트를 남기고, 이는 보다 많은 에천트 종이 피처 내로 확산하고 더 에칭되게 한다. 동작 107에서 보다 짧은 에천트로의 노출은 피처 입구에서 반응하고 에칭하는 경향이 있을 것이고, 보다 컨포멀한 에칭을 발생시킨다. 일부 경우들에서, 에천트는 동작 107에서 흘러 할로겐 함유 에천트가 피처들의 상단에서 또는 근방에서 우선적으로 흡착하게 되고, 동작 111 동안, 플라즈마가 점화될 때, 피처들의 상단부는 피처의 측벽들보다 보다 많이 에칭된다. 보다 낮은 에천트 플로우 레이트는 기판 표면 상에 보다 적은 에천트 분자들이 흡착되게 할 것이다. 보다 높은 압력은 분자 불소를 형성하도록 불소 라디칼들과 같은 에천트 종의 보다 많은 재결합을 유발할 것이다. 분자 불소는 불소 라디칼들보다 보다 낮은 부착 계수를 갖고, 따라서 에칭 전에 피처 내로 보다 용이하게 확산하고, 보다 덜 컨포멀한 에칭을 야기한다.
도 2a에 도시된 바와 같이, 205 동안, 기판 (200) 은 플라즈마가 점화되는 동안 제 2 반응물질 (이 예에서, 질소) 에 노출되어, 다른 종, 이온들, 라디칼들 (예를 들어, 205에 도시된 바와 같이 ·N), 및 중성 종을 포함할 수도 있는 여기된 질소 종 (260) 을 생성한다. 제공된 예에서, 플라즈마는 또한 흡착된 질소 트리플루오라이드를 여기하여, 여기된 질소 ·N 및 여기된 불소 종 (250) (예를 들어, ·F) 으로 분해된다. 일부 불소 종 (250) 은 디실란의 실리콘 중심을 공격함으로써 흡착된 디실란과의 반응을 겪을 수도 있는 한편, 질소 트리플루오라이드 또는 질소로부터 여기된 질소 종 (260) 중 일부는 디실란의 실리콘 중심을 공격함으로써 흡착된 디실란과의 반응을 겪는다.
그 결과, 도 2b의 207에서, 여기된 불소는 기판 (200) 의 표면으로부터 디실란을 에칭하고 디실리콘 헥사플루오라이드 (217 및 227) 를 형성하도록 디실란과 반응하여 불소 (255) 는 이제 실리콘에 결합된다. 동시에, 여기된 질소는 기판의 표면 상에서 디실란과 반응하고, 표면 상에 적어도 부분적인 실리콘 나이트라이드 (237) 의 층을 형성하도록 Si-N 결합 (265) 형성될 수도 있다. 일부 실시예들에서, 에칭 프로세스는 상이한 메커니즘을 겪을 수도 있고 207에 도시된 메커니즘은 가능한 메커니즘의 일예라는 것을 주의한다.
도 1을 다시 참조하면, 동작 113에서, 챔버는 에칭된 종 및 임의의 잔여 부산물들을 제거하도록 선택가능하게 퍼지된다. 도 2b에 도시된 바와 같이, 209에서, 챔버가 퍼지된 후, 부분적인 실리콘 나이트라이드 (237) 의 층이 기판 (200) 의 표면 상에 남는다. 흡착된 디실란 층이 201에서 보다 큰 표면적을 커버하더라도, 질소 트리플루오라이드 에천트로부터의 부분적인 에칭으로 인해 209에 도시된 바와 같이 부분적인 실리콘 나이트라이드의 층만이 증착된다는 것을 주의한다. 피처 개구들에서 또는 피처 개구들 근방에서 피처의 표면은, 에칭 사이클 동안 피처 또는 트렌치의 하단부 근방의 피처의 표면에서보다 보다 적은 분율의 부분적인 실리콘 나이트라이드 층을 증착할 수도 있다. 그 결과, 피처 개구들에서 또는 피처 개구들 근방에서의 증착은 맞춰질 수도 있고 전체 증착은 매우 컨포멀하다.
도 1의 동작 115에서, 막의 목표된 두께가 증착되었는지 결정된다. 그렇지 않다면, 동작들 103 내지 113이 목표된 두께의 막을 증착하도록 충분한 사이클들로 반복된다. 목표된 막 두께의 실리콘 나이트라이드를 증착하기 위해 임의의 적합한 수의 증착 사이클들이 ALD 프로세스에 포함될 수도 있다. 예를 들어, 개시된 실시예들을 사용하여 기판 상에 막을 증착하기 위해 약 50 증착 사이클들이 수행될 수도 있다. 상기 주지된 바와 같이, 동작 107은 매 증착 사이클마다 수행될 수도 있거나 수행되지 않을 수도 있다.
도 3은 개시된 실시예들에 따른 예시적인 펄스들의 타이밍 시퀀스도이다. 도 3은 다양한 프로세스 파라미터들, 예컨대 캐리어 가스 플로우, 제 1 전구체 플로우, 에천트 플로우, 플라즈마 및 제 2 반응물질 플로우에 대한 예시적인 ALD 프로세스 (300) 의 페이즈들을 도시한다. 선들은 플로우 또는 플라즈마가 턴온 및 턴오프될 때를 적절히 나타낸다. 예시적인 프로세스 파라미터들은, 이로 제한되는 것은 아니지만, 불활성 및 반응물질 종에 대한 플로우 레이트들, 플라즈마 전력 및 주파수, 기판 온도, 및 프로세스 챔버 압력을 포함한다. 2 개의 증착 사이클들 (310A 및 310B) 이 도시된다. 증착 사이클 각각은 다양한 페이즈들을 포함한다. 예를 들어, 증착 사이클 (310A) 은 제 1 전구체 노출 페이즈 (320A), 퍼지 페이즈 (340A) (선택가능할 수도 있음), 에천트 페이즈 (350A), 퍼지 페이즈 (355A), 플라즈마를 사용한 제 2 반응물질 노출 페이즈 (360A), 및 또 다른 선택가능한 퍼지 페이즈 (380A) 를 포함한다. 유사하게, 증착 사이클 (310B) 은 제 1 전구체 노출 페이즈 (320B), 퍼지 페이즈 (340B) (선택가능할 수도 있음), 에천트 페이즈 (350B), 퍼지 페이즈 (355B), 플라즈마를 사용한 제 2 반응물질 노출 페이즈 (360B) 및 또 다른 선택가능한 퍼지 페이즈 (380B) 를 포함한다. 도시된 바와 같이, 예시적인 프로세스 (300) 에서, 캐리어 가스는 프로세스 내내 흐른다. 다양한 실시예들에서, 캐리어 가스는 퍼지 가스로서 사용된다. 일부 실시예들에서, 캐리어 가스는 퍼지 가스와 상이할 수도 있다는 것을 주의한다. 일부 실시예들에서, 캐리어 가스는 퍼지 페이즈들 (예를 들어, 340A, 355A, 380A, 340B, 355B, 및 380B) 동안 단순히 흐른다. 캐리어 가스는 도 1의 동작 105에 대해 상기 기술된 것들 중 어느 하나일 수도 있다.
제 1 전구체 노출 페이즈 (320A 및 320B) 에서, 제 1 전구체는 턴온되고, 에천트는 없고, 플라즈마는 없고, 그리고 제 2 반응물질은 없다. 이 페이즈는 도 1의 동작 103에 대응할 수도 있다. 도 1의 동작 105에 대응할 수도 있는, 퍼지 페이즈 (340A) 에서, 캐리어 가스는 흐르는 반면, 제 1 전구체, 에천트, 플라즈마 및 제 2 반응물질은 턴오프된다. 도 1의 동작 107에 대응할 수도 있는, 에천트 페이즈 (350) 에서, 에천트는 캐리어 가스와 함께 흐르는 반면, 제 1 전구체, 플라즈마 및 제 2 반응물질은 턴오프된다. 도 1의 동작 109에 대응할 수도 있는, 퍼지 페이즈 (355A) 에서, 캐리어 가스는 흐르는 반면, 제 1 전구체, 에천트, 플라즈마 및 제 2 반응물질은 턴 오프된다. 플라즈마를 사용한 제 2 반응물질 노출 페이즈 (360A) 에서, 제 2 반응물질은 캐리어 가스와 함께 흐르고, 제 1 전구체 및 에천트 플로우들이 턴오프되는 동안 플라즈마는 턴온된다. 도 1의 동작 113에 대응할 수도 있는, 퍼지 페이즈 (380A) 에서, 캐리어 가스는 흐르는 반면 제 1 전구체, 에천트, 플라즈마 및 제 2 반응물질이 턴오프된다. 예시적인 프로세스 (300) 에서, 증착된 막이 적절한 두께 또는 목표된 두께가 아니라고 도 1의 동작 115에서 결정되어, 증착 사이클이 반복된다 (310B로 도시됨).
일부 실시예들에서, 에천트 페이즈를 포함하는 증착 사이클은 에천트 페이즈를 포함하지 않는 많은 증착 사이클들이 수행된 후에만 수행될 수도 있다. 예를 들어, 에천트 페이즈를 포함하는 증착 사이클은 에천트 페이즈를 포함하지 않는 약 50 증착 사이클들 후에 수행될 수도 있다.
상기 주지된 바와 같이, 본 명세서에 제공된 예들이 실리콘 나이트라이드 막들을 증착하지만, 다른 재료들의 막들이 개시된 실시예들을 사용하여 증착될 수도 있다. 예를 들어, 증착된 막은 금속을 함유할 수도 있다. 형성될 수도 있는 금속 함유 막들의 예들은 알루미늄, 티타늄, 하프늄, 탄탈룸, 텅스텐, 망간, 스트론튬, 등의 옥사이드들 및 나이트라이드들 뿐만 아니라 원소적 금속 막들을 포함한다. 예시적인 전구체들은 금속 알킬아민들, 금속 알콕사이드들, 금속 알킬아미드들, 금속 할라이드들, 금속 ß-디케토네이트들, 금속 카르보닐들, 유기 금속들, 등을 포함할 수도 있다. 적절한 금속 함유 전구체들은 막 내로 통합되도록 목표된 금속을 포함할 것이다. 예를 들어, 탄탈룸 함유 층은 펜타키스(디메틸아미도)탄탈룸을 암모니아 또는 또 다른 환원제와 반응시킴으로써 증착될 수도 있다. 채용될 수도 있는 금속 함유 전구체들의 다른 예들은 트리메틸알루미늄 (trimethylaluminum), 테트라에톡시티타늄 (tetraethoxytitanium), 테트라키스-디메틸-아미도 티타늄 (tetrakis-dimethyl-amido titanium), 하프늄 테트라키스(에틸메틸아미드) (hafnium tetrakis(ethylmethylamide)), 비스(사이클로펜타디에닐)망간 (bis(cyclopentadienyl)manganese), 비스(n-프로필사이클로펜타디에닐)마그네슘 (bis(n-propylcyclopentadienyl)magnesium) 을 포함한다. 옥사이드들을 증착하기 위한 예시적인 제 2 반응물질들은 산소와 약 산화제들의 혼합물일 수도 있는 산화제들, 예컨대, 아산화질소, 일산화탄소, 이산화탄소, 일산화질소, 이산화질소, 산화 황, 이산화 황, 산소 함유 탄화수소들 (예를 들어, CxHyOz) 및/또는 물을 포함한다. 다른 구현예들에서, 산화 반응물질은 전부 약 산화제일 수도 있다. 대안적으로, 산화 반응물질은 오존을 포함할 수도 있다.
장치
도 4는 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (402) 를 갖는 ALD (atomic layer deposition) 프로세스 스테이션 (400) 의 실시예의 개략도를 도시한다. 복수의 ALD 프로세스 스테이션들 (400) 은 공동 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 5는 멀티스테이션 프로세싱 툴 (500) 의 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 기술될 것들을 포함하는, ALD 프로세스 스테이션 (400) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (400) 은 프로세스 가스들을 분배 샤워헤드 (406) 로 전달하기 위한 반응물질 전달 시스템 (401a) 과 유체적으로 연통한다. 반응물질 전달 시스템 (401a) 은 샤워헤드 (406) 로 전달할 프로세스 가스들, 예컨대 할로겐 함유 에천트 가스, 또는 실리콘 함유 가스 또는 질소 함유 가스를 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (404) 를 포함한다. 하나 이상의 혼합 용기 유입 밸브들 (420) 은 혼합 용기 (404) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
예로서, 도 4의 실시예는 혼합 용기 (404) 로 공급될 액체 반응물질을 기화하기 위한 기화 지점 (403) 을 포함한다. 일부 실시예들에서, 기화 지점 (403) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립할 수 없는 가스들의 응결된 반응물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고 (clog), 밸브 동작을 지연시키고, 기판들을 오염시키는 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 방법들은 잔여 반응물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 증가시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (403) 의 전달 파이핑 다운스트림에서 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (404) 가 또한 열 추적될 수도 있다. 비제한적인 일 예에서, 기화 지점 (403) 의 파이핑 다운스트림은 혼합 용기 (404) 에서 대략 100 ℃ 내지 대략 150 ℃로 연장하는 증가하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응물질의 펄스들을 혼합 용기의 업스트림에서 캐리어 가스 스트림으로 주입할 수도 있다. 일 실시예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래시함으로써 반응물질을 기화시킬 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프에서 나중에 기화되는 분산된 마이크로드롭릿들로 액체를 원자화할 수도 있다. 보다 작은 드롭릿들이 보다 큰 드롭릿들보다 보다 고속으로 기화될 수 있어서, 액체 주입과 기화 완료 간의 지연을 감소시킨다. 보다 고속의 기화는 기화 지점 (403) 으로부터 파이핑 다운스트림의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (404) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 분배 샤워헤드 (406) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (403) 의 업스트림에, 액체 유량 제어기 (LFC) 가 기화 및 프로세스 스테이션 (400) 으로의 전달을 위해 액체의 질량 유량을 제어하기 위해 제공될 수도 있다. 예를 들어, 액체 유량 제어기 (LFC) 는 LFC의 다운스트림에 위치된 열적 질량 유량 미터 (MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 전환될 수도 있다. 일부 실시예들에서, LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 동적 전환이 수행될 수도 있다.
분배 샤워헤드 (406) 는 기판 (412) 을 향하여 프로세스 가스들을 분배한다. 도 4에 도시된 실시예에서, 기판 (412) 은 분배 샤워헤드 (406) 아래에 위치되고, 페데스탈 (408) 상에 놓인 것으로 도시된다. 분배 샤워헤드 (406) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (412) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (408) 은, 기판 (412) 을 기판 (412) 과 분배 샤워헤드 (406) 사이의 볼륨에 노출시키기 위해 상승되거나 하강될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (450) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (408) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 증착 프로세스에 포함된 플라즈마 활성화 동안 가변되게 할 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (408) 은 또다른 기판 이송 페이즈 동안 페데스탈 (408) 로부터 기판 (412) 의 제거를 허용하도록 하강될 수도 있다.
일부 실시예들에서, 분배 샤워헤드 (406) 의 위치는 기판 (412) 과 분배 샤워헤드 (406) 사이의 볼륨을 가변시키기 위해 페데스탈 (408) 에 대해 조정될 수도 있다. 또한, 페데스탈 (408) 및/또는 분배 샤워헤드 (406) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
상기 논의된 바와 같이 플라즈마가 사용될 수도 있는 일부 실시예들에서, 분배 샤워헤드 (406) 및 페데스탈 (408) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (414) 및 매칭 네트워크 (416) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (414) 및 매칭 네트워크 (416) 는 목표된 조성의 라디컬 종을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (414) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (414) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒ 초과, 또는 27 ㎒ 초과, 또는 40 ㎒ 초과, 또는 60 ㎒초과의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 불연속적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 플라즈마 조건들은, 플라즈마가 피처들의 측벽들 또는 하단부에서보다는 피처 개구들에서 또는 피처 개구들 근방에서 우선적으로 에칭하는 에천트들로부터 생성되도록 제어되고/되거나 유지될 수도 있다. 비제한적인 일 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키기 위해 간헐적으로 펄싱될 수도 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인-시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy sensors) 에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인-시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (450) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위해 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 단계는 불활성 및/또는 반응물질 가스 (예를 들어, 디실란과 같은 제 1 전구체) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중지시키기 위한 인스트럭션들, 및 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 질소 트리플루오라이드와 같은 할로겐 함유 가스일 수도 있는 에천트 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 캐리어 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 에천트 가스의 플로우 레이트를 조절하거나 중지시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 5 레시피 페이즈는 질소와 같은 제 2 반응물질 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트력션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고/있거나 본 개시의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 페데스탈 (408) 은 히터 (410) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세스 스테이션 (400) 을 위한 압력 제어가 버터플라이 밸브 (418) 에 의해 제공될 수도 있다. 도 4의 실시예에 도시된 바와 같이, 버터플라이 밸브 (418) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (400) 의 압력 제어는 또한 프로세스 스테이션 (400) 에 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 프로세싱 툴에 포함될 수도 있다. 도 5는 인바운드 로드록 (502) 및 아웃바운드 로드록 (504) 중 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (502) 및 아웃바운드 로드록 (504) 을 갖는, 멀티스테이션 프로세싱 툴 (500) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (506) 은, 카세트로부터 포드 (508) 를 통해 인바운드 로드록 (502) 으로 로딩된 웨이퍼들을 대기 포트 (510) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (502) 내의 페데스탈 (512) 상에 로봇 (506) 에 의해 배치되고, 대기 포트 (510) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (502) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (514) 내로 도입되기 전에 로드록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (502) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (514) 로의 챔버 이송 포트 (516) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 5에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (514) 는 도 5에 도시된 실시예들에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 518로 도시됨), 및 가스선 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 다수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD와 PEALD 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (514) 는 ALD 및 PEALD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (514) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 갖는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 5는 또한 프로세싱 챔버 (514) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 처리 시스템 (590) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 처리 시스템 (590) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 처리 시스템이 채택될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 처리 로봇들을 포함한다. 도 5는 또한 프로세스 툴 (500) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (550) 의 실시예를 도시한다. 시스템 제어기 (550) 는 하나 이상의 메모리 디바이스들 (556), 하나 이상의 대용량 저장 디바이스들 (554), 및 하나 이상의 프로세서들 (552) 을 포함할 수도 있다. 프로세서 (552) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 는 프로세스 툴 (500) 의 모든 액티비티들을 제어한다. 시스템 제어기 (550) 는 대용량 저장 디바이스 (554) 에 저장되고, 메모리 디바이스 (556) 로 로딩되고, 프로세서 (552) 상에서 실행되는 시스템 제어 소프트웨어 (558) 를 실행한다. 대안적으로, 제어 로직은 제어기 (550) 내에서 하드코딩될 수도 있다. ASIC, PLD (예를 들어, FPGA (field-progra㎜able gate array)), 등이 이 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 유사한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (558) 는 타이밍, 가스들의 혼합, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (500) 에서 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 구성요소 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 사용된 프로세스 툴 구성요소들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (558) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (550) 와 연관된 대용량 저장 디바이스 (554) 및/또는 메모리 디바이스 (556) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (518) 상에 기판을 로딩하고 기판과 프로세스 툴 (500) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 (예를 들어, 실리콘 함유 가스들, 질소 함유 가스들, 및 본 명세서에 기술된 바와 같은 할로겐 함유 에천트 가스들 및 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 하나 이상의 프로세스 스테이션들로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따른, 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따른 반응 챔버 내에서 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 압력, 온도 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (550) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (500) 의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (550) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (550) 는, 통상적으로 장치가 개시된 실시예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독가능 매체는 시스템 제어기 (550) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (550) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (550) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (550) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (550) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (550) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (550) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (550) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (550) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (550) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (550) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 위한 적절한 장치는 이는 각각 전체가 본 명세서에 참조로서 인용된, 명칭이 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에서 더 논의되고 기술된다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공동 제조 설비 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로, 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
실험
실험 1
종래의 ALD 프로세스에 의해 증착된 실리콘 나이트라이드 막과 개시된 실시예들에 의해 증착된 실리콘 나이트라이드 막들의 컨포멀성을 비교하는 실험이 수행되었다.
첫번째 시도에서, 기판은 ALD의 50 사이클들에 노출되었고, 사이클 각각은 기판을 디실란에 노출하는 단계, 챔버를 퍼지하는 단계, 기판을 질소에 노출하고 플라즈마를 점화하는 단계 및 챔버를 퍼지하는 단계를 수반한다. 피처들 위에 증착된 실리콘 나이트라이드 (610) 를 갖는 기판 (600) 의 이미지가 도 6a에 도시된다. 피처의 상단에서 막은 측벽들 상의 막보다 실질적으로 보다 두껍다는 것을 주의한다.
두번째 시도에서, 기판은 개시된 실시예들에 따른 ALD의 50 사이클들에 노출되었고, 기판을 디실란에 노출하는 단계, 챔버를 퍼지하는 단계, 기판을 질소 트리플루오라이드 (할로겐 함유 에천트) 에 노출하는 단계, 챔버를 퍼지하는 단계, 기판을 질소에 노출하고 플라즈마를 점화하는 단계 및 챔버를 퍼지하는 단계를 수반한다. 두 시도들에 사용된 퍼지 가스는 아르곤이다. 기판은 매 사이클마다 질소 트리플루오라이드에 노출되었다. 피처들 위에 증착된 실리콘 나이트라이드 (680) 를 갖는 기판 (600) 의 이미지가 도 6b에 도시된다. 도 6a와 비교할 때, 도 6b의 피처들의 상단의 막은 두께에 있어서 측벽들 상의 막과 보다 유사하다.
두 시도들에 대해, 실리콘 나이트라이드 막의 두께는 피처의 상단, 피처의 측벽의 상단 부분, 피처의 측벽의 하단 부분, 및 피처의 하단에서 측정되었다. 이들 다양한 컴포넌트들 간의 컨포멀성을 결정하기 위해 비율들이 계산되었다. 비율이 100 %에 보다 가까울수록, 막은 보다 컨포멀하다. 결과들을 이하의 표 1에 나타냈다.
에천트 (NF3) 를 사용한 실리콘 나이트라이드 증착 및 에천트 (NF3) 를 사용하지 않은 실리콘 나이트라이드 증착
에천트 사용 에천트 사용하지 않음
증착된 두께 상단(Å) 86 98
측벽, 상단 (Å) 55 55
측벽, 하단 (Å) 29 23
하단 (Å) 113 36
비율 측벽 하단/상단 비율 33 % 23 %
하단/상단 비율 131 % 37 %
측벽 하단/측벽 상단 비율 52 % 29 %
증착 레이트 상단 (Å/사이클) 0.43 0.49
측벽, 하단 (Å/사이클) 0.14 0.11
표 1에 나타낸 바와 같이, 에천트를 사용하여 증착된 막의 비율들이 에천트를 사용하지 않고 증착된 막의 비율보다 100 %에 훨씬 보다 가깝다. 예를 들어, 에천트를 사용하여 증착된 막의 측벽 하단 대 측벽 상단 비율은 52 %이고, 에천트를 사용하지 않고 증착된 막은 29 %이다. 이들 결과들은, ALD 프로세스에 에천트 노출을 통합하는 것을 수반하는 개시된 실시예들을 사용하여 증착된 막에서 컨포멀성이 개선되었다는 것을 암시한다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 예를 들어, 다양한 동작들이 특정한 순서로 도시되고 기술될 수도 있지만, 구현예들은 명시적으로 달리 언급되지 않는 한 동작들이 다른 순서들로 수행되는 프로세스들을 포함할 수도 있다. 예를 들어, 일부 실시예들에서, 본 명세서에 개시된 동작들은 명세서 또는 청구항들에 언급되거나 도면들에 도시된 것과 다른 순서들로 수행될 수도 있고, 여전히 유리한 결과들을 달성할 수도 있다. 또한, 일부 구현예들에서, 다양한 동작들이 삭제될 수도 있고, 또는 하나 이상의 부가적인 동작들이 예시된 동작들에 부가하여 수행될 수 있다.
본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (20)

  1. 챔버 내에서 기판들을 프로세싱하는 방법에 있어서,
    상기 방법은,
    (a) 하나 이상의 피처들을 갖는 기판을 제공하는 단계로서, 피처 각각은 피처 개구를 포함하는, 상기 기판을 제공하는 단계;
    (b) 실리콘 함유 전구체로 하여금 상기 기판의 표면 상에 흡착하게 하여 상기 실리콘 함유 전구체의 흡착된 층을 형성하는 조건들 하에서 상기 실리콘 함유 전구체에 상기 기판을 노출시키는 단계;
    (c) 상기 기판을 상기 실리콘 함유 전구체에 노출시키는 단계 후에, 상기 기판을 할로겐 함유 에천트에 노출시키는 단계; 및
    (d) 상기 피처 개구들에서 또는 상기 피처 개구들 근방에서 상기 실리콘 함유 전구체의 상기 흡착된 층을 선택적으로 에칭하고 실리콘 나이트라이드 막을 형성하도록 상기 기판을 질소 함유 반응물질에 노출시키는 단계 및 플라즈마를 점화하는 단계를 포함하는, 챔버 내에서 기판들을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 기판은, 할로겐 함유 에천트로 하여금 상기 실리콘 함유 전구체의 상기 흡착된 층 상에 선택적으로 흡착하게 하는 조건들 하에서 상기 할로겐 함유 에천트에 노출되는, 챔버 내에서 기판들을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 할로겐 함유 에천트는 질소 트리플루오라이드, 염소, 플루오로포름, 탄소 테트라플루오라이드, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 챔버 내에서 기판들을 프로세싱하는 방법.
  4. 제 1 항에 있어서,
    상기 할로겐 함유 에천트는 CnF2n +2 또는 CnF2n의 화학식을 갖는 화합물을 포함하고, 여기서 n > 1인, 챔버 내에서 기판들을 프로세싱하는 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 챔버는 상기 기판을 상기 할로겐 함유 에천트에 노출시킨 후에 퍼지되는, 챔버 내에서 기판들을 프로세싱하는 방법.
  6. 제 5 항에 있어서,
    상기 챔버는 아르곤, 헬륨, 질소, 및 수소로 구성된 그룹으로부터 선택된 퍼지 가스를 흘림으로써 퍼지되는, 챔버 내에서 기판들을 프로세싱하는 방법.
  7. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 실리콘 함유 전구체는 실란, 디실란, 트리실란, 테트라실란, 트리실릴아민, 아미노실란들, 및 할로실란들로 구성된 그룹으로부터 선택되는, 챔버 내에서 기판들을 프로세싱하는 방법.
  8. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 질소 함유 반응물질은 질소, 암모니아, 하이드라진, 및 아민들로 구성된 그룹으로부터 선택되는, 챔버 내에서 기판들을 프로세싱하는 방법.
  9. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (d) 를 반복하는 단계를 더 포함하는, 챔버 내에서 기판들을 프로세싱하는 방법.
  10. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (d) 는 동일한 챔버 내에서 수행되는, 챔버 내에서 기판들을 프로세싱하는 방법.
  11. 챔버 내에서 기판들을 프로세싱하는 방법에 있어서,
    상기 방법은,
    1 회 이상의 사이클들을 수행함으로써 막을 증착하는 단계를 포함하고,
    일 사이클은,
    (a) 하나 이상의 피처들을 갖는 기판을 제공하는 단계로서, 피처 각각은 피처 개구를 포함하는, 상기 기판을 제공하는 단계;
    (b) 제 1 전구체로 하여금 상기 기판의 표면 상에 흡착하게 하여 상기 제 1 전구체의 흡착된 층을 형성하는 조건들 하에서 상기 제 1 전구체에 상기 기판을 노출시키는 단계;
    (c) 상기 기판을 상기 제 1 전구체에 노출시키는 단계 후에, 상기 기판을 할로겐 함유 에천트에 노출시키는 단계; 및
    (d) 상기 피처 개구들에서 또는 상기 피처 개구들 근방에서 상기 제 1 전구체의 상기 흡착된 층을 선택적으로 에칭하고 막을 형성하도록 상기 기판을 제 2 반응물질에 노출시키는 단계 및 플라즈마를 점화하는 단계를 포함하는, 챔버 내에서 기판들을 프로세싱하는 방법.
  12. 제 11 항에 있어서,
    상기 할로겐 함유 에천트는 질소 트리플루오라이드, 염소, 플루오로포름, 탄소 테트라플루오라이드, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 챔버 내에서 기판들을 프로세싱하는 방법.
  13. 제 11 항 또는 제 12 항에 있어서,
    상기 챔버는 상기 단계 (d) 전에 퍼지되는, 챔버 내에서 기판들을 프로세싱하는 방법.
  14. 제 11 항 또는 제 12 항에 있어서,
    상기 막은 유전체 막 또는 금속 막인, 챔버 내에서 기판들을 프로세싱하는 방법.
  15. 제 11 항 또는 제 12 항에 있어서,
    상기 막은 실리콘 나이트라이드, 실리콘 카바이드, 및 실리콘 옥사이드로 구성된 그룹으로부터 선택되는, 챔버 내에서 기판들을 프로세싱하는 방법.
  16. 제 11 항 또는 제 12 항에 있어서,
    상기 제 2 반응물질은 산화제 또는 환원제인, 챔버 내에서 기판들을 프로세싱하는 방법.
  17. 제 11 항 또는 제 12 항에 있어서,
    상기 단계 (d) 는 매 n 사이클들마다 수행되고, 여기서 n은 1 이상의 정수인, 챔버 내에서 기판들을 프로세싱하는 방법.
  18. 기판들을 프로세싱하기 위한 장치에 있어서,
    상기 장치는,
    (a) 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버;
    (b) 진공으로 커플링하기 위한 적어도 하나의 유출부;
    (c) 하나 이상의 실리콘 함유 전구체 소스들 및 하나 이상의 할로겐 함유 에천트들에 커플링된 하나 이상의 프로세스 가스 유입부들;
    (d) RF (radio frequency) 생성기; 및
    (e) 상기 장치의 동작들을 제어하기 위한 제어기를 포함하고,
    상기 제어기는,
    (i) 실리콘 함유 전구체를 프로세스 챔버로 도입하고,
    (ii) 상기 실리콘 함유 전구체를 도입한 후, 할로겐 함유 에천트를 상기 프로세스 챔버로 도입하고, 그리고
    (iii) 질소 함유 반응물질을 상기 프로세스 챔버로 도입하고 실리콘 나이트라이드 막을 형성하도록 플라즈마를 점화시키기 위한 머신 판독가능 인스트럭션들을 포함하는, 기판들을 프로세싱하기 위한 장치.
  19. 제 18 항에 있어서,
    상기 제어기는, 상기 프로세스 챔버를 퍼지하기 위해 상기 질소 함유 반응물질을 도입하기 전에 퍼지 가스를 도입하기 위한 머신 판독가능 인스트럭션들을 더 포함하는, 기판들을 프로세싱하기 위한 장치.
  20. 제 18 항에 있어서,
    상기 할로겐 함유 에천트는 질소 트리플루오라이드, 염소, 플루오로포름, 탄소 테트라플루오라이드, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 기판들을 프로세싱하기 위한 장치.
KR1020160039946A 2015-04-03 2016-04-01 Ald 및 ale에 의해 컨포멀한 막들의 증착 KR102602830B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/678,736 US9502238B2 (en) 2015-04-03 2015-04-03 Deposition of conformal films by atomic layer deposition and atomic layer etch
US14/678,736 2015-04-03

Publications (2)

Publication Number Publication Date
KR20160118968A KR20160118968A (ko) 2016-10-12
KR102602830B1 true KR102602830B1 (ko) 2023-11-15

Family

ID=57016250

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160039946A KR102602830B1 (ko) 2015-04-03 2016-04-01 Ald 및 ale에 의해 컨포멀한 막들의 증착

Country Status (4)

Country Link
US (1) US9502238B2 (ko)
KR (1) KR102602830B1 (ko)
CN (1) CN106057637B (ko)
TW (1) TWI706049B (ko)

Families Citing this family (457)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10804094B2 (en) * 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) * 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6546872B2 (ja) * 2016-04-07 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
JP6770825B2 (ja) * 2016-04-27 2020-10-21 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102528559B1 (ko) * 2016-07-26 2023-05-04 삼성전자주식회사 대면적 기판 제조 장치
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR102410571B1 (ko) 2016-12-09 2022-06-22 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6767885B2 (ja) * 2017-01-18 2020-10-14 東京エレクトロン株式会社 保護膜形成方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283404B2 (en) * 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP7071850B2 (ja) * 2017-05-11 2022-05-19 東京エレクトロン株式会社 エッチング方法
US10483118B2 (en) 2017-05-11 2019-11-19 Tokyo Electron Limited Etching method
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP7203515B2 (ja) * 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
TWI711716B (zh) 2017-06-06 2020-12-01 美商應用材料股份有限公司 使用沉積-處理-蝕刻製程之矽的選擇性沉積
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN117637438A (zh) * 2018-01-15 2024-03-01 应用材料公司 添加氩至远程等离子体氧化
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) * 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102475843B1 (ko) * 2018-05-30 2022-12-09 주식회사 원익아이피에스 박막 형성 방법
KR102475844B1 (ko) * 2018-05-11 2022-12-09 주식회사 원익아이피에스 기판 처리 장치
KR102466724B1 (ko) * 2018-06-19 2022-11-15 주식회사 원익아이피에스 박막 형성 방법
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10847375B2 (en) * 2018-06-26 2020-11-24 Lam Research Corporation Selective atomic layer etching
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020027593A1 (ko) * 2018-08-01 2020-02-06 한양대학교 산학협력단 전자 및 이온 조절을 이용한 박막 증착 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US10535523B1 (en) * 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP6860537B2 (ja) * 2018-09-25 2021-04-14 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110379712A (zh) * 2019-08-05 2019-10-25 德淮半导体有限公司 一种刻蚀方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210047119A (ko) 2019-10-21 2021-04-29 삼성전자주식회사 금속 질화막 제조방법 및 금속 질화막을 포함하는 전자 소자
KR20210050453A (ko) * 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7114554B2 (ja) 2019-11-22 2022-08-08 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) * 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220071911A (ko) * 2020-11-24 2022-05-31 에이에스엠 아이피 홀딩 비.브이. 갭 충진 방법과 이와 관련된 시스템 및 소자
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US20220199418A1 (en) * 2020-12-17 2022-06-23 Tokyo Electron Limited Selective Etching with Fluorine, Oxygen and Noble Gas Containing Plasmas
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102654170B1 (ko) * 2021-02-17 2024-04-04 대전대학교 산학협력단 액상 전구체를 이용한 원자층 식각 방법
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20220407000A1 (en) * 2021-06-16 2022-12-22 Macronix International Co., Ltd. Memory with laminated cell
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202400826A (zh) * 2021-12-20 2024-01-01 美商蘭姆研究公司 使用胺基矽烷及氯矽烷前驅物的保形矽氧化物沉積
TW202346626A (zh) * 2022-02-15 2023-12-01 美商蘭姆研究公司 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
JP5225081B2 (ja) 2005-06-24 2013-07-03 アプライド マテリアルズ インコーポレイテッド 堆積・エッチングシーケンスを用いたギャップ充填
WO2013137115A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置

Family Cites Families (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5225081B2 (ko) * 1972-07-24 1977-07-05
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (ko) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
CN1777697B (zh) 2003-04-23 2011-06-22 集勒思公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8791034B2 (en) 2009-06-26 2014-07-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
CN102471885A (zh) * 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
ES2758556T3 (es) 2010-05-21 2020-05-05 Asm Int Nv Celda solar y método de fabricación de la misma
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
KR20200039806A (ko) 2010-11-10 2020-04-16 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
US8575033B2 (en) * 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
WO2013065806A1 (ja) 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20130320429A1 (en) * 2012-05-31 2013-12-05 Asm Ip Holding B.V. Processes and structures for dopant profile control in epitaxial trench fill
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5225081B2 (ja) 2005-06-24 2013-07-03 アプライド マテリアルズ インコーポレイテッド 堆積・エッチングシーケンスを用いたギャップ充填
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
WO2013137115A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置

Also Published As

Publication number Publication date
TWI706049B (zh) 2020-10-01
CN106057637A (zh) 2016-10-26
KR20160118968A (ko) 2016-10-12
TW201704517A (zh) 2017-02-01
CN106057637B (zh) 2019-11-05
US9502238B2 (en) 2016-11-22
US20160293398A1 (en) 2016-10-06

Similar Documents

Publication Publication Date Title
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102551503B1 (ko) 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
US10903071B2 (en) Selective deposition of silicon oxide
US11670503B2 (en) Method of atomic layer deposition
US10658172B2 (en) Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102637938B1 (ko) 칼코게나이드 재료를 캡슐화하기 위한 방법
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
JP6538300B2 (ja) 感受性基材上にフィルムを蒸着するための方法
KR20200033978A (ko) 유전체 막의 기하학적으로 선택적인 증착
US9966255B2 (en) Method of densifying films in semiconductor device
KR20210016063A (ko) 금속-함유 하드마스크 박막들의 선택적인 성장
KR20210150606A (ko) 변조된 원자 층 증착
TW202403079A (zh) 含金屬膜的沉積及腔室清潔

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant