CN102471885A - 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积 - Google Patents

使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积 Download PDF

Info

Publication number
CN102471885A
CN102471885A CN2011800033921A CN201180003392A CN102471885A CN 102471885 A CN102471885 A CN 102471885A CN 2011800033921 A CN2011800033921 A CN 2011800033921A CN 201180003392 A CN201180003392 A CN 201180003392A CN 102471885 A CN102471885 A CN 102471885A
Authority
CN
China
Prior art keywords
precursor
film
metal
reactor drum
ammonobase
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800033921A
Other languages
English (en)
Inventor
东野桂子
柳田和孝
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN102471885A publication Critical patent/CN102471885A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

公开由氨基金属前体与卤化金属前体的组合形成含金属氮化物的薄膜,优选由氨基硅烷前体与氯硅烷前体的组合形成含SiN的薄膜的方法。变化所述氨基金属前体及所述卤化金属前体的顺序反应实现了具有变化的化学计量的含金属氮化物的薄膜的形成。另外,该含金属氮化物的薄膜的组成可基于氨基金属前体的结构而改性。所公开方法可为热方法或低温下的等离子体方法。

Description

使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
相关申请的交叉引用
本申请主张2010年4月1日申请的美国临时申请第61/320,236号的权利,该申请的全部内容以引用的方式并入本文中。
技术领域
公开由氨基金属前体与卤化金属前体的组合形成含金属氮化物的薄膜,优选由氨基硅烷前体与氯硅烷前体的组合形成含SiN的薄膜的方法。变化氨基金属前体与卤化金属前体的顺序反应实现了具有变化的化学计量的含金属氮化物的薄膜的形成。另外,含金属氮化物的薄膜的组成可基于氨基金属前体的结构而改变。所公开方法可为热方法或低温下的等离子体方法。
背景
例如氮化硅(SiN)薄膜的含金属氮化物的薄膜广泛用于半导体器件及超大规模集成(ULSI)电路中。根据日益需要较高LSI安装密度的电子器件的小型化及增长的复杂性,需要SiN薄膜改良其对抗电流泄漏的薄膜质量。另外,也将SiCN薄膜用作用于Cu布线的双镶嵌结构中的蚀刻阻挡器。
氮化硅(SiN)薄膜已被研究以在线后端(BEOL)方法中应用为镶嵌内的蚀刻终止/衬垫层。在浮动栅晶体管内,栅间介电层可包含例如SiO2或SiN。另外,掺杂碳的SiN层提供高的抗蚀刻性。
当大规模集成(LSI)的尺寸按比例缩小时,薄膜深度应较薄,从而需要更精确控制的方法,例如原子层沉积(ALD)。另外,需要沉积温度降低。ALD广泛用于许多方法,例如SiO2、SiN及金属薄膜。参见例如美国专利第7648927号。然而,沉积速率趋于低于化学气相沉积(CVD)。当沉积温度较低时,SiN的沉积速率及薄膜质量较差。
许多文章已报告,通过PECVD、PEALD通过使用氯硅烷及活性NH3以及引入胺、CH4或C2H4作为碳源而沉积高质量SiN及SiCN薄膜(参见例如WO2009/149167及US2008/0213479)。
仍需要更精确控制的方法来沉积含金属氮化物的薄膜。
记法及命名
某些缩写、符号及术语遍及以下描述及权利要求被使用并包括:缩写“A”指埃且1埃=100皮米;缩写“PECVD”指等离子体增强型化学气相沉积;缩写“CVD”指化学气相沉积;缩写“RF”指射频,缩写“DR”指沉积速率,且缩写“RI”指折射率。
术语“烷基”指仅含有碳及氢原子的饱和官能团。此外,术语“烷基”指直链、支链或环状烷基。直链烷基的实例包括但不限于甲基、乙基、丙基、丁基等。支链烷基的实例包括但不限于叔丁基。环状烷基的实例包括但不限于环丙基、环丁基、环戊基、环己基等。
如本文中所使用,缩写“Me”指甲基;缩写“Et”指乙基;缩写“Pr”指丙基;缩写“nPr”指链丙基;缩写“iPr”指异丙基;缩写“Bu”指丁基(正丁基);缩写“tBu”指叔丁基;缩写“sBu”指仲丁基;缩写“iBu”指异丁基;且缩写“TMS”指三甲基甲硅烷基。
本文中使用来自元素周期表的元素的标准缩写。应理解,元素可由这些缩写来指(例如,Si指硅,C指碳,等)。
发明内容
所公开内容为形成含金属氮化物的薄膜的方法。将卤化金属前体引入含有至少一个基板的ALD反应器中。随后将多余卤化金属前体自该反应器清除。将氨基金属前体引入该反应器中。随后将多余氨基金属前体自该反应器清除。可任选地将反应物引入该反应器中。随后将多余可选反应物自该反应器清除。卤化金属前体的金属及氨基金属前体的金属可为相同或不同的。
所公开内容也为形成含金属氮化物的薄膜的方法。将卤化金属前体引入含有至少一个基板的ALD反应器中。随后将多余卤化金属前体自该反应器清除。将氨基金属前体引入该反应器中。随后将多余氨基金属前体自该反应器清除。将反应物引入该反应器中。随后将多余反应物自该反应器清除。卤化金属前体的金属及氨基金属前体的金属可为相同或不同的。
所公开内容也为形成含氮化硅的薄膜的方法。将氯硅烷前体引入含有至少一个基板的ALD反应器中。随后将多余氯硅烷前体自该反应器清除。将氨基硅烷前体引入该反应器中。随后将多余氨基硅烷前体自该反应器清除。可任选地将反应物引入该反应器中。随后将多余可选反应物自该反应器清除。
所公开内容也为形成含氮化硅的薄膜的方法。将氯硅烷前体引入含有至少一个基板的ALD反应器中。随后将多余氯硅烷前体自该反应器清除。将氨基硅烷前体引入该反应器中。随后将多余氨基硅烷前体自该反应器清除。将反应物引入该反应器中。随后将多余反应物自该反应器清除。
各所公开方法可进一步包括以下方面中的一个或多个:
●反应物选自N2、NH3、N2H4、NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、MeHNNH2、Me2NNH2、苯肼及其混合物;
●反应物为NH3
●通过使方法步骤的顺序变化而产生具有指定化学计量的含金属氮化物的薄膜;
●卤化前体为氯化金属前体;
●含金属氮化物的薄膜为含有一种或两种金属的金属碳氮化物薄膜;
●金属选自过渡金属、金属或非金属元素;
●金属为硼或磷;
●含金属氮化物的薄膜为含氮化硅的薄膜;
●卤化金属前体为氯硅烷前体;
●氨基金属前体为氨基硅烷前体;
●含氮化硅的薄膜为掺杂碳的SiN薄膜;
●氯硅烷前体具有式SiaHbClc,其中b+c=2a+2;
●氯硅烷前体作为混合物而引入;
●氨基硅烷前体具有式H4-xSi(NR′R″)x,其中x=1、2、3或4,R′及R″独立地选自H或烷基,且R′及R″可连接以形成环结构;
●氨基硅烷前体包含氨基氯硅烷或氨基烷基硅烷;
●氨基氯硅烷前体具有式Cl4-xSi(NR′R″)x,其中x=2或3,R′及R″独立地选自H或烷基,且R′及R″可连接以形成环结构;及
●氨基烷基硅烷前体具有式R″′4-xSi(NR′R″)x,其中x=1、2或3,R′及R″独立地选自H或烷基,R′及R″可连接以形成环结构,且基团R″′为具有少于3个碳的烷基。
附图简述
为了进一步理解本发明的本质和目的,结合附图参考下面详述,其中:
图1为显示根据所公开方法使用三(二甲基氨基)硅烷(3DMAS)及六氯二硅烷(HCDS)所沉积的SiCN薄膜的沉积速率及折射率对薄膜距入口的距离的曲线图;
图2为显示根据所公开方法的一替代方法使用3DMAS及HCDS所沉积的SiCN薄膜的沉积速率及折射率对距离的曲线图;
图3为显示根据所公开方法的第二替代方法使用3DMAS及HCDS所沉积的SiCN薄膜的沉积速率及折射率对距离的曲线图;
图4为显示根据所公开方法使用四(二甲基氨基)硅烷(4DMAS)及六氯二硅烷(HCDS)所沉积的SiCN薄膜的沉积速率及折射率对薄膜距入口的距离的曲线图;
图5为显示根据所公开方法的一替代方法使用4DMAS及HCDS所沉积的SiCN薄膜的沉积速率及折射率对距离的曲线图;
图6为显示根据所公开方法的第二替代方法使用4DMAS及HCDS所沉积的SiCN薄膜的沉积速率及折射率对距离的曲线图;
图7为显示根据所公开方法使用双(二乙基氨基)硅烷(BDEAS)及六氯二硅烷(HCDS)所沉积的SiCN薄膜的沉积速率及折射率对薄膜距入口的距离的曲线图;及
图8为显示根据所公开方法的一替代方法使用BDEAS及HCDS所沉积的SiCN薄膜的沉积速率及折射率对距离的曲线图。
优选实施方式详述
所公开内容为使用氨基金属前体及卤化金属前体的交替供应形成含金属氮化物的薄膜的ALD方法。氨基金属前体与卤化金属前体的顺序反应产生致密的富金属薄膜。卤化金属前体的金属可与氨基金属前体的金属相同或不同。
所公开内容也为在热条件或低温等离子体条件下使用氨基硅烷前体及氯硅烷前体的交替供应形成含氮化硅的薄膜(优选碳氮化硅薄膜)的ALD方法。氨基硅烷前体与氯硅烷前体的顺序反应在低于许多现有技术氮化硅薄膜沉积方法的温度的温度下产生致密的富硅薄膜。碳氮化硅薄膜或者可被称为掺杂碳的氮化硅薄膜。本领域技术人员将认识到,氮化硅薄膜中的碳的含量确定适当的命名,掺杂碳的氮化硅薄膜中碳的量典型地小于碳氮化硅薄膜中碳的量。然而,本领域技术人员将进一步认识到,确定适当命名的薄膜中碳的准确百分比未被定义且将因人而异。
所公开方法通过ALD自氨基金属及卤化金属前体形成含金属氮化物的薄膜(例如,SiN)或金属碳氮化物薄膜(例如,SiCN)。含金属氮化物的薄膜可为含有一或两种金属的金属碳氮化物薄膜。举例而言,金属碳氮化物薄膜可为SiHfCN薄膜。或者,SiN薄膜可掺杂碳。
如实施例2至4中将进一步详细描述,具有指定化学计量的含金属氮化物的薄膜可改变使所公开方法步骤的顺序而产生。此外,所公开方法步骤的持续时间也可变化,以便“调谐”所得薄膜。
将卤化金属前体引入含有一或多个基板的ALD反应器中。可在氨基金属前体之前或之后将卤化金属前体引入ALD反应器中。反应器内的条件准许卤化金属前体的至少部分自吸附于基板上。本领域技术人员将认识到,基板性质将定义卤化金属前体在此步骤中是经历物理吸附抑或化学吸附。举例而言,若卤化金属前体在氨基金属前体之后引入反应器中,则卤化金属前体的至少部分将与在先前步骤中所沉积的氨基金属前体的部分反应/化学吸附。将任何未吸附或“多余”的卤化金属前体清除出反应器。卤化金属前体在低温下与NH3/氨基金属前体发生反应。
卤化金属前体可为氯化金属前体。卤化金属或氯化金属前体的金属可为如元素周期表上通常定义的任何过渡金属、金属或非金属元素。优选过渡金属包括但不限于Hf。优选金属包括但不限于Zn。优选非金属包括但不限于B、Si及P。卤化金属前体可应用为两种或两种以上卤化金属前体的混合物。优选地,卤化金属前体为氯硅烷前体。例示性氯硅烷前体具有式SiaHbClc,其中b+c=2a+2。例示性氯硅烷前体包括六氯二硅烷(HCDS)、SiCl4、SiHCl3、Si2H5Cl等及其混合物,例如,HCDS与SiCl4或HCDS与SiHCl3。优选地,卤化金属前体包含HfCl4或HCDS,且更优选为HCDS。
将氨基金属前体引入反应器中。反应器内的条件准许氨基金属前体的至少部分自吸附于基板上。氨基金属前体可在卤化金属前体之前或之后引入ALD反应器中。再一次,本领域技术人员将认识到,基板性质将定义氨基金属前体在此步骤中是经历物理吸附抑或化学吸附。举例而言,若氨基金属前体在卤化金属前体之后引入反应器中,则氨基金属前体的至少部分将与在先前步骤中所沉积的卤化金属前体的部分反应/化学吸附。接着将任何未吸附或“多余”的氨基金属前体清除出反应器。与仅充当N源的先前技术NH3前体对比,氨基金属前体可充当C源及N源两者。氨基金属前体的烷基氨基充当良好的离去基且产生良好的吸附。使氨基金属前体的配体变化提供改变含金属氮化物的薄膜的碳含量的能力。
氨基金属前体的金属可为如元素周期表上通常定义的任何过渡金属、金属或非金属元素。优选过渡金属包括但不限于Hf。优选金属包括但不限于Zn。优选非金属包括但不限于B、Si及P。氨基金属前体可应用为两种或两种以上氨基金属前体的混合物。氨基金属前体可为氨基硅烷前体。例示性氨基硅烷前体具有式H4-xSi(NR′R″)x,其中x=1、2、3或4,R′及R″独立地选自H或烷基,且R′及R″可连接以形成环结构。或者,氨基硅烷前体可为氨基氯硅烷前体或氨基烷基硅烷前体。例示性氨基氯硅烷前体具有式Cl4-xSi(NR′R″)x,其中x=2或3,且R′及R″如先前定义。例示性氨基烷基硅烷前体具有式R″′4-xSi(NR′R″)x,其中x=1、2或3,R′及R″如先前定义,且基团R″′为具有小于3个碳的烷基。优选地,氨基金属前体为双(二乙基氨基)硅烷(BDEAS)、三(二甲基氨基)硅烷(3DMAS)、四(二甲基氨基)硅烷(4DMAS)或四(乙基甲基氨基)铪,且更优选为3DMAS及/或4DMAS。
将卤化金属前体及氨基金属前体(共同地称为“前体”)以蒸气形式各自个别地引入反应器中。在此情形中,“个别地”及“各自”指指定类别的前体,例如“卤化金属前体”,其可由一或多种卤化前体组成。在以下段落中,个别地不意欲意谓仅一种卤化金属前体的蒸气引入反应器中。
前体可以液体状态个别地供入蒸发器中,其中所述前体在引入至反应器中之前各自个别地蒸发。在蒸发之前,每个前体可任选地与一或多种溶剂混合。所述溶剂可选自甲苯、乙苯、二甲苯、1,3,5-三甲苯、癸烷、十二烷、辛烷、己烷、戊烷或其它。所得浓度可自约0.05M变化至约2M。
或者,前体可通过将载气传递至含有各种所述前体的容器中或通过使载气起泡进入各种所述前体中而个别地蒸发。各种所述前体可任选地与一或多种溶剂在容器中混合。接着将载气及个别前体作为蒸气引入反应器中。载气可包括但不限于Ar、He、N2及其混合物。通过载气起泡也可移除存在于前体的溶液中的任何溶解氧。
必要时,可将容器加热至准许前体处于液相并具有足够的蒸气压力的温度。容器可维持在例如0℃至150℃的范围中的温度下。本领域技术人员认识到,可以已知方式调整容器的温度以控制被蒸发的前体的量。
可将每一前体的蒸气引入至反应器中历时约0.01秒至约60秒、或约5秒至约25秒、或约10秒至约20秒的时间周期。
在一个实施方案中,可将反应物引入反应器中,在反应器处反应物与基板上的自吸附层反应。任何未反应或“多余”的反应物接着被清除出反应器。反应物可为N2、NH3、N2H4、NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、MeHNNH2、Me2NNH2、苯肼及其混合物。优选地,反应物为NH3。然而,如随后的实施例中将进一步详细描述,任选的反应物步骤的包括将取决于所得含金属氮化物的薄膜中的元素的所要化学计量比。
反应物可通过等离子体来处理,以便将反应物分解成其自由基形式。等离子体可产生或存在于反应器自身内。或者,例如在远程定位的等离子体系统中,等离子体可一般处于远离反应器的位置处。本领域技术人员将认识到适于这些等离子体处理的方法及装置。
举例而言,可将反应物引入直接等离子体反应器(其在反应器中产生等离子体)中,以在反应器中产生等离子体处理的反应物。例示性直接等离子体反应器包括由Trion技术所生产的TitanTM PECVD系统。可在等离子体处理之前将反应物引入并保持于反应器中。或者,等离子体处理可与反应物的引入同时发生。原位等离子体典型地为在喷淋头(showerhead)与基板固持器之间所产生的13.56MHz RF电容性耦合等离子体。基板或喷淋头可取决于正离子碰撞是否发生而为带电电极。原位等离子体产生器中的典型施加功率为约100W至约1000W。对于相同的功率输入,使用原位等离子体离解反应物典型地比不上使用远程等离子体源来完成反应物的离解,且因此不如远程等离子体系统在反应物离解方面有效,此可有益于在易于受等离子体损坏的基板上沉积含金属氮化物的薄膜。
或者,可在反应器的外部产生经等离子体处理的反应物。MKS仪器的ASTRON
Figure BDA0000136709900000081
i活性气体产生器可用于在传递至反应器中之前处理反应物。在2.45GHz、7kW等离子体功率及约3托变化至约10托的压力下操作,反应物NF3可以超过96%分解效率分解成三个F-基。优选地,可以自约1kW变化至约10kW,更优选自约2.5kW变化至约7.5kW的功率产生远程等离子体。
ALD反应器可为具有安置于其内的至少一或多个基板的加热的器皿。反应器具有如下出口:可连接至真空泵以允许副产物自反应器移除,或允许反应器内的压力被修改或调节。合适的ALD反应器的实例包括但不限于平行板型反应器、冷壁型反应器、热壁型反应器、单晶圆反应器、多晶圆反应器、直接等离子体反应器,或在适于使前体反应并形成多个层的条件下的其它类型的沉积系统。
一般而言,反应器含有上面将沉积含金属氮化物的薄膜的一或多个基板。举例而言,反应器可含有1至200个具有25.4mm至450mm的直径的硅晶圆。基板可为用于半导体、光伏打、平板或LCD-TFT器件制造中的任何合适基板。基板可含有一或多个额外材料层,该一或多个层可自先前制造步骤而呈现。介电层及导电层为这些额外材料层的实例。在本申请的范畴内,基板及沉积于基板上的任何层中的全部共同地包括于术语基板内。合适的基板的实例包括但不限于金属基板、金属氮化物基板、硅基板、硅石基板、氮化硅基板、氮氧化硅基板、钨基板及其组合。另外,可使用包含钨或贵金属(例如,铂、钯、铑或金)的基板。优选地,基板为金属薄膜或金属氮化物薄膜。
反应器的温度可通过控制基板固持器的温度或控制反应器壁的温度来控制。现有技术中已知用于加热基板的器件。反应器被加热至足够的温度,以获得在足够生长速率下生长并具有所要物理状态及组成的所要的含金属氮化物的薄膜。可将反应器加热至的非限制例示性温度范围包括约200℃至约700℃。当利用等离子体沉积方法时,沉积温度可自约200℃变化至约550℃。或者,当执行热方法时,沉积温度可自约400℃变化至约600℃。
ALD反应器中的压力为约0.1托(13帕)至约10托(1300帕)。
在一优选实施方案中,所公开方法利用氯硅烷前体(优选HfCl4或HCDS)及氨基硅烷前体(优选3DMAS、4DMAS或四(乙基甲基氨基)铪)以形成含SiN或含SiCN的薄膜。所形成的薄膜具有极低(约0至约5原子%)的氯或氧含量。
所公开方法通过低温下的原子层沉积及含SiN的薄膜中的C插入而解决关于含SiN的薄膜的薄膜质量的问题。
所公开方法提供胜于现有方法的以下优点:
-碳插入至具有氨基硅烷与氯硅烷的可调谐组合的SiN薄膜中
原因为:
-组合的比率改变制成不同组成的薄膜。
实施例
提供以下非限制实施例以进一步说明本发明的实施方案。然而,所述实施例不欲包括全部且不欲限制本文中所描述的本发明的范围。
实施例1
使用ALD方法以及三氯硅烷(3CS)及三(二甲基氨基)硅烷(3DMAS)前体来沉积致密的SiCN薄膜。反应腔室被控制在5托、550℃下,且100sccm的Ar连续地流动。沉积方法由以下步骤组成:1)将约1sccm的3CS的脉冲供应至反应腔室历时10秒,2)通过100sccm的Ar清除3CS前体历时30秒,3)将约1sccm的3DMAS的脉冲供应至反应腔室历时10秒,4)通过100sccm的Ar清除3DMAS前体历时30秒。重复序列1)至4),直至沉积层达成合适的层厚度为止。
沉积薄膜显示沉积速率为约0.6埃/循环。折射率为2.1以上。
实施例2a
使用ALD方法通过六氯二硅烷(HCDS)及三(二甲基氨基)硅烷(3DMAS)前体以及氨(NH3)反应物来沉积致密的SiCN薄膜。反应腔室被控制在5托、550℃下,且55sccm的Ar连续地流动。沉积方法包含以下步骤:1)将约1sccm的3DMAS的脉冲引入至反应腔室中历时10秒,2)通过55sccm的Ar清除3DMAS前体历时30秒,3)将约1sccm的HCDS的脉冲引入至反应腔室中历时10秒,4)通过55sccm的Ar清除HCDS前体历时30秒,5)将约50sccm的NH3的脉冲引入至反应腔室中历时10秒,及6)通过55sccm的Ar清除NH3反应物历时10秒。重复序列1)至6),直至沉积层达成合适的层厚度为止。
图1中显示沉积薄膜的沉积速率及折射率。所得薄膜中的硅及氮各自的原子组成百分比大于40%但小于45%,而碳的原子组成百分比为约10%。所得薄膜中的氯及氧各自的原子组成百分比为0%或更大但小于5%。所得薄膜由HF溶液蚀刻的湿式蚀刻速率为4.24埃/分钟。
实施例2b
使用ALD方法通过HCDS 3DMAS前体以及氨(NH3)反应物来沉积致密的SiCN薄膜。反应腔室被控制在5托、550℃下,且55sccm的Ar连续地流动。沉积方法包含以下步骤:1)将约1sccm的HCDS的脉冲引入至反应腔室中历时10秒,2)通过55sccm的Ar清除HCDS前体历时30秒,3)将约1sccm的3DMAS的脉冲引入至反应腔室中历时10秒,4)通过55sccm的Ar清除3DMAS前体历时30秒,5)将约50sccm的NH3的脉冲引入至反应腔室中历时10秒,及6)通过55sccm的Ar清除NH3反应物历时10秒。重复序列1)至6),直至沉积层达合适层厚度为止。
图2中显示沉积薄膜的沉积速率及折射率。所得薄膜中的硅的原子组成百分比大于45%但小于50%,所得薄膜中的氮的原子组成百分比大于30%但小于35%,且碳的原子组成百分比大于15%但小于20%。所得薄膜中的氯及氧各自的原子组成百分比为0%或更大但小于5%。所得薄膜由HF溶液蚀刻的湿式蚀刻速率为0.54埃/分钟。
实施例2c
使用ALD方法通过HCDS及3DMAS前体来沉积致密的SiCN薄膜。反应腔室被控制在5托、550℃下,且55sccm的Ar连续地流动。沉积方法包含以下步骤:1)将约1sccm的3DMAS的脉冲引入至反应腔室中历时10秒,2)通过55sccm的Ar清除3DMAS前体历时30秒,3)将约1sccm的HCDS的脉冲引入至反应腔室中历时10秒,及4)通过55sccm的Ar清除HCDS前体历时30秒。重复序列1)至4),直至沉积层达成合适的层厚度为止。
图3中显示沉积薄膜的沉积速率及折射率。所得薄膜中的硅的原子组成百分比大于50%但小于55%,所得薄膜中的碳的原子组成百分比大于30%但小于35%,且氮的原子组成百分比为约10%。所得薄膜中的氯及氧各自的原子组成百分比为1%或更大但小于5%。所得薄膜由HF溶液蚀刻的湿式蚀刻速率为0.04埃/分钟。
实施例3a
使用ALD方法通过六氯二硅烷(HCDS)及四(二甲基氨基)硅烷(4DMAS)前体以及氨(NH3)反应物来沉积致密的SiCN薄膜。反应腔室被控制在5托、550℃下,且55sccm的Ar连续地流动。沉积方法包含以下步骤:1)将约1sccm的4DMAS的脉冲引入至反应腔室中历时10秒,2)通过55sccm的Ar清除4DMAS前体历时30秒,3)将约1sccm的HCDS的脉冲引入至反应腔室中历时10秒,4)通过55sccm的Ar清除HCDS前体历时30秒,5)将约50sccm的NH3的脉冲引入至反应腔室中历时10秒,及6)通过55sccm的Ar清除NH3反应物历时10秒。重复序列1)至6),直至沉积层达成合适的层厚度为止。
图4中显示沉积薄膜的沉积速率及折射率。所得薄膜中的氮的原子组成百分比为约45%,所得薄膜中的硅的原子组成百分比大于40%但小于45%,且碳的原子组成百分比大于5%但小于10%。所得薄膜中的氯及氧各自的原子组成百分比为0%或更大但小于5%。所得薄膜由HF溶液蚀刻的湿式蚀刻速率为5.76埃/分钟。
实施例3b
使用ALD方法通过HCDS及4DMAS前体以及氨(NH3)反应物来沉积致密的SiCN薄膜。反应腔室被控制在5托、550℃下,且55sccm的Ar连续地流动。沉积方法包含以下步骤:1)将约1sccm的HCDS的脉冲引入至反应腔室中历时10秒,2)通过55sccm的Ar清除HCDS前体历时30秒,3)将约1sccm的4DMAS的脉冲引入至反应腔室中历时10秒,4)通过55sccm的Ar清除4DMAS前体历时30秒,5)将约50sccm的NH3的脉冲引入至反应腔室中历时10秒,及6)通过55sccm的Ar清除NH3反应物历时10秒。重复序列1)至6),直至沉积层达成合适的层厚度为止。
图5中显示沉积薄膜的沉积速率及折射率。所得薄膜中的硅的原子组成百分比大于40%但小于45%,所得薄膜中的氮的原子组成百分比为约40%,且碳的原子组成百分比大于10%但小于15%。所得薄膜中的氯及氧各自的原子组成百分比为0%或更大但小于5%。所得薄膜由HF溶液蚀刻的湿式蚀刻速率为4.31埃/分钟。
实施例3c
使用ALD方法通过HCDS及4DMAS前体来沉积致密的SiCN薄膜。反应腔室被控制在5托、550℃下,且55sccm的Ar连续地流动。沉积方法包含以下步骤:1)将约1sccm的4DMAS的脉冲引入至反应腔室中历时10秒,2)通过55sccm的Ar清除4DMAS前体历时30秒,3)将约1sccm的HCDS的脉冲引入至反应腔室中历时10秒,及4)通过55sccm的Ar清除HCDS前体历时30秒。重复序列1)至4),直至沉积层达成合适的层厚度为止。
图6中显示沉积薄膜的沉积速率及折射率。所得薄膜中的硅的原子组成百分比大于50%但小于55%,所得薄膜中的碳的原子组成百分比大于30%但小于35%,且氮的原子组成百分比为约10%。所得薄膜中的氯及氧各自的原子组成百分比为1%或更大但小于5%。所得薄膜由HF溶液蚀刻的湿式蚀刻速率为0.15埃/分钟。
实施例4a
使用ALD方法通过六氯二硅烷(HCDS)及双(二乙基氨基)硅烷(BDEAS)前体以及氨(NH3)反应物来沉积致密的SiCN薄膜。反应腔室被控制在5托、550℃下,且55sccm的Ar连续地流动。沉积方法包含以下步骤:1)将约1sccm的BDEAS的脉冲引入至反应腔室中历时10秒,2)通过55sccm的Ar清除BDEAS前体历时30秒,3)将约1sccm的HCDS的脉冲引入至反应腔室中历时10秒,4)通过55sccm的Ar清除HCDS前体历时30秒,5)将约50sccm的NH3的脉冲引入至反应腔室中历时10秒,及6)通过55sccm的Ar清除NH3反应物历时10秒。重复序列1)至6),直至沉积层达成合适的层厚度为止。
图7中显示沉积薄膜的沉积速率及折射率。所得薄膜中的硅的原子组成百分比稍微大于约40%,所得薄膜中的氮的原子组成百分比稍微小于40%,且碳的原子组成百分比稍微大于15%。所得薄膜中的氯及氧各自的原子组成百分比为0%或更大但小于5%。所得薄膜由HF溶液蚀刻的湿式蚀刻速率为1.65埃/分钟。
实施例4b
使用ALD方法通过HCDS及BDEAS前体以及氨(NH3)反应物来沉积致密的SiCN薄膜。反应腔室被控制在5托、550℃下,且55sccm的Ar连续地流动。沉积方法包含以下步骤:1)将约1sccm的HCDS的脉冲引入至反应腔室中历时10秒,2)通过55sccm的Ar清除HCDS前体历时30秒,3)将约1sccm的BDEAS的脉冲引入至反应腔室中历时10秒,4)通过55sccm的Ar清除BDEAS前体历时30秒,5)将约50sccm的NH3的脉冲引入至反应腔室中历时10秒,及6)通过55sccm的Ar清除NH3反应物历时10秒。重复序列1)至6),直至沉积层达成合适的层厚度为止。
图8中显示沉积薄膜的沉积速率及折射率。所得薄膜中的硅的原子组成百分比为约45%,所得薄膜中的氮的原子组成百分比为约30%,且碳的原子组成百分比为约20%。所得薄膜中的氯及氧各自的原子组成百分比为0%或更大但小于5%。所得薄膜由HF溶液蚀刻的湿式蚀刻速率为0.54埃/分钟。
实施例4c
使用ALD方法通过HCDS及BDEAS前体来沉积致密的SiCN薄膜。反应腔室被控制在5托、550℃下,且55sccm的Ar连续地流动。沉积方法包含以下步骤:1)将约1sccm的BDEAS的脉冲引入至反应腔室中历时10秒,2)通过55sccm的Ar清除BDEAS前体历时30秒,3)将约1sccm的HCDS的脉冲引入至反应腔室中历时10秒,及4)通过55sccm的Ar清除HCDS前体历时30秒。重复序列1)至4),直至沉积层达成合适的层厚度为止。
所得薄膜的碳含量过高以致不允许通过椭圆对称法来量测沉积速率及折射率。所得薄膜中的硅的原子组成百分比大于55%但小于60%,所得薄膜中的碳的原子组成百分比大于30%但小于35%,且氮的原子组成百分比稍微大于5%。所得薄膜中的氯及氧各自的原子组成百分比为0%或更大但小于5%。所得薄膜由HF溶液蚀刻的湿式蚀刻速率为0.15埃/分钟。
实施例5
申请人相信,将使用所公开的ALD方法通过HfCl4及3DMAS前体来沉积致密的HfSiCN薄膜。申请人相信,所公开方法可用于更改所得薄膜中的化学计量比。
实施例6
申请人相信,将使用所公开的ALD方法通过四(乙基甲基氨基)铪及HCDS前体来沉积致密HfSiCN薄膜。申请人相信,所公开方法可用于更改所得薄膜中的化学计量比。
应理解,可在如附加权利要求中所表达的本发明的原理及范围内通过本领域技术人员进行在细节、材料、步骤及部件的配置方面的许多额外改变(其在本文中已被描述及说明以便解释本发明的本质)。因此,本发明不欲限于上文所给出的实施例及/或附图中的特定具体实施方案。

Claims (15)

1.一种形成含金属氮化物的薄膜的方法,该方法包含以下步骤:
a)将卤化金属前体引入含有至少一个基板的ALD反应器中;
b)将多余卤化金属前体从该反应器中清除;
c)将氨基金属前体引入该反应器中;及
d)将多余氨基金属前体从该反应器中清除,
e)任选地将反应物引入该反应器中,
f)任选地将多余反应物从该反应器中清除,
其中该卤化金属前体及该氨基金属前体的金属是相同或不同的。
2.根据权利要求1的方法,其中该反应物选自N2、NH3、N2H4、NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、MeHNNH2、Me2NNH2、苯肼及其混合物,且优选为NH3
3.根据权利要求1或2的方法,其中具有指定化学计量的含金属氮化物的薄膜可通过改变所述方法步骤的顺序而产生。
4.根据权利要求1至3中任一项的方法,其中所述卤化前体为氯化金属前体。
5.根据权利要求1至4中任一项的方法,其中该含金属氮化物的薄膜为含有一种或两种金属的金属碳氮化物薄膜。
6.根据权利要求1至5中任一项的方法,其中该金属选自过渡金属、金属或非金属元素。
7.根据权利要求1至6中任一项的方法,其中该金属为硼或磷。
8.根据权利要求1至7中任一项的方法,其中该含金属氮化物的薄膜为含氮化硅的薄膜,该卤化金属前体为氯硅烷前体,且该氨基金属前体为氨基硅烷前体。
9.根据权利要求8的方法,其中该含氮化硅的薄膜为掺杂碳的SiN薄膜。
10.根据权利要求8或9的方法,其中所述氯硅烷前体具有式SiaHbClc,其中b+c=2a+2。
11.根据权利要求8至10中任一项的方法,其中所述氯硅烷前体作为混合物引入。
12.根据权利要求8至11中任一项的方法,其中所述氨基硅烷前体具有式H4-xSi(NR′R″)x,其中x=1、2、3或4,R′及R″独立地选自H或烷基,且R′及R″可连接以形成环结构。
13.根据权利要求12的方法,其中所述氨基硅烷前体包含氨基氯硅烷或氨基烷基硅烷。
14.根据权利要求13的方法,其中所述氨基氯硅烷前体具有式Cl4-xSi(NR′R″)x,其中x=2或3,且R′及R″如先前所定义。
15.根据权利要求13的方法,其中所述氨基烷基硅烷前体具有式R″′4-xSi(NR′R″)x,其中x=1、2或3,R′及R″如先前所定义,且基团R″′为具有少于3个碳的烷基。
CN2011800033921A 2010-04-01 2011-04-01 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积 Pending CN102471885A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US32023610P 2010-04-01 2010-04-01
US61/320,236 2010-04-01
PCT/US2011/030957 WO2011123792A2 (en) 2010-04-01 2011-04-01 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors

Publications (1)

Publication Number Publication Date
CN102471885A true CN102471885A (zh) 2012-05-23

Family

ID=44712857

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800033921A Pending CN102471885A (zh) 2010-04-01 2011-04-01 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积

Country Status (7)

Country Link
US (1) US20130078376A1 (zh)
EP (2) EP2553141A4 (zh)
JP (1) JP5815669B2 (zh)
KR (1) KR101226876B1 (zh)
CN (1) CN102471885A (zh)
TW (1) TWI498447B (zh)
WO (1) WO2011123792A2 (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105296963A (zh) * 2014-07-23 2016-02-03 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN106057637A (zh) * 2015-04-03 2016-10-26 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
CN112969818A (zh) * 2018-10-03 2021-06-15 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN112978679A (zh) * 2019-12-12 2021-06-18 中国科学院大连化学物理研究所 一种多元金属含氢化合物的制备方法
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US12040181B2 (en) 2019-05-01 2024-07-16 Lam Research Corporation Modulated atomic layer deposition

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5374638B2 (ja) * 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
KR101628211B1 (ko) * 2011-10-14 2016-06-08 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6049395B2 (ja) 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5951443B2 (ja) 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5869923B2 (ja) 2012-03-09 2016-02-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5959907B2 (ja) 2012-04-12 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6007031B2 (ja) 2012-08-23 2016-10-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022272B2 (ja) 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022273B2 (ja) * 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN110053423A (zh) * 2012-12-28 2019-07-26 米其林集团总公司 薄膜扩散阻挡层
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6125279B2 (ja) 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102052664B1 (ko) 2013-03-15 2019-12-06 삼성전자주식회사 트리알킬실란 계열의 실리콘 전구체 및 이를 이용하는 박막 형성 방법
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9580801B2 (en) * 2014-09-04 2017-02-28 Applied Materials, Inc. Enhancing electrical property and UV compatibility of ultrathin blok barrier film
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US20180202042A1 (en) * 2015-07-09 2018-07-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
JP6523080B2 (ja) 2015-07-10 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
CN108026637A (zh) 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10262858B2 (en) 2016-04-25 2019-04-16 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10640523B2 (en) 2018-01-12 2020-05-05 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10867839B2 (en) * 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7156999B2 (ja) * 2019-05-13 2022-10-19 大陽日酸株式会社 シリコン含有薄膜形成用シリコン含有化合物、及びシリコン含有薄膜の形成方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210047119A (ko) 2019-10-21 2021-04-29 삼성전자주식회사 금속 질화막 제조방법 및 금속 질화막을 포함하는 전자 소자
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2022049449A (ja) * 2020-09-16 2022-03-29 東京エレクトロン株式会社 SiN膜埋め込み方法及び成膜装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100697453B1 (ko) * 1999-10-15 2007-03-20 에이에스엠 인터내셔널 엔.붸. 전이 금속 소오스 재료의 환원 방법 및 원자 층 증착 방법
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US20080064210A1 (en) * 2002-08-28 2008-03-13 Micron Technology, Inc. Systems and methods of forming refractory metal nitride layers using organic amines
JP2009532582A (ja) * 2006-04-03 2009-09-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US20100041243A1 (en) * 2008-08-12 2010-02-18 Air Products And Chemicals, Inc. Precursors for Depositing Silicon-containing Films and Methods for Making and Using Same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2007043147A (ja) * 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP5119832B2 (ja) * 2007-09-27 2013-01-16 富士通株式会社 界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100697453B1 (ko) * 1999-10-15 2007-03-20 에이에스엠 인터내셔널 엔.붸. 전이 금속 소오스 재료의 환원 방법 및 원자 층 증착 방법
US20080064210A1 (en) * 2002-08-28 2008-03-13 Micron Technology, Inc. Systems and methods of forming refractory metal nitride layers using organic amines
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
JP2009532582A (ja) * 2006-04-03 2009-09-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US20100041243A1 (en) * 2008-08-12 2010-02-18 Air Products And Chemicals, Inc. Precursors for Depositing Silicon-containing Films and Methods for Making and Using Same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PETRA ALÉN ET AL.: ""ALD of Ta(S)N Thin Films Using TDMAS as a Reducing Agent and as a Si Precursor"", 《JOURNAL OF THE ELECTROCHEMICAL SOCIETY》 *

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
CN105296963B (zh) * 2014-07-23 2018-06-12 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN105296963A (zh) * 2014-07-23 2016-02-03 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
CN106057637A (zh) * 2015-04-03 2016-10-26 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
CN106057637B (zh) * 2015-04-03 2019-11-05 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
CN112969818A (zh) * 2018-10-03 2021-06-15 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
US12040181B2 (en) 2019-05-01 2024-07-16 Lam Research Corporation Modulated atomic layer deposition
CN112978679A (zh) * 2019-12-12 2021-06-18 中国科学院大连化学物理研究所 一种多元金属含氢化合物的制备方法
CN112978679B (zh) * 2019-12-12 2022-11-22 中国科学院大连化学物理研究所 一种多元金属含氢化合物的制备方法

Also Published As

Publication number Publication date
WO2011123792A2 (en) 2011-10-06
TW201213597A (en) 2012-04-01
JP2013524522A (ja) 2013-06-17
KR101226876B1 (ko) 2013-01-28
EP2730676A1 (en) 2014-05-14
EP2553141A4 (en) 2013-08-21
EP2553141A2 (en) 2013-02-06
TWI498447B (zh) 2015-09-01
KR20120028999A (ko) 2012-03-23
WO2011123792A3 (en) 2011-12-01
US20130078376A1 (en) 2013-03-28
JP5815669B2 (ja) 2015-11-17

Similar Documents

Publication Publication Date Title
CN102471885A (zh) 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
TWI626329B (zh) 用於沉積矽氮化物膜的組合物及方法
KR102256536B1 (ko) 질화규소 막을 증착시키는 방법
JP6781165B2 (ja) ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法
EP2174942B1 (en) Niobium and vanadium organometallic precursors for thin film deposition
CN106048557B (zh) 用于沉积碳掺杂含硅膜的组合物和方法
CN104250258A (zh) 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法
WO2016007708A1 (en) Alkylamino-substituted carbosilane precursors
US20220220132A1 (en) Organosilane precursors for ald/cvd/sod of silicon-containing film applications
US20240222110A1 (en) Partial breakdown of precursors for enhanced ald film growth
EP4392428A1 (en) Silicon precursors

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120523