CN105296963A - 半导体器件的制造方法及衬底处理装置 - Google Patents

半导体器件的制造方法及衬底处理装置 Download PDF

Info

Publication number
CN105296963A
CN105296963A CN201510437048.3A CN201510437048A CN105296963A CN 105296963 A CN105296963 A CN 105296963A CN 201510437048 A CN201510437048 A CN 201510437048A CN 105296963 A CN105296963 A CN 105296963A
Authority
CN
China
Prior art keywords
gas
raw material
film
layer
supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510437048.3A
Other languages
English (en)
Other versions
CN105296963B (zh
Inventor
岛本聪
广濑义朗
山本隆治
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Electric Co., Ltd.
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN105296963A publication Critical patent/CN105296963A/zh
Application granted granted Critical
Publication of CN105296963B publication Critical patent/CN105296963B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种半导体器件的制造方法及衬底处理装置,提高成膜处理的生产率,具有下述工序:通过将非同时地进行对衬底供给第一原料的工序、对衬底供给第二原料的工序和对衬底供给包含第二元素的反应物的工序作为一个循环,并进行规定次数的上述循环,由此在衬底上形成包含第一元素、第二元素及碳的膜,上述第一原料具有第一元素彼此形成的化学键,上述第二原料不具有第一元素彼此形成的化学键、而具有第一元素和碳形成的化学键。

Description

半导体器件的制造方法及衬底处理装置
技术领域
本发明涉及半导体器件的制造方法及衬底处理装置。
背景技术
作为半导体器件(元器件)的制造工序的一项工序,有时进行下述工序:对衬底供给例如含硅原料、氮化气体和/或氧化气体等反应物(reactant),在衬底上形成氮化膜、氧化膜等膜。
发明内容
进行上述成膜处理时,存在下述情况:由于原料的种类不同而难以提高成膜率,成膜处理的生产率降低。本发明的目的在于提供一种能够提高成膜处理的生产率的技术。
根据本发明的一个方案,提供一种具有下述工序的技术:
将非同时地进行对衬底供给第一原料的工序、对所述衬底供给第二原料的工序、对所述衬底供给包含第二元素的反应物的工序作为一个循环,通过进行规定次数的所述循环,由此在所述衬底上形成包含第一元素、所述第二元素及碳的膜,所述第一原料具有第一元素彼此形成的化学键,所述第二原料不具有所述第一元素彼此形成的化学键、而具有所述第一元素和碳形成的化学键。
根据本发明,能够提高成膜处理的生产率。
附图说明
图1是本发明的第一实施方式中优选使用的衬底处理装置的纵型处理炉的结构简图,其是用纵剖面图表示处理炉部分的图。
图2是本发明的第一实施方式中优选使用的衬底处理装置的纵型处理炉的结构简图,其是用图1的A-A线剖面图表示处理炉部分的图。
图3是本发明的第一实施方式中优选使用的衬底处理装置的控制器的结构简图,其是用框图表示控制器的控制系统的图。
图4是表示本发明的第一实施方式的成膜顺序中的气体供给定时的图。
图5是表示在本发明的第一实施方式的成膜顺序中使第一原料的供给时间比第二原料的供给时间长的例子的图。
图6是表示本发明的第一实施方式的成膜顺序的变形例2中的气体供给定时的图。
图7是表示本发明的第一实施方式的成膜顺序的变形例5中的气体供给定时的图。
图8是表示本发明的第一实施方式的成膜顺序的变形例9中的气体供给定时的图。
图9是表示本发明的第一实施方式的成膜顺序的变形例14中的气体供给定时的图。
图10是表示本发明的第一实施方式的成膜顺序的变形例15中的气体供给及等离子电源(plasmapower)供给的定时的图。
图11(a)是表示BTCSM的化学结构式的图,(b)是表示BTCSE的化学结构式的图。
图12(a)是表示TCDMDS的化学结构式的图,(b)是表示DCTMDS的化学结构式的图,(c)是表示MCPMDS的化学结构式的图。
图13(a)是表示环硼氮烷的化学结构式的图,(b)是表示环硼氮烷化合物的化学结构式的图,(c)是表示n,n’,n”-三甲基环硼氮烷的化学结构式的图,(d)是表示n,n’,n”-三正丙基环硼氮烷的化学结构式的图。
图14是本发明的其他实施方式中优选使用的衬底处理装置的处理炉的结构简图,其是用纵剖面图表示处理炉部分的图。
图15是本发明的其他实施方式中优选使用的衬底处理装置的处理炉的结构简图,其是用纵剖面图表示处理炉部分的图。
图16是表示HCDS气体、TCDMDS气体、BTCSM气体的特征的图。
符号说明
121控制器
200晶片
201处理室
202处理炉
203反应管
207加热器
231排气管
232a~232g气体供给管
具体实施方式
<本发明的第一实施方式>
以下,主要采用图1~图3对本发明的第一实施方式进行说明。
(1)衬底处理装置的构成
如图1所示,处理炉202具有作为加热手段(加热机构)的加热器207。加热器207为圆筒形状,通过被作为保持板的加热器基座(未图示)支承而被垂直安装设置。如后文所述,加热器207也作为通过热使气体激发(活化)的激发部(活化机构)而发挥作用。
在加热器207的内侧,以与加热器207呈同心圆状的方式设置有构成反应容器(处理容器)的反应管203。反应管203由例如石英(SiO2)或碳化硅(SiC)等耐热性材料构成,形成为上端封闭而下端开口的圆筒形状。在反应管203的筒中空部形成有处理室201。处理室201被构成为能够利用后述的晶舟217将作为衬底的晶片200以水平姿势且在垂直方向上呈多层排列的状态收纳。
在处理室201内,以贯穿反应管203下部的方式设置有喷嘴249a~249c。喷嘴249a~249c由例如石英或SiC等耐热性材料构成。气体供给管232a~232c分别与喷嘴249a~249c连接。气体供给管232d与气体供给管232c连接。如此,构成为:在反应管203上设置有3个喷嘴249a~249c和4根气体供给管232a~232d,能向处理室201内供给多种气体。
但是,本实施方式的处理炉202不限定于上述方式。例如,可以设置为:在反应管203的下方设置支承反应管203的金属制集流管,使各喷嘴贯穿集流管的侧壁。这种情况下,可以在集流管上进一步设置后述的排气管231。即使在这种情况下,也可以将排气管231不设置在集流管上,而是设置在反应管203的下部。如此,可以使处理炉202的炉口部为金属制,在该金属制的炉口部安装喷嘴等。
从上游方向开始,在气体供给管232a~232d上依次分别设置有作为流量控制器(流量控制部)的质量流量控制器(MFC)241a~241d及作为开闭阀的阀243a~243d。在比气体供给管232a~232c的阀243a~243c更靠近下游侧的位置分别连接有供给非活性气体的气体供给管232e~232g。从上游方向开始,在气体供给管232e~232g上依次分别设置有作为流量控制器(流量控制部)的MFC241e~241g及作为开闭阀的阀243e~243g。
在气体供给管232a、232b的前端部分别连接有喷嘴249a、249b。如图2所示,喷嘴249a、249b分别设置在反应管203的内壁与晶片200之间的圆环状空间,使它们沿着反应管203内壁的下部至上部、朝向晶片200的排列方向的上方竖立。即,喷嘴249a、249b分别以沿着晶片排列区域的方式被设置在排列有晶片200的晶片排列区域的侧方的、水平包围晶片排列区域的区域。即,喷嘴249a、249b以与晶片200表面(平坦面)垂直的方式被设置在搬入至处理室201内的晶片200的端部(周缘部)的侧方。喷嘴249a、249b分别构成为L字型的长径喷嘴,它们的各水平部被设置为贯穿反应管203的下部侧壁,它们的各垂直部被设置为至少从晶片排列区域的一端侧朝向另一端侧竖立。在喷嘴249a、249b的侧面分别设置有供给气体的气体供给孔250a、250b。气体供给孔250a、250b分别朝向反应管203的中心进行开口,能够向晶片200供给气体。可以在从反应管203的下部到上部的范围内设置多个气体供给孔250a、250b,各气体供给孔均具有相同的开口面积,并且以相同的开口节距设置。
在气体供给管232c的前端部连接有喷嘴249c。喷嘴249c设置在作为气体供给部的缓冲室237内。缓冲室237也作为气体分散空间发挥作用。缓冲室237以沿着晶片200排列方向的方式被设置在反应管203的内壁与晶片200之间的圆环状空间以及反应管203内壁的从下部到上部的部分。即,缓冲室237以沿着晶片排列区域的方式被设置在晶片排列区域的侧方的、水平包围晶片排列区域的区域。即,缓冲室237被设置在搬入到处理室201内的晶片200的端部的侧方。在缓冲室237的与晶片200相邻的壁的端部设置有供给气体的气体供给孔250d。气体供给孔250d朝向反应管203的中心进行开口,能够向晶片200供给气体。可以在从反应管203的下部到上部的范围内设置多个气体供给孔250d,各气体供给孔均具有相同的开口面积,而且以相同的开口节距设置。
喷嘴249c被设置在缓冲室237的与设有气体供给孔250d的端部为相反侧的端部,使其沿着反应管203内壁的下部至上部、朝向晶片200的排列方向的上方竖立。即,喷嘴249c以沿着晶片排列区域的方式被设置在排列有晶片200的晶片排列区域的侧方的、水平包围晶片排列区域的区域。即,喷嘴249c以与晶片200表面垂直的方式被设置在搬入至处理室201内的晶片200的端部的侧方。喷嘴249c构成为L字型的长径喷嘴,其水平部被设置为贯穿反应管203的下部侧壁,其垂直部被设置为至少从晶片排列区域的一端侧朝向另一端侧竖立。在喷嘴249c的侧面设置有供给气体的气体供给孔250c。气体供给孔250c朝向缓冲室237的中心进行开口。与气体供给孔250d相同,可以在反应管203的从下部到上部的范围内设置多个气体供给孔250c。在缓冲室237内与处理室201内的压力差小的情况下,可以在从上游侧(下部)到下游侧(上部)的范围内使多个气体供给孔250c的开口面积及开口节距分别相同。此外,在缓冲室237内与处理室201内的压力差大的情况下,可以使气体供给孔250c的开口面积从上游侧向下游侧逐渐变大、或使气体供给孔250c的开口节距从上游侧向下游侧逐渐变小。
通过在从上游侧到下游侧的范围如上述那样调节气体供给孔250c的各开口面积、开口节距,由此可以使各个气体供给孔250c喷出虽具有流速差但流量几乎相同的气体。并且,将从上述多个气体供给孔250c分别喷出的气体暂时导入到缓冲室237内,则能够在缓冲室237内进行气体流速差的均匀化。对于由多个气体供给孔250c分别喷出到缓冲室237内的气体而言,在缓冲室237内各气体的粒子速度被缓和后,由多个气体供给孔250d喷出到处理室201内。由多个气体供给孔250c分别喷出到缓冲室237内的气体在由各个气体供给孔250d喷出到处理室201内时,成为具有均匀流量和流速的气体。
如此,在本实施方式中,通过喷嘴249a~249c及缓冲室237来输送气体,所述喷嘴249a~249c及缓冲室237配置在由反应管203的侧壁的内壁和排列在反应管203内的多枚晶片200的端部(周缘部)定义的圆环状纵长空间内、即配置于圆筒状空间内。并且,从分别开口于喷嘴249a~249c及缓冲室237的气体供给孔250a~250d在晶片200的近旁才向反应管203内喷出气体。并且,使反应管203内的气体的主要流向为与晶片200的表面平行的方向、即水平方向。通过这样构成,能够向各晶片200均匀地供给气体,提高形成在各晶片200上的薄膜的膜厚均匀性。在晶片200的表面上流过的气体(即反应后的残余气体)朝向排气口(即后述的排气管231)的方向流动。但是,该残余气体的流动方向可根据排气口的位置而适当确定,不限于垂直方向。在晶片200的表面上流过的气体(即反应后的残余气体)朝向排气口(即后述的排气管231)的方向流动。但是,该残余气体的流动方向可根据排气口的位置而适当确定,不限于垂直方向。
可构成为:从气体供给管232a经由MFC241a、阀243a、喷嘴249a向处理室201内供给作为具有第一元素彼此形成的化学键的第一原料、例如包含作为第一元素的硅(Si)及卤族元素、且具有Si彼此形成的化学键(Si-Si键)的卤代硅烷原料气体。
所谓卤代硅烷原料气体,是指气态的卤代硅烷原料,例如通过将常温常压下为液态的卤代硅烷原料汽化而得的气体、常温常压下为气态的卤代硅烷原料等。所谓卤代硅烷原料,是指具有卤基的硅烷原料。卤基包含氯基、氟基、溴基、碘基等。即,卤基包含氯(Cl)、氟(F)、溴(Br)、碘(I)等卤族元素。卤代硅烷原料也可称为卤化物的一种。在本说明书中,使用称为“原料”的词语时,包括“液态的液体原料”的情形、“气态的原料气体”的情形、或者两者的情形。
作为卤代硅烷原料气体,例如可使用包含Si及Cl的原料气体、即氯硅烷原料气体。作为氯硅烷原料气体,例如可使用六氯二硅烷(Si2Cl6,简称:HCDS)气体。
在使用如HCDS那样的常温常压下为液态的液体原料时,利用汽化器、鼓泡器等汽化系统将液体原料汽化,然后作为第一原料气体(HCDS气体)进行供给。
此外,也可构成为:从气体供给管232a经由MFC241a、阀243a、喷嘴249a向处理室201内供给作为具有第一元素彼此形成的化学键及所述第一元素和碳(C)形成的化学键的第一原料,例如包含作为第一元素的Si、烷基及卤原子、且具有Si-Si键及Si和C形成的化学键(Si-C键)的烷基卤代硅烷原料气体。
所谓烷基卤代硅烷原料气体,是指气态的烷基卤代硅烷原料,例如通过将常温常压下为液态的烷基卤代硅烷原料汽化而得的气体、常温常压下为气态的烷基卤代硅烷原料等。所谓烷基,是指从通式CnH2n +2所表示的链状饱和烃中去掉了1个H的官能团,其是以通式CnH2n +1表示的原子的集合体。烷基包括甲基、乙基、丙基、异丙基、丁基、异丁基等。此外,与上述的卤代硅烷原料气体相同,卤基包括氯基、氟基、溴基、碘基,即Cl、F、Br、I等卤族元素。
作为烷基卤代硅烷原料气体,例如可使用包含Si、作为烷基的甲基(-CH3)及作为卤基的氯基(Cl)的原料气体,即包含甲基的氯硅烷原料气体。作为包含甲基的氯硅烷原料气体,例如可使用1,1,2,2-四氯-1,2-二甲基二硅烷((CH3)2Si2Cl4,简称:TCDMDS)气体、1,2-二氯-1,1,2,2-四甲基二硅烷((CH3)4Si2Cl2,简称:DCTMDS)气体、1-单氯-1,1,2,2,2-五甲基二硅烷((CH3)5Si2Cl,简称:MCPMDS)气体等。
如图12(a)所示,TCDMDS在1分子中包含2个作为烷基的甲基。2个甲基所具有的各单键分别与Si键合,构成Si-C键。TCDMDS是二硅烷的衍生物,具有Si-Si键。即TCDMDS具有Si彼此之间键合、且Si与C键合而得的Si-Si-C键。
如图12(b)所示,DCTMDS在1分子中包含4个作为烷基的甲基。4个甲基所具有的各单键分别与Si键合,构成Si-C键。DCTMDS是二硅烷的衍生物,具有Si-Si键。即,DCTMDS具有Si彼此之间键合、且Si与C键合而得的Si-Si-C键。
如图12(c)所示,MCPMDS在1分子中包含5个作为烷基的甲基。5个甲基所具有的各单键分别与Si键合,构成Si-C键。MCPMDS是二硅烷的衍生物,具有Si-Si键。即,MCPMDS具有Si彼此之间键合、且Si与C键合而得的Si-Si-C键。对于MCPMDS而言,与TCDMDS、DCTMDS不同,具有其1分子中(化学结构式中)的甲基及氯基包围Si的构型形成非对称的不对称(asymmetry)结构。如此,在本实施方式中,不仅可以使用具有对称(symmetry)的化学结构式的原料,也可使用具有不对称的化学结构式的原料。
也可认为TCDMDS气体、DCTMDS气体、MCPMDS气体等烷基卤代硅烷原料气体是在1分子中包含至少2个Si、并且包含C及Cl、具有Si-Si键及Si-C键的原料气体。与上述卤代硅烷原料气体不同,这些气体在后述的衬底处理工序中也作为Si源发挥作用,并且也作为C源发挥作用。也可将TCDMDS气体、DCTMDS气体、MCPMDS气体等称为烷基氯硅烷原料气体。
在使用如TCDMDS那样的常温常压下为液态的液体原料时,利用汽化器、鼓泡器等汽化系统将液体原料汽化,然后作为第一原料气体(TCDMDS气体)进行供给。
可构成为:从气体供给管232b经由MFC241b、阀243b、喷嘴249b向处理室201内供给作为不具有第一元素彼此形成的化学键、而是具有第一元素和碳(C)形成的化学键的第二原料,例如包含作为第一元素的Si、亚烷基及卤基、且具有Si-C键的亚烷基卤代硅烷原料气体。
所谓亚烷基卤代硅烷原料气体,是指气态的亚烷基卤代硅烷原料,例如通过将常温常压下为液态的亚烷基卤代硅烷原料汽化而得的气体、常温常压下为气态的亚烷基卤代硅烷原料等。所谓亚烷基,是指从通式CnH2n+2所表示的链状饱和烃(链烷)中去掉了2个氢(H)的官能团,其是以通式CnH2n表示的原子的集合体。亚烷基包括亚甲基、亚乙基、亚丙基、亚丁基等。此外,与第一原料相同,卤基包括氯基、氟基、溴基、碘基,即Cl、F、Br、I等卤族元素。
作为亚烷基卤代硅烷原料气体,例如可使用包含Si、作为亚烷基的亚甲基(-CH2-)及作为卤基的氯基(Cl)的原料气体(即包含亚甲基的氯硅烷原料气体)、包含Si、作为亚烷基的亚乙基(-C2H4-)及作为卤基的氯基(Cl)的原料气体(即包含亚乙基的氯硅烷原料气体)。作为包含亚甲基的氯硅烷原料气体,例如可使用亚甲基双(三氯硅烷)气体,即双(三氯甲硅烷基)甲烷((SiCl3)2CH2,简称:BTCSM)气体等。作为包含亚乙基的氯硅烷原料气体,例如可使用亚乙基双(三氯硅烷)气体,即1,2-双(三氯甲硅烷基)乙烷((SiCl3)2C2H4,简称:BTCSE)气体等。
如图11(a)所示,BTCSM在其化学结构式中(1分子中)包含1个作为亚烷基的亚甲基。亚甲基所具有的2个单键分别与Si键合,构成Si-C-Si键。
如图11(b)所示,BTCSE在1分子中包括1个作为亚烷基的亚乙基。亚乙基所具有的2个单键分别与Si键合,构成Si-C-C-Si键。
也可认为BTCSM气体、BTCSE气体等亚烷基卤代硅烷原料气体是在1分子中包含至少2个Si、并且包含C及Cl、具有Si-C键的原料气体。与上述的烷基卤代硅烷原料气体相同,这些气体在后述的衬底处理工序中也作为Si源发挥作用,并且也作为C源发挥作用。也可将BTCSM气体、BTCSE气体等称为亚烷基氯硅烷原料气体。
在使用如BTCSM等之类的常温常压下为液态的液体原料时,利用汽化器、鼓泡器等汽化系统将液体原料汽化,然后作为第二原料气体(BTCSM气体等)进行供给。
需要说明的是,与如HCDS气体、TCDMDS气体之类的具有Si-Si键的第一原料气体相比,如BTCSM气体之类的不具有Si-Si键、而具有Si-C键的第二原料气体具有难以吸附在成膜的基底的特性。认为这是因为,与Si-Si键相比,Si-C键的键合更牢固,不易断裂。认为对于不具有Si-Si键的第二原料气体而言,由于Si-C键部分较难断裂,所以与具有Si-Si键的第一原料气体相比更难以分解,具有难以吸附在成膜的基底的特性。由于该特性的差别,与使用如HCDS气体、TCDMDS气体之类的第一原料气体进行成膜的情形相比,在使用如BTCSM气体之类的第二原料气体进行成膜时,存在成膜缓慢、容易产生潜伏期(incubationtime)的趋势。
图16分别表示HCDS气体、TCDMDS气体、BTCSM气体的相对特征。对于HCDS气体而言,其热分解温度比BTCSM气体的热分解温度低,而且具有比BTCSM气体更容易吸附在成膜的基底的特性,使用该气体作为成膜原料时,有成膜率最高的趋势。对于TCDMDS气体而言,其热分解温度最低,而且具有比BTCSM气体更容易吸附在成膜的基底的特性,与使用BTCSM气体时相比,使用该气体作为成膜原料时,存在成膜率更高的趋势。对于BTCSM气体而言,其热分解温度最高,而且具有比HCDS气体、TCDMDS气体更容易吸附在成膜的基底的特性,使用该气体作为成膜原料时,有成膜率最低的趋势。
可构成为:从气体供给管232c经由MFC241c、阀243c、喷嘴249c、缓冲室237向处理室201内供给作为包含与上述第一元素不同的元素(第二、第三元素)的反应物、例如作为反应气体的含氮(N)气体。作为含N气体,例如可使用氮化氢类气体。氮化氢类气体也可称为仅由N及H两种元素构成的物质,其在后述的衬底处理工序中作为氮化气体、即N源发挥作用。作为氮化氢类气体,例如可使用氨气(NH3)。
此外,可构成为:从气体供给管232c经由MFC241c、阀243c、喷嘴249c、缓冲室237向处理室201内供给作为包含与上述第一元素不同的元素(第二、第三元素)的反应物、例如作为反应气体的含氧(O)气体。含O气体在后述的衬底处理工序中作为氧化气体、即O源发挥作用。作为含O气体,例如可使用氧气(O2)。
此外,可构成为:从气体供给管232c经由MFC241c、阀243c、喷嘴249c、缓冲室237向处理室201内供给作为包含与上述第一元素不同的元素(第二、第三元素)的反应物、例如作为反应气体的包含氮(N)及碳(C)的气体。作为包含N及C的气体,例如可使用胺类气体。
所谓胺类气体,是指气态的胺,例如将常温常压下为液态的胺汽化而得的气体、常温常压下为气态的胺等包含胺基的气体。胺类气体包含乙胺、甲胺、丙胺、异丙胺、丁胺、异丁胺等胺。所谓胺,是指用烷基等烃基将氨(NH3)的氢(H)取代后的形式的化合物的总称。胺包含烷基等烃基作为含C的配体(即有机配体)。胺类气体包含C、N及H三种元素,因不含Si故也可称为不含Si的气体,因不含Si及金属故也可称为不含Si及金属的气体。胺类气体也可称为仅由C、N及H三种元素构成的物质。胺类气体在后述的衬底处理工序中也作为N源发挥作用,并且也作为C源发挥作用。在本说明书中,使用称为“胺”的词语时,包括“液态的胺”的情形、“气态的胺类气体”的情形、或两者的情形。
作为胺类气体,例如可使用其化学结构式中(1分子中)中的含C配体(乙基)的数量为多个、且在1分子中C数比N数多的三乙胺((C2H5)3N,简称:TEA)气体。在使用如TEA那样的常温常压下为液态的胺时,利用汽化器、鼓泡器等汽化系统将液态的胺汽化,然后作为胺类气体(TEA气体)进行供给。
此外,也可构成为:从气体供给管232c经由MFC241c、阀243c、喷嘴249c、缓冲室237向处理室201内供给作为包含与上述第一元素不同的元素(第二、第三元素)的反应物、例如作为反应气体的不含有环硼氮烷环骨架的含硼(B)气体。作为不含有环硼氮烷环骨架的含B气体,例如可使用硼烷类气体。
所谓硼烷类气体,是指气态的硼烷化合物,例如将常温常压下为液态的硼烷化合物汽化而得的气体、常温常压下为气态的硼烷化合物等。硼烷化合物包括包含B和卤族元素的卤硼烷化合物、例如包含B及Cl的氯硼烷化合物。此外,硼烷化合物包括单硼烷(BH3)、二硼烷(B2H6)之类的硼烷(硼化氢)、用其他元素等将硼烷的H取代而得的硼烷化合物(硼烷衍生物)。硼烷类气体在后述的衬底处理工序中作为B源发挥作用。作为硼烷类气体,例如可使用三氯硼烷(BCl3)气体。BCl3气体是不含环硼氮烷环骨架的含B气体、即非环硼氮烷类的含B气体。
此外,可构成为:从气体供给管232c经由MFC241c、阀243c、喷嘴249c、缓冲室237向处理室201内供给作为包含与上述第一元素不同的元素(第二、第三元素)的反应物、例如作为反应气体的包含环硼氮烷环骨架的气体。作为包含环硼氮烷环骨架的气体,例如可使用包含环硼氮烷环骨架及有机配体的气体、即有机环硼氮烷类气体。
作为有机环硼氮烷类气体,例如可使用将作为有机环硼氮烷化合物的烷基环硼氮烷化合物汽化而得的气体。也可将有机环硼氮烷类气体称为环硼氮烷化合物气体或环硼氮烷类气体。
此处,所谓环硼氮烷,是指由B、N及H三种元素构成的杂环化合物,组成式可用B3H6N3表示,并可以用图13(a)所示的化学结构式表示。环硼氮烷化合物是包含构成环硼氮烷环(其由3个B和3个N构成)的环硼氮烷环骨架(也称为环硼氮烷骨架)的化合物。有机环硼氮烷化合物是包含C的环硼氮烷化合物,也可将其称为包含含C配体(即有机配体)的环硼氮烷化合物。烷基环硼氮烷化合物是包含烷基的环硼氮烷化合物,也可将其称为包含烷基作为有机配体的环硼氮烷化合物。烷基环硼氮烷化合物是用包含1个以上C的烃对环硼氮烷所包含的6个H中的至少任一个进行取代而得的化合物,其可以用图13(b)所示的化学结构式表示。此处,图13(b)所示的化学结构式中的R1~R6为H或为包含1~4个C的烷基。R1~R6既可以为相同种类的烷基,也可以为不同种类的烷基。其中,不包括R1~R6全部为H的情形。也可将烷基环硼氮烷化合物称为具有构成环硼氮烷环的环硼氮烷环骨架、且包含B、N、H及C的物质。此外,也可将烷基环硼氮烷化合物称为具有环硼氮烷环骨架且包含烷基配体的物质。需要说明的是,R1~R6可以为H、或者为包含1~4个C的烯基、炔基。R1~R6既可以为相同种类的烯基、炔基,也可以为不同种类的烯基、炔基。其中,不包括R1~R6全部为H的情形。
在后述的衬底处理工序中,环硼氮烷类气体也作为B源、N源、C源发挥作用。
作为环硼氮烷类气体,例如可使用n,n’,n”-三甲基环硼氮烷(简称:TMB)气体、n,n’,n”-三乙基环硼氮烷(简称:TEB)气体、n,n’,n”-三正丙基环硼氮烷(简称:TPB)气体、n,n’,n”-三异丙基环硼氮烷(简称:TIPB)气体、n,n’,n”-三正丁基环硼氮烷(简称:TBB)气体、n,n’,n”-三异丁基环硼氮烷(简称:TIBB)气体等。TMB为下述环硼氮烷化合物:图13(b)所表示的化学结构式中的R1、R3、R5为H,且R2、R4、R6为甲基;或者可以用图13(c)所表示的化学结构式表示。TEB是图13(b)所表示的化学结构式中的R1、R3、R5为H,且R2、R4、R6为乙基的环硼氮烷化合物。TPB为下述环硼氮烷化合物:图13(b)所表示的化学结构式中的R1、R3、R5为H,且R2、R4、R6为丙基,可以用图13(d)所表示的化学结构式表示。TIPB是图13(b)所表示的化学结构式中的R1、R3、R5为H,且R2、R4、R6为异丙基的环硼氮烷化合物。TIBB是图13(b)所表示的化学结构式中的R1、R3、R5为H,且R2、R4、R6为异丁基的环硼氮烷化合物。
在使用如TMB等之类的常温常压下为液态的环硼氮烷化合物时,利用汽化器、鼓泡器等汽化系统将液态的环硼氮烷化合物汽化,然后作为环硼氮烷类气体(TMB气体等)进行供给。
可构成为:从气体供给管232d经由MFC241d、阀243d、气体供给管232c、喷嘴249c、缓冲室237向处理室201内供给作为包含与上述第一元素不同的元素(第二、第三元素)的反应物、例如作为反应气体的含碳(C)气体。作为含C气体,例如可使用烃类气体。烃类气体也可称为仅由C及H两种元素构成的物质,其在后述的衬底处理工序中作为C源发挥作用。作为烃类气体,例如可使用丙烯(C3H6)气体。
可构成为:从气体供给管232e~232g分别经由MFC241e~241g、阀243e~243g、气体供给管232a~232c、喷嘴249a~249c、缓冲室237を向处理室201内供给作为非活性气体、例如氮气(N2)。从气体供给管232e~232g供给的非活性气体作为吹扫气体、稀释气体或载气发挥作用。
在将如上述那样的原料从供给管232a流出的情况下,第一原料供给系统主要由气体供给管232a、MFC241a、阀243a构成。可认为喷嘴249a包含在第一原料供给系统中。也可将第一原料供给系统称为第一原料气体供给系统。在将卤代硅烷原料气体从气体供给管232a流出的情况下,也可将第一原料供给系统称为卤代硅烷原料供给系统或卤代硅烷原料气体供给系统。在将烷基卤代硅烷原料气体从气体供给管232a流出的情况下,也可将第一原料供给系统称为烷基卤代硅烷原料供给系统或烷基卤代硅烷原料气体供给系统。
在将如上述那样的原料从气体供给管232b流出的情况下,第二原料供给系统主要由气体供给管232b、MFC241b、阀243b构成。可认为喷嘴249b包含在第二原料供给系统中。也可将第二原料供给系统称为第二原料气体供给系统。在将亚烷基卤代硅烷原料气体从气体供给管232b流出的情况下,也可将第二原料供给系统称为亚烷基卤代硅烷原料供给系统或亚烷基卤代硅烷原料气体供给系统。
在从气体供给管232c供给含N气体的情况下,含N气体供给系统主要由气体供给管232c、MFC241c、阀243c构成。可认为喷嘴249c、缓冲室237包含在含N气体供给系统中。也可将含N气体供给系统称为氮化气体供给系统或氮化剂供给系统。在将氮化氢类气体从气体供给管232c流出的情况下,也可将含N气体供给系统称为氮化氢类气体供给系统或氮化氢供给系统。
在从气体供给管232c供给含O气体的情况下,含O气体供给系统主要由气体供给管232c、MFC241c、阀243c构成。可认为喷嘴249c、缓冲室237包含在含O气体供给系统中。也可将含O气体供给系统称为氧化气体供给系统或氧化剂供给系统。
在从气体供给管232c供给包含N及C的气体的情况下,包含N及C的气体供给系统主要由气体供给管232c、MFC241c、阀243c构成。可认为喷嘴249c、缓冲室237包括在包含N及C的气体供给系统中。在从气体供给管232c供给胺类气体的情况下,也可将包含N及C的气体供给系统称为胺类气体供给系统或胺供给系统。由于包含N及C的气体既为含N气体、也为含C气体,因而也可认为包含N及C的气体供给系统包括在含N气体供给系统、后述的含C气体供给系统中。
在从气体供给管232c供给含B气体的情况下,含B气体供给系统主要由气体供给管232c、MFC241c、阀243c构成。可认为喷嘴249c、缓冲室237包括在含B气体供给系统中。在将硼烷类气体从气体供给管232c流出的情况下,也可将含B气体供给系统称为硼烷类气体供给系统或硼烷化合物供给系统。在将环硼氮烷类气体从气体供给管232c流出的情况下,也可将含B气体供给系统称为环硼氮烷类气体供给系统、有机环硼氮烷类气体供给系统或环硼氮烷化合物供给系统。由于环硼氮烷类气体不仅是含B气体,还是包含N及C的气体、含N气体、含C气体,因而也可认为环硼氮烷类气体供给系统包括在包含N及C的气体供给系统、含N气体供给系统、含C气体供给系统中。
在从气体供给管232d供给含C气体的情况下,含C气体供给系统主要由气体供给管232d、MFC241d、阀243d构成。可认为比气体供给管232c与气体供给管232d的连接部更靠近下游侧的位置、喷嘴249c、缓冲室237包括在含C气体供给系统中。在从气体供给管232d供给烃类气体的情况下,也可将含C气体供给系统称为烃类气体供给系统或烃供给系统。
也可将上述含N气体供给系统、含O气体供给系统、包含N及C的气体供给系统、含B气体供给系统、含C气体供给系统中的任一或所有气体供给系统称为反应物供给系统或反应气体供给系统。
此外,非活性气体供给系统主要由气体供给管232e~232g、MFC241e~241g、阀243e~243g构成。也可将非活性气体供给系统称为吹扫气体供给系统、稀释气体供给系统或载气供给系统。
如图2所示,在缓冲室237内,在从反应管203的下部到上部的范围沿着晶片200的层合方向配设有2根棒状电极269、270,所述2根棒状电极269、270由导电体构成,具有细长结构。各个棒状电极269、270与喷嘴249c平行地设置。各个棒状电极269、270在从上部到下部的范围被电极保护管275覆盖从而进行保护。棒状电极269、270中的任一个经由整合器272与高频电源273连接,另一个则与作为基准电位的地线连接。通过经由整合器272从高频电源273对棒状电极269、270之间施加高频(RF)电力,由此在棒状电极269、270之间的等离子体生成区域224生成等离子体。作为等离子体发生器(等离子体发生部)的等离子体源主要由棒状电极269、270和电极保护管275构成。可认为整合器272、高频电源273包含于等离子体源内。如后文所述,等离子体源作为使气体激发(活化)成等离子体激发态(即等离子体状态)的激发部(活化机构)而发挥作用。
电极保护管275成为下述结构:能在使各个棒状电极269、270与缓冲室237内的气氛隔离的状态下插入于缓冲室237。若电极保护管275内部的O浓度与外部气体(大气)的O浓度为相同程度,则分别插入到电极保护管275内的棒状电极269、270会因由加热器207产生的热而被氧化。通过预先在电极保护管275的内部填充N2气体等非活性气体、或使用非活性气体吹扫机构通过N2气体等非活性气体对电极保护管275的内部进行吹扫,能够降低电极保护管275内部的O浓度,防止棒状电极269、270的氧化。
在反应管203上连接有将处理室201内的气氛排出的排气管231。在排气管231上,经由作为检测处理室201内压力的压力检测器(压力检测部)的压力传感器245及作为压力调节器(压力调节部)的APC(AutoPressureController、自动压力控制器)阀244而连接有作为真空排气装置的真空泵246。APC阀244为如下构成的阀:通过在使真空泵246运转的状态下将阀开闭,能够对处理室201内进行真空排气及停止真空排气,进而,通过在使真空泵246运转的状态下基于由压力传感器245检测到的压力信息来调节阀开度,能够调节处理室201内的压力。排气系统主要由排气管231、APC阀244和压力传感器245构成。也可认为真空泵246包含在排气系统内。
在反应管203的下方设置有能够将反应管203的下端开口气密地封闭的、作为炉口盖体的密封盖219。密封盖219以从垂直方向下侧抵接于反应管203的下端的方式构成。密封盖219由例如SUS等金属构成,形成为圆盘状。在密封盖219的上表面设置有与反应管203的下端抵接的、作为密封部件的O型环220。在密封盖219的与处理室201相反一侧设置有使后述的晶舟217旋转的旋转机构267。旋转机构267的旋转轴255贯穿密封盖219而与晶舟217连接。旋转机构267以通过使晶舟217旋转而使晶片200旋转的方式构成。密封盖219被构成为:通过垂直设置在反应管203的外部的作为升降机构的晶舟升降机115而在垂直方向上进行升降。晶舟升降机115被构成为:能够通过使密封盖219升降而将晶舟217向处理室201内搬入及向处理室201外搬出。即,晶舟升降机115构成为将晶舟217(即晶片200)向处理室201内外搬送的搬送装置(搬送机构)。
作为衬底支承具的晶舟217被构成为:使多枚(例如25~200枚)晶片200以水平姿势且在彼此中心对齐的状态下在垂直方向上排列,并以多层的方式对该晶片200进行支承,即,使晶片200隔开间隔地排列。晶舟217例如由石英、SiC等耐热性材料构成。在晶舟217的下部,以水平姿势呈多层地支承有例如由石英、SiC等耐热性材料构成的隔热板218。通过这样的构成,来自加热器207的热难以传递到密封盖219侧。但是,本实施方式不限于上述方式。例如可以是在晶舟217的下部不设置隔热板218,而设置由石英、SiC等耐热性材料构成的、构成为筒状部件的隔热筒。
在反应管203内设置有作为温度检测器的温度传感器263。构成为:通过基于由温度传感器263检测到的温度信息来调整对加热器207的通电情况,由此能够使处理室201内的温度成为所希望的温度分布。温度传感器263与喷嘴249a~249c同样地构成为L字型,沿着反应管203的内壁设置。
如图3所示,作为控制部(控制装置)的控制器121构成为包括CPU(CentralProcessingUnit、中央处理单元)121a、RAM(RandomAccessMemory、随机存取存储器)121b、存储装置121c、I/O端口121d的计算机。RAM121b、存储装置121c、I/O端口121d被构成为能经由内部总线121e而与CPU121a进行数据交换。控制器121连接有例如构成为触摸面板等的输入输出装置122。
存储装置121c由例如闪存、HDD(HardDiskDrive、硬盘驱动器)等构成。在存储装置121c内,以可读取的方式存储有控制衬底处理装置运转的控制程序、记载有后述衬底处理的步骤、条件等的工艺制程等。工艺制程是以使控制器121执行后述衬底处理工序的各步骤、并能获得规定结果的方式组合得到的,其作为程序发挥作用。以下,将该工艺制程、控制程序等统一简称为程序。在本说明书中,在使用了程序这样的措辞的情况下,有时仅包含工艺制程,有时仅包含控制程序,或者有时包含上述两者。RAM121b构成为暂时保持通过CPU121a读取的程序、数据等的存储区域(工作区)。
I/O端口121d与上述MFC241a~241g、阀243a~243g、压力传感器245、APC阀244、真空泵246、加热器207、温度传感器263、高频电源273、整合器272、回転机构267、晶舟升降机115等连接。
CPU121a构成为:从存储装置121c读取并执行控制程序,并且根据来自输入输出装置122的操作命令的输入等从存储装置121c读取工艺制程。然后,CPU121a构成为:按照读取的工艺制程的内容,控制利通过MFC241a~241g进行的各种气体的流量调节动作、阀243a~243g的开闭动作、APC阀244的开闭动作及基于压力传感器245并利用APC阀244进行的压力调节动作、真空泵246的起动及停止、基于温度传感器263进行的加热器207的温度调节动作、高频电源273的电力供给、利用整合器272进行的阻抗调节动作、利用旋转机构267进行的晶舟217的旋转及旋转速度调节动作、利用晶舟升降机115进行的晶舟217的升降动作等。
控制器121不限于以专用的计算机的形式构成的情况,也可以以通用的计算机的形式构成。例如,准备存储了上述程序的外部存储装置(例如磁带、软盘、硬盘等磁盘;CD、DVD等光盘;MO等光磁盘;USB存储器、存储卡等半导体存储器)123,使用该外部存储装置123向通用的计算机安装程序等,由此能构成本实施方式的控制器121。但是,用于向计算机提供程序的手段并不限于经由外部存储装置123而进行提供的情况。例如可使用互联网、专用线路等通信手段而不通过外部存储装置123提供程序。存储装置121c、外部存储装置123可以以计算机可读取的记录介质的形式构成。以下,也将它们简单地总称为记录介质。在本说明书中,使用称为记录介质的词语时,包括仅单独包含存储装置121c的情况、仅单独包含外部存储装置123的情况、或包含两者的情况。
(2)衬底处理工序
采用图4说明下述顺序例:作为半导体器件(元器件)的制造工序的工序之一,使用上述衬底处理装置,在衬底上形成膜。在以下说明中,构成衬底处理装置的各部分的运转由控制器121控制。
在图4所示的成膜顺序中,通过进行规定次数(1次以上)的下述循环,从而在晶片200上形成包含C的氮化硅膜(SiN膜)作为包含Si、C及N的膜,所述循环为:将对作为衬底的晶片200供给HCDS气体(其作为具有Si-Si键的第一原料)的步骤、对晶片200供给BTCSM气体(其作为不具有Si-Si键、而具有Si-C键的第二原料)的步骤、和对晶片200供给NH3气体(其作为反应物)的步骤非同时(即非同步)地进行。也将包含C的SiN膜称为添加(掺杂)有C的SiN膜、C-dopedSiN膜、含有C的SiN膜、或者仅称为SiCN膜。
在本说明书中,有时也将上述成膜顺序如下所示。
(HCDS→BTCSM→NH3)×n→SiCN膜
在本说明书中,使用称为“晶片”的词语时,包括表示“晶片本身”的情况、表示“晶片与形成于其表面的规定的层、膜等构成的层合体(集合体)”的情况(即,有时包括形成于表面的规定的层、膜等在内,统称为晶片)。此外,在本说明书中,使用称为“晶片的表面”的词语时,包括表示“晶片本身的表面(露出面)”的情况、表示“形成于晶片上的规定的层或膜等的表面、即作为层合体的晶片的最外表面”的情况。
因此,在本说明书中,记载有“对晶片供给规定气体”的情形,包括表示“对晶片本身的表面(露出面)直接供给规定气体”的情况、表示“对形成于晶片上的层和/或膜等、即对作为层合体的晶片的最外表面供给规定气体”的情况。此外,在本说明书中,记载有“在晶片上形成规定的层(或膜)”的情形,包括表示“在晶片本身的表面(露出面)上直接形成规定的层(或膜)”的情况、表示“在形成于晶片上的层和/或膜等上、即在作为层合体的晶片的最外表面上形成规定的层(或膜)”的情况。
此外,在本说明书中,使用词语“衬底”时,也与使用词语“晶片”的情况相同,在该情况下,可以将上述说明中的“晶片”替换为“衬底”。
(晶片填充及晶舟装载)
在晶舟217中装填(晶片填充)多枚晶片200。其后,如图1所示,利用晶舟升降机举起支承了多枚晶片200的晶舟217并搬入(晶舟装载)到处理室201内。在该状态下,成为下述状态:密封盖219通过O型环220将反应管203的下端密封。
(压力调节及温度调节)
利用真空泵246进行真空排气(减压排气),使得处理室201内的压力,即晶片200所存在的空间的压力成为所希望的压力(真空度)。此时,处理室201内的压力通过压力传感器245进行测定,基于所述测得的压力信息来反馈控制APC阀244。真空泵246至少在直到对晶片200的处理结束之前的期间维持始终运转的状态。此外,处理室201内的晶片200由加热器207加热到所希望的温度。此时,基于温度传感器263检测到的温度信息来反馈控制对加热器207的通电情况,以使处理室201内成为所希望的温度分布。利用加热器207对处理室201内进行的加热至少在直到对晶片200的处理结束之前的期间持续进行。此外,开始利用旋转机构267进行的晶舟217及晶片200的旋转。至少在直到对晶片200的处理结束之前的期间持续进行利用旋转机构267进行的晶舟217及晶片200的旋转。
(SiCN膜形成工序)
其后,依次进行下述3个步骤,即步骤1~3。
[步骤1]
(供给HCDS气体)
在该步骤中,对处理室201内的晶片200供给HCDS气体。
此处,打开阀243a,在气体供给管232a内流过HCDS气体。HCDS气体通过MFC241a进行流量调节,其经由喷嘴249a被供给至处理室201内,并从排气管231排出。此时,对晶片200供给HCDS气体。此时,同时打开阀243e,在气体供给管232e内流过N2气体。N2气体通过MFC241e进行流量调节,其与HCDS气体一起被供给至处理室201内,并从排气管231排出。
此外,为了防止HCDS气体侵入到喷嘴249b、249c、缓冲室237内,打开阀243f、243g,在气体供给管232f、232g内流过N2气体。N2气体经由气体供给管232b、232c、喷嘴249b、249c、缓冲室237被供给至处理室201内,并从排气管231排出。
通过MFC241a控制的HCDS气体的供给流量为例如1~2000sccm、优选10~1000sccm的范围内的流量。通过MFC241e~241g控制的N2气体的供给流量分别为例如100~10000sccm的范围内的流量。处理室201内的压力为例如1~2666Pa、优选67~1333Pa的范围内的压力。将HCDS气体对晶片200进行供给的时间、即气体供给时间(照射时间)为例如1~120秒、优选1~60秒的范围内的时间。对于加热器207的温度而言,以晶片200的温度成为例如250~700℃、优选300~650℃、更优选350~600℃的范围内的温度的方式进行设定。
若晶片200的温度小于250℃,则存在HCDS难以化学吸附晶片200上、不能得到实用的成膜速度的情况。通过使晶片200的温度为250℃以上,能够消除该问题。通过使晶片200的温度为300℃以上、进而为350℃以上,能够使HCDS更充分地吸附在晶片200上,得到更充分的成膜速度。
若晶片200的温度超过700℃,则CVD反应过于激烈(发生过剩的气相反应),由此膜厚均匀性容易恶化,难以进行控制。通过使晶片200的温度为700℃以下,能够抑制膜厚均匀性的恶化,可实现其控制。特别地,通过使晶片200的温度为650℃以下、进而为600℃以下,从而与气相反应相比表面反应占优势,易于确保膜厚均匀性,容易进行控制。
因此,最好使晶片200的温度为250~700℃、优选300~650℃、更优选350~600℃的范围内的温度。
但是,在后述步骤2中,使晶片200的温度为例如400℃以上且800℃以下、更优选500℃以上且700℃以下、进一步优选600℃以上且700℃以下的范围内的温度是必需的。因此,在步骤1中,最好使晶片200的温度为例如400~700℃、优选500~650℃、更优选600~650℃的范围内的温度。在这种情况下,可以使步骤1、2的温度条件为相同的条件。而且,在步骤1、2之间,不必进行晶片200的温度变更、即处理室201内的温度变更(加热器207的设定温度的变更)。在这种情况下,在步骤1、2之间无需进行直到处理室201内的温度稳定为止的待机工序,能够连续进行步骤1、2,能提高成膜处理的生产率。此外,能够简化成膜处理的温度控制。
通过在上述条件下对晶片200供给HCDS气体,从而在晶片200的最外表面上形成例如包含小于1原子层至数原子层的厚度的Si及Cl的层、即包含Cl的含Si层作为种晶层。包含Cl的含Si层可以是包含Cl的Si层,也可以是HCDS的吸附层,还可以包括所述两者。HCDS的吸附层可以是HCDS的物理吸附层,也可以是HCDS的化学吸附层,还可以包括所述两者。此外,种晶层成为包含Si-Si键的层,并成为其表面被Si-Cl键封端(以下,也简称为Cl封端)的层。对于种晶层的表面而言,通过进行Cl封端,在后述步骤2中与晶片200的表面相比,其成为更易生长第一层的表面。也可以将种晶层称为Si种晶层。
此处,所谓小于1原子层的厚度的层,是指不连续形成的原子层,所谓1原子层的厚度的层,是指连续形成的原子层。所谓小于1分子层的厚度的层,是指不连续形成的分子层,所谓1分子层的厚度的层,是指连续形成的分子层。包含Cl的含Si层可包括下述两者:包含Cl的Si层和HCDS的吸附层。然而,如上文所述,对于包含Cl的含Si层,使用“1原子层”、“数原子层”等表达。
在HCDS气体自分解(热分解)的条件下,即在产生HCDS气体的热分解反应的条件下,通过使Si堆积在晶片200上从而形成包含Cl的Si层。在HCDS气体不进行自分解(热分解)的条件下,即在不产生HCDS气体的热分解反应的条件下,通过使HCDS吸附在晶片200上从而形成HCDS的吸附层。从能够提高成膜率的观点考虑,与在晶片200上形成HCDS的吸附层相比,在晶片200上形成包含Cl的Si层是优选的。
需要说明的是,如果种晶层成为小于1原子层的厚度的层、即不连续的层,则后述步骤2将在晶片200的表面(成膜的基底)部分露出的状态下进行。这种情况下,难以在整个晶片200表面内使步骤2中形成的第一层的开始生长的定时均匀地一致。也存在第一层成为不连续的层的情况。结果,最终形成的SiCN膜在晶片200表面内的膜厚均匀性容易降低,而且阶梯覆盖率(stepcoverage)容易降低。此外,在最终形成的SiCN膜上容易产生针孔(pinhole)。所谓针孔,是指对膜供给蚀刻气体、蚀刻液等蚀刻剂时,蚀刻剂向该膜的基底侧逐渐侵入的通路。通过使种晶层的厚度为1原子层以上的厚度,从而能够解决上述问题。
此外,若层合有种晶层及第一层而成的层(以下,也称为“第一层/种晶层”)的厚度超过数原子层,则后述步骤3中的改性作用无法达到第一层/种晶层的全体。通过将种晶层的厚度设为使得第一层/种晶层的厚度成为数原子层以下的厚度,从而能够解决该问题。
因此,对于种晶层的厚度而言,其单独为1原子层以上的厚度是优选的,进而,第一层/种晶层的厚度为数原子层以下的厚度是优选的。
需要说明的是,形成种晶层时,可以使HCDS气体的供给量比后述步骤2中的BTCSM气体的供给量多。
例如,如图5所示,形成种晶层时,可以使HCDS气体的供给时间比后述步骤2中的BTCSM气体的供给时间长。在这种情况下,例如,可以将步骤1中的HCDS气体的供给时间设定为60~120秒,将后述步骤2中的BTCSM气体的供给时间设定为1~30秒。
此外,例如,可以使HCDS气体的供给流量比后述步骤2中的BTCSM气体的供给流量多。在这种情况下,例如,将步骤1中的HCDS气体的供给流量设定为1000~2000sccm,将后述步骤2中的BTCSM气体的供给流量设定为1~900sccm。
在上述情况下,使种晶层为连续的层是容易且可靠的。此外,能够增加种晶层的形成率、提高成膜处理总的生产率。
(除去残留气体)
形成种晶层后,关闭阀243a,停止供给HCDS气体。此时,一直打开APC阀244,利用真空泵246将处理室201内进行真空排气,将残留在处理室201内的未反应或者帮助形成种晶层后的HCDS气体从处理室201内排除。此时,一直打开阀243e~243g,维持N2气体向处理室201内的供给。N2气体作为吹扫气体发挥作用,由此,能提高将残留于处理室201内的气体从处理室201内排除的效果。
此时,可以不完全排除残留于处理室201内的气体,还可以不完全吹扫处理室201内。若残留于处理室201内的气体为微量,则在之后进行的步骤2中不会产生恶劣影响。向处理室201内供给的N2气的流量也不必为大流量,例如,通过供给与反应管203(处理室201)的容积为同等程度量的N2气,就能够以在步骤2中不产生恶劣影响的程度进行吹扫。如此,通过不完全吹扫处理室201内,可以缩短吹扫时间、提高生产量。还能够将N2气的消耗抑制在必需最低限度。
作为第一原料,除HCDS气体外,例如还可使用六氟二硅烷(Si2F6,简称:HFDS)气体等卤代硅烷原料气体(氟硅烷原料气体);TCDMDS气体、DCTMDS气体、MCPMDS气体等烷基卤代硅烷原料气体。
通过使用不含C的卤代硅烷原料气体作为第一原料,能够防止C向种晶层中的添加,即,使种晶层为不含C的层。结果,与使用包含C的原料作为第一原料的情形相比,能够使最终形成的SiCN膜成为C浓度低的膜。
此外,通过使用烷基卤代硅烷原料气体等包含C的卤代硅烷原料气体作为第一原料,能够将C添加到种晶层中,即,使种晶层为包含Si、C及Cl的层。结果,与使用不含C的原料作为第一原料的情形相比,能够使最终形成的SiCN膜成为C浓度高的膜。
即,通过适当选择第一原料的种类,能够对最终形成的SiCN膜的C浓度进行控制。
作为非活性气体,除N2气外,还可使用Ar气、He气、Ne气、Xe气等稀有气体。
[步骤2]
(供给BTCSM气体)
步骤1结束后,对处理室201内的晶片200供给BTCSM气体。
在该步骤中,将阀243b、232e~232g的开闭控制按照与步骤1中的阀232a、232e~232g的开闭控制相同的步骤进行。BTCSM气体经由气体供给管232b、喷嘴249b被供给至处理室201内,并从排气管231排出。此时,对晶片200供给BTCSM气体。
通过MFC241b控制的BTCSM气体的供给流量为例如1~2000sccm、优选10~1000sccm的范围内的流量。处理室201内的压力为例如1~4000Pa、优选1~2666Pa、更优选67~1333Pa的范围内的压力。对晶片200供给BTCSM气体的时间,即气体供给时间(照射时间)为例如1~120秒、优选1~60秒的范围内的时间。将加热器207的温度设定为下述温度,所述温度使得晶片200的温度成为例如400℃以上且800℃以下、更优选500℃以上且700℃以下、进一步优选600℃以上且700℃以下的范围内的温度。
晶片200的温度低于400℃时,存在BTCSM不易化学吸附在晶片200上、不能获得实用的成膜率的情况。通过使晶片200的温度为400℃以上,能够使BTCSM化学吸附在晶片200上,提高成膜率。通过使晶片200的温度为500℃以上,能够使BTCSM充分地吸附在晶片200上,得到足够的成膜率。通过使晶片200的温度为600℃以上、进而为650℃以上,能够使BTCSM更充分地吸附在晶片200上,得到更加足够的成膜率。
晶片200的温度高于800℃时,由于CVD反应过于激烈(发生过剩的气相反应),因而膜厚均匀性容易恶化,难以进行控制。通过使晶片200的温度为800℃以下,可以使适当的气相反应发生,由此能抑制膜厚均匀性的恶化,能够进行控制。特别地,通过使晶片200的温度为700℃以下,从而与气相反应相比表面反应占优势,易于确保膜厚均匀性,容易进行控制。
因此,最好使晶片200的温度为400℃以上且800℃以下、更优选500℃以上且700℃以下、进一步优选600℃以上且700℃以下的范围内的温度。由于BTCSM气体的分解性低(反应性低)、热分解温度高,因此,即使在例如650~800℃之类的较高的温度带下进行成膜,也能够发生适当的气相反应、抑制发生过剩的气相反应,并抑制基于此产生颗粒。
但是,如上所述,最好在步骤2中使晶片200的温度为与步骤1中的晶片200相同的温度,例如,最好使其为400~700℃、优选500~650℃、更优选600~650℃的范围内的温度。通过使步骤1、2的温度条件为相同条件,能提高成膜处理的生产率,并能简化成膜处理的温度控制。
其他处理条件与步骤1的处理条件相同。
通过在上述条件下对晶片200供给BTCSM气体,从而在种晶层上形成例如小于1原子层至数原子层的厚度的包含C及Cl的含Si层作为第一层。包含C及Cl的含Si层成为包含Si-C键的层。包含C及Cl的含Si层既可以为包含C及Cl的Si层,也可以为BTCSM的吸附层,还可以包括所述两者。
所谓包含C及Cl的Si层,是指下述总称:除了由Si构成且包含C及Cl的连续层之外,还包括不连续层、上述层可重叠且包含C及Cl的Si薄膜。有时也将由Si构成且包含C及Cl的连续层称为包含C及Cl的Si薄膜。对于构成包含C及Cl的Si层的Si而言,除了其与C、Cl之间的键不完全断裂之外,还包括其与C、Cl之间的键完全断裂的情形。
BTCSM的吸附层除了由BTCSM分子构成的连续的吸附层之外,还包含不连续的吸附层。即,BTCSM的吸附层包含由BTCSM分子构成的1分子层或者小于1分子层的厚度的吸附层。构成BTCSM的吸附层的BTCSM分子也包含Si与C的键部分断裂的分子、Si与Cl的键部分断裂的分子。即,BTCSM的吸附层既可以为BTCSM的物理吸附层,也可以为BTCSM的化学吸附层,还可以包含所述两者。
此处,所谓小于1原子层的厚度的层,是指不连续形成的原子层,所谓1原子层的厚度的层,是指连续形成的原子层。所谓小于1分子层的厚度的层,是指不连续形成的分子层,所谓1分子层的厚度的层,是指连续形成的分子层。包含C及Cl的含Si层可包括下述两者:包含C及Cl的Si层和BTCSM的吸附层。然而,如上文所述,对于包含C及Cl的含Si层,使用“1原子层”、“数原子层”等表达。
在BTCSM气体自分解(热分解)的条件下,即在发生BTCSM气体的热分解反应的条件下,通过使Si堆积在种晶层上从而形成包含C及Cl的Si层。在BTCSM气体不进行自分解(热分解)的条件下,即在不发生BTCSM气体的热分解反应的条件下,通过使BTCSM吸附在种晶层上从而形成BTCSM的吸附层。无论在哪种条件下,BTCSM气体中的Si-C键被切断、具有未键合单键的C、Si将与种晶层的Si进行键合。此外,无论在哪种条件下,BTCSM气体中的Si-C键的至少一部分都被保持(维持)而不切断,并被直接摄入到包含C及Cl的含Si层(包含C及Cl的Si层或BTCSM的吸附层)中。例如,在发生BTCSM气体的热分解反应的条件下,即使BTCSM气体中的Si-C-Si键的一个Si-C键被切断,另一个Si-C键被保持而不切断,并被直接摄入到包含C及Cl的Si层中。从能够提高成膜率的观点考虑,与在种晶层上形成BTCSM的吸附层相比,在种晶层上形成包含C及Cl的Si层是优选的。
需要说明的是,如果在晶片200上形成的第一层/种晶层的厚度大于数原子层,则如上述那样,后述步骤3中的改性作用无法达到第一层/种晶层的全体。此外,能在晶片200上形成的第一层的厚度的最小值为小于1原子层。因此,第一层的厚度优选为小于1原子层至数原子层,第一层的厚度进一步优选为使得第一层/种晶层的厚度成为数原子层以下的厚度。
此外,在步骤2中,通过在供给至处理室201内的BTCSM气体进行热分解的条件下进行第一层的形成,能够使第一层成为沉积有Si、C及Cl的沉积层(即固体的层),而不是BTCSM的物理吸附层等非固体层。即,能够使第一层成为下述层:构成层的原子间的键牢固且稳定的层,C从层中的脱离几率小的层。
此外,在步骤2中,通过在供给至处理室201内的BTCSM气体进行热分解的条件下进行第一层的形成,可以使第一层的厚度比在BTCSM向晶片200上的化学吸附饱和的条件下形成的BTCSM的化学吸附层(饱和吸附层)的厚度厚。第一层的厚度为例如大于1原子层的厚度。在这种情况下,与BTCSM的饱和吸附层相比,可以使第一层成为层中所含的Si-C键的绝对量大的层。即,能够使第一层成为更多地包含牢固的Si-C键、构成层的原子间的键更牢固、且C从层中的脱离几率更小的层。
因此,在步骤2中,更优选地,使第一层在BTCSM气体热分解的条件下形成,而且使其厚度为单独大于1原子层的厚度。
(除去残留气体)
形成第一层后,关闭阀243b,停止供给BTCSM气体。然后,按照与步骤1相同的处理步骤,将残留在处理室201内的未反应或者帮助形成第一层后的BTCSM气体、反应副产物从处理室201内排除。此时,关于可以不完全排除残留于处理室201内的气体等方面,与步骤1相同。
作为第二原料气体,除BTCSM气体外,例如还可使用BTCSE气体等。作为非活性气体,除N2气外,还可使用例如Ar气、He气、Ne气、Xe气等稀有气体。
[步骤3]
(供给NH3气体)
步骤2结束后,对处理室201内的晶片200供给经热进行活化后的NH3气体。
在该步骤中,将阀243c、232e~232g的开闭控制按照与步骤1中的阀232a、232e~232g的开闭控制相同的步骤进行。NH3气体经由气体供给管232c、喷嘴249c、缓冲室237被供给至处理室201内,并从排气管231排出。此时,对晶片200供给NH3气体。
通过MFC241c控制的NH3气体的供给流量为例如100~10000sccm的范围内的流量。处理室201内的压力为例如1~4000Pa、优选1~3000Pa的范围内的压力。处理室201内的NH3气体的分压为例如0.01~3960Pa的范围内的压力。通过使处理室201内的压力在这样的较高的压力区内,能够利用非等离子体使NH3气热活化。通过热使NH3气活化然后进行供给的方式,能够使较温和的反应发生,能够较温和地进行后述的氮化。将经热进行活化后的NH3气对晶片200进行供给的时间,即气体供给时间(照射时间)为例如1~120秒、优选1~60秒的范围内的时间。其他处理条件为例如与步骤1相同的处理条件。
通过在上述条件下对晶片200供给NH3气,由此第一层/种晶层的至少一部分被氮化(改性)。通过将第一层/种晶层改性,可以在晶片200上形成包含Si、C及N的层、即包含C的氮化硅层(SiN层)作为第二层。也可以将包含C的SiN层称为添加(掺杂)有C的SiN层、C-dopedSiN层、含有C的SiN层、或仅称为SiCN层。
形成第二层时,第一层中所含的Si-C键的至少一部分被不切断地保持,并被直接摄入(残存)到第二层中。需要说明的是,在供给至处理室201内的BTCSM气体进行热分解的条件下形成第一层时,如上所述,第一层成为包含大量牢固的Si-C键、且构成层的原子间的键牢固且稳定的层。因此,通过供给NH3气,可以容易地抑制C从第一层中脱离。结果,第二层成为包含大量牢固的Si-C键、且构成层的原子间的键牢固且稳定的层。此外,由于层中所含的牢固的Si-C键的比例大,层中的原子间的键牢固,因此,第二层成为C的脱离几率小的层。
此外,形成第二层时,第一层/种晶层所含的Cl等杂质在利用NH3气进行的改性反应的过程中构成至少包含Cl的气体状物质,并从处理室201内排出。即,第一层/种晶层中的Cl等杂质从第一层中被拉出或者脱离,从而与第一层/种晶层分离。由此,与第一层/种晶层相比,第二层成为Cl等杂质少的层。
(除去残留气体)
形成第二层后,关闭阀243c,停止供给NH3气。然后,按照与步骤1相同的处理步骤,将处理室201内残留的未反应或者帮助形成第二层后的NH3气体、反应副产物从处理室201内排除。此时,关于可以不完全地排除残留于处理室201内的气体等方面,与步骤1相同。
作为含N气体(氮化气体),除NH3气外,还可使用例如二氮烯(diazene、N2H2)气体、肼(N2H4)气体、N3H8气体等氮化氢类气体、包含这些化合物的气体等。作为非活性气体,除N2气外,还可使用例如Ar气、He气、Ne气、Xe气等稀有气体。
(实施规定次数)
通过将非同时地进行上述步骤1~3的循环进行1次以上(规定次数),可以在晶片200上形成规定组成及规定膜厚的SiCN膜。将上述循环重复多次是优选的。即,优选地,使每一循环所形成的SiCN层的厚度比所希望的膜厚小,将上述循环重复多次直到形成所希望的膜厚。
(吹扫及恢复大气压)
打开阀243e~243g,从各个气体供给管232e~232g向处理室201内供给N2气,并从排气管231排出。N2气作为吹扫气体发挥作用。由此,将处理室201内进行吹扫,将残留于处理室201内的气体、反应副产物从处理室201内除去。之后,处理室201内的气氛被置换为非活性气体(非活性气体置换),处理室201内的压力被恢复至常压(恢复大气压)。
(晶舟卸载及晶片取出)
通过晶舟升降机115使密封盖219下降,使反应管203的下端开口。然后,处理完毕的晶片200在支承于晶舟217的状态下从反应管203的下端被搬出到反应管203的外部(晶舟卸载)。将处理完毕的晶片200从晶舟217上取下(晶片取出)。
(3)由本实施方式带来的效果
根据本实施方式,能获得以下所示的1种或多种效果。
(a)形成种晶层时,使用如HCDS气体之类的具有Si-Si键且吸附性高的气体作为原料气体,由此在进行步骤1时能够无延迟地开始种晶层的形成。即,能够缩短种晶层形成时的潜伏期(incubationtime)。结果能够提高成膜处理总的生产率。此外,能抑制HCDS气体的总消耗量、降低成膜成本。
(b)对于种晶层的表面而言,通过进行Cl封端,与晶片200的表面相比,其成为第一层更易生长的面。因此,进行步骤2时,能够从较早阶段无延迟地开始第一层的形成。即,即使在使用如BTCSM气体之类的不具有Si-Si键、而具有Si-C键且吸附性低的气体作为原料气体的情况下,也能够缩短第一层形成时的潜伏期。结果,能够充分确保循环率、提高成膜处理总的生产率。此外,能抑制价格比HCDS气体高的BTCSM气体的总消耗量、降低成膜成本。
(c)通过使种晶层为1原子层以上的厚度的层(即在整个晶片200表面内连续形成的层),能够使第一层形成时的潜伏期(即第一层的开始生长的定时)在整个晶片200表面内均匀地一致。由此,容易使第一层成为连续形成的层。即,能够抑制对晶片200表面内的膜厚的影响(由晶片200表面内的开始生长的定时偏差所导致),能提高SiCN膜在晶片200表面内的膜厚均匀性。而且,即使在使SiCN膜的膜厚为例如0.2nm以上且10nm以下、优选0.5nm以上且10nm以下、更优选1nm以上且10nm以下的薄膜区域的情况下,也能够形成膜厚均匀性良好的、无针孔的膜。此外,也能提高SiCN膜的阶梯覆盖率。
(d)在进行步骤3时,连续形成的种晶层及第一层作为阻碍反应物向晶片200的表面(成膜的基底)进行直接供给及接触的阻挡层发挥作用。由此,可以避免成膜的基底被NH3气体等反应物改性等。而且,可以抑制在成膜的基底与SiCN膜的界面间形成具有不希望的组成的层、即过渡层。
(e)通过使步骤1中的HCDS气体的供给时间比步骤2中的BTCSM气体的供给时间长,或者使步骤1中的HCDS气体的供给流量比步骤2中的BTCSM气体的供给流量多,可以可靠地形成适当的(牢固的)种晶层,容易且可靠地使种晶层为连续层。即,通过使步骤1中的HCDS气体的供给量比步骤2中的BTCSM气体的供给量多,可容易获得上述效果。
此外,通过使步骤1中的HCDS气体的供给流量比步骤2中的BTCSM气体的供给流量大,也能够增加种晶层的形成率,提高成膜处理总的生产率。
(f)在BTCSM气体进行热分解的条件下形成第一层时,能够使第一层成为原子间的键牢固且稳定的层。此外,也容易使第一层的厚度为例如大于1原子层的厚度,能够增加层中所含的Si-C键的绝对量。此外,通过使用如BTCSM气体之类的1分子中具有多个Si-C键的原料气体,能够增加层中所含的Si-C键的绝对量。结果,能够使最终形成的SiCN膜成为C浓度高、含有大量牢固的Si-C键、构成膜的原子间的键牢固且稳定的膜。此外,在BTCSM气体进行热分解的条件下形成第一层时,也能够提高SiCN膜的成膜率,提高成膜处理的生产率。
(g)通过在SiN膜中含有C,从而即使在对该膜进行薄膜化的情况下,也能够形成没有针孔的膜,即无针孔(pinhole-free)的膜。因此,在将该膜用作保护膜的情况下,能够避免蚀刻处理所伴生的对基底的蚀刻损害。此外,通过使保护膜为无针孔的膜,也能抑制蚀刻处理所伴生的对保护膜自身的蚀刻,能够避免具有SiCN膜的保护膜的功能下降。
(h)通过在SiN膜中含有C,可以使该膜成为对HF等蚀刻剂的耐受性(蚀刻耐受性)高的膜。因此,在将该膜用作保护膜的情况下,能抑制蚀刻处理所伴生的对保护膜自身的蚀刻,能够维持保护膜的功能。
(i)通过调节种晶层和第一层的厚度的比率,能够控制最终形成的SiCN膜的C浓度。例如,不含C的种晶层的厚度相对于第一层/种晶层的厚度所占的比例增加,能够减少SiCN膜中的C浓度。此外,通过使包含C的第一层的厚度相对于第一层/种晶层的厚度所占的比例增加,能够增加SiCN膜中的C浓度。
此外,通过适当选择步骤1中使用的第一原料的种类,能够控制最终形成的SiCN膜的C浓度。例如,通过使用不含C的卤代硅烷原料气体作为第一原料,能够减少SiCN膜中的C浓度。此外,通过使用包含C的卤代硅烷原料气体作为第一原料,能够增加SiCN膜中的C浓度。
如此,根据本实施方式,与不进行步骤1而仅交替地进行规定次数的步骤2、3的情况相比,能够提高最终形成的SiCN膜的组成比的控制性,能够扩大组成比控制的窗口(window)。
(j)在步骤3中,通过供给如NH3气体之类的反应物,可以从第一层/种晶层中有效地将Cl等杂质拉出或者使其脱离,可以使第二层成为杂质比第一层/种晶层少的层。结果,即使在例如400~500℃那样的较低温度区进行成膜,也能够降低SiCN膜中的杂质浓度。此外,结果能使SiCN膜成为HF耐受性更高的膜。
需要说明的是,通过使步骤1、2中形成的第一层/种晶层的厚度为数原子层以下,可以相对提高步骤3中的改性反应的作用,缩短步骤3中的改性反应所需的时间。也可以缩短步骤3中的第二层的形成所需的时间。结果,可以缩短每一循环的处理时间,也能缩短总的处理时间。即,也能提高成膜率。
(k)通过非同时地进行步骤1~3,即通过非同时地进行各种气体的供给,可以在适当地发生气相反应、表面反应的条件下,将这些气体适当地供于反应。结果能分别提高SiCN膜的阶梯覆盖率、膜厚控制性。此外,可以避免在处理室201内发生过剩的气相反应,也能抑制颗粒的产生。
需要说明的是,认为如果HCDS气体和BTCSM气体在处理室201内混合、或者HCDS气体和/或BTCSM气体与NH3气体在处理室201内混合,则在处理室201内发生过剩的气相反应,产生颗粒。与此相对,在本实施方式中,将步骤1~3作为1个循环并将该循环进行规定次数时,不仅将这些步骤非同时地进行,还在这些步骤之间进行吹扫处理室201内的步骤。由此,能够更可靠地避免上述处理室201内的气体的混合。结果,能更可靠地避免在处理室201内发生过剩的气相反应,能进一步抑制颗粒的产生。此外,也能够进一步提高SiCN膜的阶梯覆盖率、膜厚控制性。
(l)在使用HCDS气体以外的具有Si-Si键的气体作为第一原料的情况下,或在使用BTCSM气体以外的不具有Si-Si键、而具有Si-C键的气体作为第二原料的情况下,也能同样地获得上述效果。此外,在使用NH3气体以外的含N气体作为反应物的情况下,或在使用含N气体以外的气体(例如,包含N及C的气体、含O气体、含B气体、含C气体等)作为反应物的情况下,也能同样地获得上述效果。
(4)变形例
本实施方式中的成膜顺序不限于图4所示的方案,可以如以下所示的变形例那样地进行变更。
(变形例1)
例如,可以根据以下所示的成膜顺序,在晶片200上形成硅碳氮膜(SiCN膜)、即含有C的SiN膜作为包含Si、C及N的膜。根据本变形例,也能获得与图4所示的成膜顺序相同的效果。
(HCDS→BTCSM→TEA)×n→SiCN膜
(变形例2)
此外,例如,可以根据以下所示的成膜顺序,在晶片200上形成硅氧碳氮膜(SiOCN膜)、即含有C的SiON膜作为包含Si、O、C及N的膜。根据本变形例,也能获得与图4所示的成膜顺序相同的效果。图6是表示变形例2中的气体供给定时的图。
(HCDS→BTCSM→NH3→O2)×n→SiOCN膜
(变形例3)
此外,例如,可以根据以下所示的成膜顺序,在晶片200上形成SiOCN膜,此外,还可以形成硅氧碳膜(SiOC膜)作为包含Si、O及C的膜。即,可以在晶片200上形成含有C的SiON膜、或含有C的SiO膜。根据本变形例,也能获得与图4所示的成膜顺序相同的效果。
(HCDS→BTCSM→TEA→O2)×n→SiOCN膜、SiOC膜
(变形例4)
此外,例如,可以根据以下所示的成膜顺序,在晶片200上形成SiOC膜、即含有C的SiO膜。根据本变形例,也能获得与图4所示的成膜顺序相同的效果。
(HCDS→BTCSM→O2)×n→SiOC膜
(变形例5、6)
此外,例如,可以根据以下所示的成膜顺序,在晶片200上形成硅硼碳氮膜(SiBCN膜)、即含有C的SiBN膜作为包含Si、B、C及N的膜。根据这些变形例,也能获得与图4所示的成膜顺序相同的效果。图7是表示变形例5中的气体供给定时的图。
(HCDS→BTCSM→BCl3→NH3)×n→SiBCN膜(变形例5)
(HCDS→BTCSM→BCl3→TEA)×n→SiBCN膜(变形例6)
(变形例7、8)
此外,例如,可以根据以下所示的成膜顺序,在晶片200上形成包含环硼氮烷环骨架的SiBCN膜、即包含环硼氮烷环骨架的含有C的SiBN膜。根据这些变形例,也能获得与图4所示的成膜顺序相同的效果。
(HCDS→BTCSM→TMB)×n→SiBCN膜(变形例7)
(HCDS→BTCSM→TMB→NH3)×n→SiBCN膜(变形例8)
(变形例9~13)
此外,例如,可以根据以下所示的成膜顺序,在晶片200上形成第一膜和第二膜以纳米水平交替层合而成的层合膜、即纳米层合膜。以下,将第一膜和第二膜的层合膜记为“第一膜/第二膜”。根据这些变形例,也能获得与图4所示的成膜顺序相同的效果。图8是表示变形例9中的气体供给定时的图。
〔(HCDS→BTCSM→NH3)×n1→(HCDS→BTCSM→NH3→O2)×n2〕×n3→SiCN膜/SiOCN膜(变形例9)
〔(HCDS→BTCSM→NH3→O2)×n1→(HCDS→BTCSM→O2)×n2〕×n3→SiOCN膜/SiOC膜(变形例10)
〔(HCDS→BTCSM→NH3)×n1→(HCDS→BTCSM→O2)×n2〕×n3→SiCN膜/SiOC膜(变形例11)
〔(HCDS→BTCSM→NH3)×n1→(HCDS→BTCSM→BCl3→NH3)×n2〕×n3→SiCN膜/SiBCN膜(变形例12)
〔(HCDS→BTCSM→BCl3→NH3)×n1→(HCDS→BTCSM→TMB)×n2〕×n3→SiBCN膜/SiBCN膜(变形例13)
(变形例14)
在图4所示的成膜顺序和上述的各变形例中,可以将C3H6气体等含C气体与HCDS气体、BTCSM气体等原料、和/或NH3气体、O2气体、TEA气体、BCl3气体、TMB气体等反应物同时供给。即,可以将供给C3H6气体的步骤与供给原料的步骤及供给C3H6气体以外的反应物的步骤中的至少任一步骤同时进行。图9表示在图4所示的成膜顺序中将供给C3H6气体的步骤与供给NH3气体的步骤同时进行的例子。
根据本变形例,也能获得与图4所示的成膜顺序和上述各变形例相同的效果。此外,根据本变形例,能够在最终形成的膜中添加C3H6气体所含的C成分。由此,能进一步提高最终形成的膜中的C浓度。但是,从能避免在处理室201内发生过剩的气相反应、能抑制处理室201内的颗粒的产生的观点考虑,不将C3H6气体与HCDS气体和/或BTCSM气体同时供给,而将其与NH3气体、O2气体、TEA气体、BCl3气体、TMB气体同时供给的方案是优选的。此外,从能提高所形成的膜的组成比的控制性的观点考虑,不将C3H6气体与NH3气体、O2气体、BCl3气体等同时供给,而将其与TMB气体、TEA气体等同时供给的方案是优选的。需要说明的是,在将C3H6气体与TMB气体、TEA气体同时供给的情况下,在1个循环中使用三种C源(三重碳源)进行成膜,能够使最终形成的膜为下述膜:与图4所示成膜顺序和各变形例中形成的膜相比,C浓度更高。
(变形例15)
在图4所示的成膜顺序和上述的各变形例中,可以利用等离子体将NH3气体、O2气体等反应物活化后再进行供给。这种情况下,可以在经由气体供给管232c、喷嘴249c、缓冲室237向处理室201内供给反应物时,向棒状电极269、270间供给高频电力。如上所述,通过使第一层为C脱离几率小的层,从而即使在使用使等离子体激发后的反应物的情况下,也能抑制C从层中的脱离,获得与图4所示的成膜顺序相同的效果。图10是表示变形例15中的气体供给及等离子电源供给的定时的图。
(处理条件)
在上述变形例中,在对晶片200供给TEA气体的步骤中,通过MFC241b控制的TEA气体的供给流量为例如100~10000sccm的范围内的流量。其他处理条件为例如与图4所示的成膜顺序的步骤3相同的处理条件。作为包含N及C的气体,除TEA气体外,还可使用例如二乙胺((C2H5)2NH,简称:DEA)气体、单乙胺(C2H5NH2,简称:MEA)气体等乙胺类气体;三甲胺((CH3)3N,简称:TMA)气体、二甲胺((CH3)2NH,简称:DMA)气体、单甲胺(CH3NH2,简称:MMA)气体等甲胺类气体等。此外,作为包含N及C的气体,除胺类气体外,还可使用例如有机肼类气体。作为有机肼类气体,可使用例如单甲基肼((CH3)HN2H2,简称:MMH)气体、二甲基肼((CH3)2N2H2,简称:DMH)气体、三甲基肼((CH3)2N2(CH3)H,简称:TMH)气体等甲基肼类气体;乙基肼((C2H5)HN2H2,简称:EH)气体等乙基肼类气体。
此外,在对晶片200供给O2气体的步骤中,通过MFC241b控制的O2气体的供给流量为例如100~10000sccm的范围内的流量。其他处理条件为例如与图4所示的成膜顺序的步骤3相同的处理条件。作为含O气体,除O2气体外,还可使用例如一氧化二氮(N2O)气体、一氧化氮(NO)气体、二氧化氮(NO2)气体、臭氧(O3)气体、氢(H2)气体+O2气体、H2气体+O3气体、水蒸气(H2O)、一氧化碳(CO)气体、二氧化碳(CO2)气体等。
此外,在对晶片200供给BCl3气体的步骤中,通过MFC241b控制的BCl3气体的供给流量为例如100~10000sccm的范围内的流量。其他处理条件为例如与图4所示的成膜顺序的步骤3相同的处理条件。作为含B气体,除BCl3气体外,还可使用单氯硼烷(BClH2)气体、二氯硼烷(BCl2H)气体、三氟硼烷(BF3)气体、三溴硼烷(BBr3)气体、二硼烷(B2H6)气体等。
此外,在对晶片200供给TMB气体的步骤中,通过MFC241b控制的TMB气体的供给流量为例如1~1000sccm的范围内的流量。其他处理条件为例如与图4所示的成膜顺序的步骤3相同的处理条件。作为包含环硼氮烷环骨架的含B气体,除TMB气体外,还可使用例如TEB气体、TPB气体、TIPB气体、TBB气体、TIBB气体等。
此外,在对晶片200供给C3H6气体的步骤中,通过MFC241c控制的C3H6气体的供给流量为例如100~10000sccm的范围内的流量。其他处理条件为例如与图4所示的成膜顺序的步骤3相同的处理条件。作为含C气体,除C3H6气体外,还可使用例如乙炔(C2H2)气体、乙烯(C2H4)气体等烃类气体
此外,在利用等离子体将NH3气体、O2气体等反应物活化后再对晶片200供给的步骤中,通过MFC241b控制的反应物的供给流量为例如100~10000sccm的范围内的流量。施加在棒状电极269、270间的高频电力(RF电力)为例如50~1000W的范围内的电力。处理室201内的压力为例如1~500Pa、优选1~100Pa的范围内的压力。处理室201内的反应物的分压为例如0.01~495Pa、优选0.01~99Pa的范围内的压力。通过使用等离子体,从而即使将处理室201内的压力设在上述较低的压力区内,也能够使反应物活化。其他处理条件为例如与图4所示的成膜顺序的步骤3相同的处理条件。
其他步骤中的处理步骤、处理条件可以与图4所示的成膜顺序中的各步骤的处理步骤、处理条件相同。
<本发明的其他实施方式>
以上,对本发明的实施方式进行了具体说明。然而,本发明并不限定于上述实施方式,在不脱离其主旨的范围内可以进行各种变化。
例如,上述实施方式中,对在供给原料(第一原料,第二原料)后供给反应物的例子进行了说明。本发明并不限定于上述方式,可以将供给顺序颠倒。即,可以在供给反应物后供给原料。通过改变原料和反应物的供给顺序,能够改变所形成的薄膜的膜质、组成比。此外,在使用多种反应物的情况下,其供给顺序可以进行任意变更。通过改变反应物的供给顺序,能够改变所形成的薄膜的膜质、组成比。
将通过图4所示的成膜顺序和各变形例的方法形成的硅系绝缘膜用作侧壁间隔件,由此可提供漏电流少、加工性优异的元器件形成技术。此外,通过将上述硅系绝缘膜用作蚀刻阻止层,可以提供加工性优异的元器件形成技术。此外,根据图4所示的成膜顺序和部分变形例,可以不使用等离子体而形成理想的理论混合比的硅系绝缘膜。由于不使用等离子体而形成硅系绝缘膜,因而也可适用于例如担心DPT的SADP膜等、等离子体损害的工序。
在上述情况下,处理条件也可以与例如上述实施方式的处理条件相同。
上述成膜顺序也可优选适用于在晶片200上形成包含钛(Ti)、锆(Zr)、铪(Hf)、钽(Ta)、铌(Nb)、铝(Al)、钼(Mo)、钨(W)等金属元素的金属类薄膜的情形。
即,本发明也能优选适用于形成例如TiCN膜、TiOCN膜、TiOC膜、TiBCN膜、ZrCN膜、ZrOCN膜、ZrOC膜、ZrBCN膜、HfCN膜、HfOCN膜、HfOC膜、HfBCN膜、TaCN膜、TaOCN膜、TaOC膜、TaBCN膜、NbCN膜、NbOCN膜、NbOC膜、NbBCN膜、AlCN膜、AlOCN膜、AlOC膜、AlBCN膜、MoCN膜、MoOCN膜、MoOC膜、MoBCN膜、WCN膜、WOCN膜、WOC膜、WBCN膜等金属类薄膜(即金属碳化物类薄膜)的情形。此外,本发明也能优选适用于形成金属类纳米层合膜(其由上述金属类薄膜以纳米水平交替层合而成)的情形。
在上述情况下,作为原料,可以使用包含金属元素的原料来代替上述实施方式中的包含Si的原料。即,作为第一原料,可以使用具有金属元素彼此形成的化学键的金属原料气体。作为第二原料,可以使用下述金属原料气体:不具有金属元素彼此形成的化学键,而具有金属元素和C形成的化学键。作为反应物,可以使用与上述实施方式相同的反应气体。此时的处理条件可以为例如与上述实施方式相同的处理条件。
即,本发明可优选适用于形成下述薄膜的情形,所述薄膜为包含半导体元素和/或金属元素等规定元素作为第一元素、包含N、O、B等非金属元素作为第二元素(第三元素)、以及包含C的薄膜。
关于用于上述各种薄膜形成的工艺制程(记载有衬底处理的处理步骤、处理条件等的程序),优选地,根据衬底处理的内容(所形成的薄膜的膜种、组成比、膜质、膜厚、处理步骤、处理条件等)而分别单独准备(准备多个)。并且优选地,在开始衬底处理时,根据衬底处理的内容,从多个制程中适当选择合适的制程。具体而言,优选地,经由电气通信线路和/或记录有该制程的记录介质(外部存储装置123),将根据衬底处理的内容而单独准备的多个制程预先存储(安装)在衬底处理装置所具有的存储装置121c内。然后,在开始衬底处理时,优选地,衬底处理装置所具有的CPU121a根据衬底处理的内容从存储装置121c内所存储的多个制程中适当选择合适的制程。通过这样构成,能够在1台衬底处理装置中通用地且再现性良好地形成各种膜种、组成比、膜质、膜厚的薄膜。此外,可以降低操作者的操作负担(处理步骤、处理条件等的输入负担等),避免操作失误,同时可以迅速地开始衬底处理。
上述工艺制程不限于新作成的情况,例如可以通过改变已安装于衬底处理装置的已有制程来准备。在改变制程时,可以经由电气通信线路和/或记录有该制程的记录介质将变更后的制程安装于衬底处理装置。此外,可以操作已有的衬底处理装置所具有的输入输出装置122,直接改变已安装于衬底处理装置的已有制程。
上述实施方式中,对使用一次处理多枚衬底的批量式衬底处理装置形成薄膜的例子进行了说明。本发明不限于上述实施方式,例如也优选适用于使用一次处理一枚或数枚衬底的单片式衬底处理装置形成薄膜的情形。此外,在上述实施方式中,对使用具有热壁式处理炉的衬底处理装置形成薄膜的例子进行了说明。本发明不限于上述实施方式,也优选适用于使用具有冷壁式处理炉的衬底处理装置形成薄膜的情形。在上述情况下,处理步骤、处理条件也可以为例如与上述实施方式相同的处理步骤、处理条件。
例如,在使用具有图14(a)所示的处理炉302的衬底处理装置形成膜的情况下,本发明也能优选适用。处理炉302包括:处理容器303,其形成处理室301;作为气体供给部的簇射头303s,其以喷淋状向处理室301内供给气体;支承台317,其以水平姿势支承1枚或数枚晶片200;旋转轴355,其从下方支承支承台317;设于支承台317的加热器307。簇射头303s的进口(气体导入口)连接有供给上述第一原料的气体供给端口332a和供给上述第二原料的气体供给端口332b。处理容器303的侧壁(即已搬入到处理室301内的晶片200的端部的侧方)连接有作为供给上述反应物的气体供给部的气体供给端口332c。气体供给端口332a连接有与上述实施方式的第一原料供给系统相同的原料供给系统。气体供给端口332b连接有与上述实施方式的第二原料供给系统相同的原料供给系统。气体供给端口332c连接有使上述反应物等离子体激发后再进行供给的远程等离子体单元(等离子体生成装置,其作为激发部)339c、和与上述实施方式的反应物供给系统相同的反应物供给系统。在簇射头303s的出口(气体排出口)处设置有以喷淋状向处理室301内供给气体的气体分散板。簇射头303s设置在与已搬入到处理室301内的晶片200的表面相对(对面)的位置,气体供给端口332c设置在不与已搬入到处理室301内的晶片200的表面相对的位置。处理容器303中设置有对处理室301内进行排气的排气端口331。排气端口331连接有与上述实施方式的排气系统相同的排气系统。
此外,例如在使用具有图15所示的处理炉402的衬底处理装置形成膜的情况下,本发明也能优选适用。处理炉402包括:形成处理室401的处理容器403;将1枚或数枚晶片200以水平姿势支承的支承台417;从下方支承支承台417的旋转轴455;向处理容器403的晶片200进行光照射的加热灯407;使加热灯407的光透过的石英窗403w。处理容器403连接有供给上述第一原料的气体供给端口432a、作为供给上述第二原料的气体供给部的气体供给端口432b和作为供给上述反应物的气体供给部的气体供给端口432c。气体供给端口432a连接有与上述实施方式的第一原料供给系统相同的原料供给系统。气体供给端口432b连接有与上述实施方式的第二原料供给系统相同的原料供给系统。气体供给端口432c连接有上述远程等离子体单元339c和与上述实施方式的反应物供给系统相同的反应物供给系统。气体供给端口432a~432c分别设置在已搬入到处理室401内的晶片200的端部的侧方,即不与已搬入到处理室401内的晶片200的表面相对的位置。处理容器403中设置有对处理室401内进行排气的排气端口431。排气端口431连接有与上述实施方式的排气系统相同的排气系统。
在使用上述衬底处理装置的情况下,也能以与上述实施方式、变形例相同的顺序、处理条件进行成膜。
此外,可以将上述实施方式、变形例等适当组合使用。此外,此时的处理条件可以为例如与上述实施方式相同的处理条件。
<本发明的优选方案>
以下,附记本发明的优选方案。
(附记1)
根据本发明的一个方案,提供一种具有下述工序的半导体器件的制造方法及衬底处理方法,所述工序为:
通过将非同时地进行对衬底供给第一原料的工序、对所述衬底供给第二原料的工序和对所述衬底供给包含第二元素的反应物的工序作为一个循环,并进行规定次数的所述循环,由此在所述衬底上形成包含所述第一元素、所述第二元素及碳的膜,
所述第一原料具有第一元素彼此形成的化学键,
所述第二原料不具有所述第一元素彼此形成的化学键、而具有所述第一元素和碳形成的化学键。
(附记2)
如附记1所述的方法,优选地,在供给所述第一原料的工序中,形成包含所述第一元素的种晶层。
(附记3)
如附记2所述的方法,优选地,在供给所述第二原料的工序中,在所述种晶层上形成包含所述第一元素和碳的第一层。
(附记4)
如附记3所述的方法,优选地,在供给所述反应物的工序中,对所述种晶层及所述第一层改性,形成包含所述第一元素、所述第二元素及碳的第二层。
(附记5)
如附记1至4中任一项所述的方法,优选地,所述循环在所述第二原料所含的所述第一元素和碳形成的化学键的至少一部分被保持的条件下进行规定次数。
(附记6)
如附记3所述的方法,优选地,供给所述第二原料的工序在所述第二原料所含的所述第一元素和碳形成的化学键的至少一部分被不切断地保持的条件下进行。即,在供给所述第二原料的工序中,所述第二原料所含的所述第一元素和碳形成的化学键的至少一部分被保持而不切断,并被直接摄入到所述第一层中。
(附记7)
如附记4所述的方法,优选地,供给所述反应物的工序在所述第一层中所含的所述第一元素和碳形成的化学键的至少一部分被保持而不切断的条件下进行。即,在供给所述反应物的工序中,在所述第一层中所含的所述第一元素和碳形成的化学键的至少一部分被保持而不切断的状态下进行所述改性。
(附记8)
如附记1至7中任一项所述的方法,优选地,所述循环在下述条件下进行规定次数,所述条件为:在所述第二原料进行热分解的同时,所述第二原料所含的所述第一元素和碳形成的化学键的至少一部分被保持。
(附记9)
如附记1至8中任一项所述的方法,优选地,在供给所述第一原料的工序和供给所述第二原料的工序之间,进行吹扫所述衬底存在的空间(处理室)内的工序。即,使所述第一原料和所述第二原料在所述衬底存在的空间内不进行混合。
(附记10)
如附记1至9中任一项所述的方法,优选地,使所述第一原料的供给量比所述第二原料的供给量多。
(附记11)
如附记1至10中任一项所述的方法,优选地,使所述第一原料的供给流量比所述第二原料的供给流量多。
(附记12)
如附记1至11中任一项所述的方法,优选地,使所述第一原料的供给时间比所述第二原料的供给时间长。
(附记13)
如附记1至12中任一项所述的方法,优选地,所述循环进一步包括对所述衬底供给包含第三元素的反应物的工序,通过将所述循环进行规定次数,从而在所述衬底上形成包含所述第一元素、所述第二元素、所述第三元素及碳的膜。
(附记14)
如附记1至13中任一项所述的方法,优选地,所述第一原料具有所述第一元素彼此形成的化学键以及所述第一元素和碳形成的化学键。这种情况下,在供给所述第一原料的工序中,形成包含所述第一元素及碳的种晶层。
(附记15)
如附记1至14中任一项所述的方法,优选地,所述第一原料包含所述第一元素、碳及卤族元素。
(附记16)
如附记1至15中任一项所述的方法,优选地,所述第二原料包含所述第一元素、碳及卤族元素。
(附记17)
如附记1至16中任一项所述的方法,优选地,所述第二原料在1分子中(其化学结构式中)具有至少2个所述第一元素和碳形成的化学键。
(附记18)
如附记1至17中任一项所述的方法,优选地,所述反应物包含选自由含氮气体(氮化气体、氮化氢类气体)、含碳气体(烃类气体)、包含氮及碳的气体(胺类气体、有机肼类气体)、含氧气体(氧化气体)、含硼气体(硼烷类气体)、及包含硼、氮及碳的气体(环硼氮烷类气体)组成的组中的至少1种。
(附记19)
根据本发明的其他方案,提供一种衬底处理装置,其具有:
处理室,其收纳衬底;
第一原料供给系统,其对所述处理室内的衬底供给第一原料,所述第一原料具有第一元素彼此形成的化学键;
第二原料供给系统,其对所述处理室内的衬底供给第二原料,所述第二原料不具有所述第一元素彼此形成的化学键、而具有所述第一元素和碳形成的化学键;
反应物供给系统,其对所述处理室内的衬底供给包含第二元素的反应物;
控制部,其被构成为以进行下述处理的方式控制所述第一原料供给系统、所述第二原料供给系统及所述反应物供给系统,所述处理为:
将非同时地进行对所述处理室内的衬底供给所述第一原料的处理、对所述处理室内的所述衬底供给所述第二原料的处理和对所述处理室内的所述衬底供给所述反应物的处理作为一个循环,通过进行规定次数的所述循环,由此在所述衬底上形成包含所述第一元素、所述第二元素及碳的膜。
(附记20)
根据本发明的另一其他方案,提供一种程序及记录有该程序的计算机可读取记录介质,所述程序使计算机执行下述步骤:
将非同时地进行对处理室内的衬底供给第一原料的步骤、对所述处理室内的所述衬底供给第二原料的步骤和对所述处理室内的所述衬底供给包含第二元素的反应物的步骤作为一个循环,通过进行规定次数的所述循环,由此在所述衬底上形成包含所述第一元素、所述第二元素及碳的膜,
所述第一原料具有第一元素彼此形成的化学键,
所述第二原料不具有所述第一元素彼此形成的化学键、而具有所述第一元素和碳形成的化学键。

Claims (19)

1.一种半导体器件的制造方法,具有下述工序:
将非同时地进行对衬底供给第一原料的工序、对所述衬底供给第二原料的工序和对所述衬底供给包含第二元素的反应物的工序作为一个循环,通过进行规定次数的所述循环,由此在所述衬底上形成包含第一元素、所述第二元素及碳的膜,
所述第一原料具有第一元素彼此形成的化学键,
所述第二原料不具有所述第一元素彼此形成的化学键、而具有所述第一元素和碳形成的化学键。
2.如权利要求1所述的半导体器件的制造方法,其中,在供给所述第一原料的工序中,形成包含所述第一元素的种晶层。
3.如权利要求2所述的半导体器件的制造方法,其中,在供给所述第二原料的工序中,在所述种晶层上形成包含所述第一元素和碳的第一层。
4.如权利要求3所述的半导体器件的制造方法,其中,在供给所述反应物的工序中,对所述种晶层及所述第一层改性,形成包含所述第一元素、所述第二元素及碳的第二层。
5.如权利要求1所述的半导体器件的制造方法,其中,在所述第二原料所含的所述第一元素和碳形成的化学键的至少一部分被保持的条件下进行规定次数的所述循环。
6.如权利要求1所述的半导体器件的制造方法,其中,供给所述第二原料的工序在下述条件下进行,所述条件为:所述第二原料所含的所述第一元素和碳形成的化学键的至少一部分被保持而不切断。
7.如权利要求4所述的半导体器件的制造方法,其中,供给所述反应物的工序在下述条件下进行,所述条件为:所述第一层中所含的所述第一元素和碳形成的化学键的至少一部分被保持而不切断。
8.如权利要求1所述的半导体器件的制造方法,其中,在下述条件下进行规定次数的所述循环,所述条件为:在所述第二原料进行热分解的同时,所述第二原料所含的所述第一元素和碳形成的化学键的至少一部分被保持。
9.如权利要求1所述的半导体器件的制造方法,其中,在供给所述第一原料的工序和供给所述第二原料的工序之间,进行吹扫所述衬底存在的空间的工序。
10.如权利要求1所述的半导体器件的制造方法,其中,使所述第一原料的供给量比所述第二原料的供给量多。
11.如权利要求1所述的半导体器件的制造方法,其中,使所述第一原料的供给流量比所述第二原料的供给流量多。
12.如权利要求1所述的半导体器件的制造方法,其中,使所述第一原料的供给时间比所述第二原料的供给时间长。
13.如权利要求1所述的半导体器件的制造方法,其中,所述循环进一步包括对所述衬底供给包含第三元素的反应物的工序,
通过将所述循环进行规定次数,从而在所述衬底上形成包含所述第一元素、所述第二元素、所述第三元素及碳的膜。
14.如权利要求1所述的半导体器件的制造方法,其中,所述第一原料具有所述第一元素彼此形成的化学键以及所述第一元素和碳形成的化学键。
15.如权利要求1所述的半导体器件的制造方法,其中,所述第一原料包含所述第一元素、碳及卤族元素。
16.如权利要求1所述的半导体器件的制造方法,其中,所述第二原料包含所述第一元素、碳及卤族元素。
17.如权利要求1所述的半导体器件的制造方法,其中,所述第二原料在1分子中具有至少2个所述第一元素和碳形成的化学键。
18.如权利要求1所述的半导体器件的制造方法,其中,所述反应物包含选自由含氮气体、含碳气体、包含氮及碳的气体、含氧气体、含硼气体、及包含硼、氮及碳的气体组成的组中的至少1种。
19.一种衬底处理装置,其具有:
处理室,其收纳衬底;
第一原料供给系统,其对所述处理室内的衬底供给第一原料,所述第一原料具有第一元素彼此形成的化学键;
第二原料供给系统,其对所述处理室内的衬底供给第二原料,所述第二原料不具有所述第一元素彼此形成的化学键、而具有所述第一元素和碳形成的化学键;
反应物供给系统,其对所述处理室内的衬底供给包含第二元素的反应物;
控制部,其被构成为以进行下述处理的方式控制所述第一原料供给系统、所述第二原料供给系统及所述反应物供给系统,所述处理为:
将非同时地进行对所述处理室内的衬底供给所述第一原料的处理、对所述处理室内的所述衬底供给所述第二原料的处理和对所述处理室内的所述衬底供给所述反应物的处理作为一个循环,通过进行规定次数的所述循环,由此在所述衬底上形成包含所述第一元素、所述第二元素及碳的膜。
CN201510437048.3A 2014-07-23 2015-07-23 半导体器件的制造方法及衬底处理装置 Active CN105296963B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014149463A JP5886381B2 (ja) 2014-07-23 2014-07-23 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
JP2014-149463 2014-07-23

Publications (2)

Publication Number Publication Date
CN105296963A true CN105296963A (zh) 2016-02-03
CN105296963B CN105296963B (zh) 2018-06-12

Family

ID=55166252

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510437048.3A Active CN105296963B (zh) 2014-07-23 2015-07-23 半导体器件的制造方法及衬底处理装置

Country Status (4)

Country Link
US (1) US9978587B2 (zh)
JP (1) JP5886381B2 (zh)
KR (1) KR101700522B1 (zh)
CN (1) CN105296963B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107658210A (zh) * 2016-07-26 2018-02-02 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及记录介质
CN108257862A (zh) * 2016-12-28 2018-07-06 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及存储介质
CN109155254A (zh) * 2016-09-20 2019-01-04 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
CN113451111A (zh) * 2020-03-25 2021-09-28 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US10814349B2 (en) * 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
JP6594804B2 (ja) * 2016-03-11 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6854260B2 (ja) * 2018-06-20 2021-04-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN112823410B (zh) * 2019-09-18 2024-04-02 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
JP7227122B2 (ja) 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003276110A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層体およびその製造方法
CN101807524A (zh) * 2009-02-17 2010-08-18 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN102471885A (zh) * 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
CN103035485A (zh) * 2011-09-30 2013-04-10 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法及衬底处理装置
CN103165438A (zh) * 2011-12-09 2013-06-19 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法及衬底处理装置
CN103620745A (zh) * 2011-08-25 2014-03-05 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060228903A1 (en) * 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
KR20110036312A (ko) 2009-10-01 2011-04-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP5374638B2 (ja) * 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
EP2588650A4 (en) * 2010-07-02 2014-03-19 Matheson Tri Gas Inc SELECTIVE EPITAXY OF SI-CONTAINING MATERIALS AND SUBSTITUTIONALLY DOPED SI-CONTAINING CRYSTAL MATERIALS
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
JP2015103729A (ja) * 2013-11-27 2015-06-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003276110A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層体およびその製造方法
CN101807524A (zh) * 2009-02-17 2010-08-18 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN102471885A (zh) * 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
CN103620745A (zh) * 2011-08-25 2014-03-05 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
CN103035485A (zh) * 2011-09-30 2013-04-10 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法及衬底处理装置
CN103165438A (zh) * 2011-12-09 2013-06-19 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法及衬底处理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107658210A (zh) * 2016-07-26 2018-02-02 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及记录介质
CN109155254A (zh) * 2016-09-20 2019-01-04 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
CN109155254B (zh) * 2016-09-20 2024-03-01 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
CN108257862A (zh) * 2016-12-28 2018-07-06 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及存储介质
CN108257862B (zh) * 2016-12-28 2022-10-25 株式会社国际电气 半导体器件的制造方法、衬底处理装置及存储介质
CN113451111A (zh) * 2020-03-25 2021-09-28 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质

Also Published As

Publication number Publication date
JP5886381B2 (ja) 2016-03-16
CN105296963B (zh) 2018-06-12
KR20160012086A (ko) 2016-02-02
JP2016025262A (ja) 2016-02-08
US20160024659A1 (en) 2016-01-28
KR101700522B1 (ko) 2017-01-26
US9978587B2 (en) 2018-05-22

Similar Documents

Publication Publication Date Title
CN105296963A (zh) 半导体器件的制造方法及衬底处理装置
US10513775B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20210198785A1 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
US10090149B2 (en) Method of manufacturing semiconductor device by forming and modifying film on substrate
KR20180090767A (ko) 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP5883049B2 (ja) 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
CN104805414A (zh) 半导体器件的制造方法及衬底处理装置
CN105489473A (zh) 半导体器件的制造方法及衬底处理装置
US9741556B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9711348B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
KR101858345B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2017056155A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
US10340134B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
JP6654232B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2016038744A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20181203

Address after: Tokyo, Japan, Japan

Patentee after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Hitachi Kunisai Electric Corp.

TR01 Transfer of patent right