CN103035485A - 半导体器件的制造方法、衬底处理方法及衬底处理装置 - Google Patents

半导体器件的制造方法、衬底处理方法及衬底处理装置 Download PDF

Info

Publication number
CN103035485A
CN103035485A CN2012103659308A CN201210365930A CN103035485A CN 103035485 A CN103035485 A CN 103035485A CN 2012103659308 A CN2012103659308 A CN 2012103659308A CN 201210365930 A CN201210365930 A CN 201210365930A CN 103035485 A CN103035485 A CN 103035485A
Authority
CN
China
Prior art keywords
gas
film
substrate
temperature
supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012103659308A
Other languages
English (en)
Other versions
CN103035485B (zh
Inventor
汤浅和宏
赤江尚德
寺崎昌人
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Electric Co., Ltd.
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN103035485A publication Critical patent/CN103035485A/zh
Application granted granted Critical
Publication of CN103035485B publication Critical patent/CN103035485B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本申请涉及半导体器件的制造方法、衬底处理方法及衬底处理装置。提高具有氧化膜和氮化膜的层合构造的绝缘膜的膜厚均匀性等。实施如下工序:通过对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底实施规定次数的包括供给第一原料气体的工序和供给氧化气体及还原气体的工序的循环,由此在衬底上形成氧化膜的工序;通过对于处理容器内的被加热到第一温度以上第二温度以下的温度的衬底供给氮化气体,由此在氧化膜的表面上形成种晶层的工序;通过对于处理容器内的被加热到第二温度的衬底实施规定次数的包括供给第二原料气体的工序和供给氮化气体的工序的循环,由此在形成在氧化膜的表面上的种晶层上形成氮化膜的工序。

Description

半导体器件的制造方法、衬底处理方法及衬底处理装置
技术领域
本发明涉及包括在衬底上形成薄膜的工序的半导体器件的制造方法及衬底处理方法、以及在该工序中适当地被使用的衬底处理装置。
背景技术
作为半导体器件的制造工序的一工序,有时进行将由氧化膜和氮化膜交替地层合而成的ONO层合构造的绝缘膜形成在衬底上的工序。为在同一处理室内连续地形成构成ONO层合构造的氧化膜及氮化膜,以往,使用了CVD(Chemical Vapor Deposition)法。例如,在收纳有衬底的处理室内交替地进行下述工序,即同时供给DCS(二氯硅烷、SiH2Cl2)气体和一氧化二氮(N2O)气体而形成硅氧化膜(SiO膜)的工序、和同时供给DCS气体和氨气(NH3)而形成硅氮化膜(SiN膜)的工序,由此能够在衬底上形成规定膜厚的ONO层合构造的绝缘膜。
发明内容
但是,使用气相反应为主的CVD法成膜上述绝缘膜的情况下,在衬底的表面实施精密加工等,表面积变大时,衬底周边部中的气体消耗比衬底中心部更严重,衬底面内的绝缘膜的膜厚均匀性降低,有时小于半导体器件所要求的允许值。另外,有时相对于形成在衬底表面上的细槽等的绝缘膜的阶梯覆盖性降低。
对于上述课题,可以考虑采用降低处理室内的压力来抑制衬底周边部的气相反应的方法、和增大衬底排列的间距即相邻的衬底间的距离来弥补衬底中央部的气体损失的方法。但是,在降低处理室内的压力的前者的方法中,有时导致绝缘膜的成膜速度下降,生产率降低。另外,在增大衬底排列的间距的后者的方法中,有时导致每一次衬底处理的处理片数减少,生产率明显降低。
本发明的目的是提供能够提高具有氧化膜和氮化膜的层合构造的绝缘膜的膜厚均匀性和阶梯覆盖性的、能够提高成膜时的生产率的半导体器件的制造方法、衬底处理方法及衬底处理装置。
根据本发明的一方式,提供一种半导体器件的制造方法,包括:
通过对处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底进行规定次数的包括供给第一原料气体的工序和供给氧化气体及还原气体的工序的循环,由此在所述衬底上形成氧化膜的工序;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给氮化气体,由此在所述氧化膜的表面上形成种晶层的工序;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给第二原料气体的工序和供给所述氮化气体的工序的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的工序。
根据本发明的其他方式,提供一种衬底处理方法,包括:
通过对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底实施规定次数的包括供给第一原料气体的工序和供给氧化气体及还原气体的工序的循环,由此在所述衬底上形成氧化膜的工序;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给氮化气体,由此在所述氧化膜的表面上形成种晶层的工序;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给第二原料气体的工序和供给所述氮化气体的工序的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的工序。
根据本发明的又一其他方式,提供一种衬底处理装置,具有:
收纳衬底的处理容器;
对所述处理容器内的衬底进行加热的加热器;
对于所述处理容器内的衬底供给第一原料气体及第二原料气体的原料气体供给系统;
对于所述处理容器内的衬底供给氧化气体的氧化气体供给系统;
对于所述处理容器内的衬底供给还原气体的还原气体供给系统;
对于所述处理容器内的衬底供给氮化气体的氮化气体供给系统;
调整所述处理容器内的压力的压力调整部;
对所述加热器、所述原料气体供给系统、所述氧化气体供给系统、所述还原气体供给系统、所述氮化气体供给系统及所述压力调整部进行控制的控制部,
所述控制部进行如下处理:
通过对于处于小于大气压的压力下的所述处理容器内的被加热到第一温度的衬底实施规定次数的包括供给所述第一原料气体的处理和供给所述氧化气体及所述还原气体的处理的循环,由此在所述衬底上形成氧化膜的处理;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给所述氮化气体,由此在所述氧化膜的表面上形成种晶层的处理;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给所述第二原料气体的处理和供给所述氮化气体的处理的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的处理。
根据本发明,能够提供能够提高具有氧化膜和氮化膜的层合构造的绝缘膜的膜厚均匀性和阶梯覆盖性的、能够提高成膜时的生产率的半导体器件的制造方法、衬底处理方法及衬底处理装置。
附图说明
图1是本发明的实施方式中适当地使用的衬底处理装置的纵型处理炉的大致结构图,是用纵剖视图表示处理炉部分的图。
图2是本发明的实施方式中适当地使用的衬底处理装置的纵型处理炉的大致结构图,是用沿图1的A-A线的剖视图表示处理炉部分的图。
图3是本发明的实施方式中适当地使用的衬底处理装置的控制器的大致结构图。
图4是表示本发明的第一实施方式的成膜流程的图。
图5是表示本发明的第一实施方式的成膜流程的变形例1的图。
图6是表示本发明的第一实施方式的成膜流程的变形例2的图。
图7是表示本发明的第一实施方式的成膜流程的变形例3的图。
图8是表示本发明的第一实施方式的成膜流程的变形例4的图。
图9是表示本发明的第二实施方式的成膜流程的图。
图10是表示本发明的第三实施方式的成膜流程的图。
图11是表示本发明的第一实施方式的气体供给的定时的图。
图12是表示本发明的第一实施方式的气体供给的定时的变形例1的图。
图13是表示本发明的第一实施方式的气体供给的定时的变形例2的图。
图14是表示本发明的第一实施方式的气体供给的定时的变形例3的图。
图15是表示本发明的第一实施方式的气体供给的定时的变形例4的图。
图16是表示本发明的第二实施方式的气体供给的定时的图。
图17是表示本发明的第三实施方式的气体供给的定时的图。
图18是表示实施例1的硅氮化膜的成膜延迟时间的评估结果的图。
图19是表示实施例2的硅氮化膜的成膜延迟时间的评估结果的图。
符号说明
121 控制器(控制部)
200 晶圆(衬底)
201 处理室
202 处理炉
203 反应管
207 加热器
231 排气管
244 APC阀(压力调整部)
具体实施方式
<本发明的第一实施方式>
(1)衬底处理装置的构成
图1是本实施方式中适当地使用的衬底处理装置的纵型处理炉的大致结构图,用纵剖视图表示处理炉202部分。另外,图2是本实施方式中适当地使用的纵型处理炉的大致结构图,用沿图1的A-A线的剖视图表示处理炉202部分。需要说明的是,本发明不限于本实施方式的衬底处理装置,还能够适当地适用于具有单张式、热壁式、冷壁式的处理炉的衬底处理装置。
如图1所示,处理炉202具有作为加热构件(加热机构)的加热器207。加热器207是圆筒形状,被作为保持板的加热器基座(未图示)支承,由此被垂直地安装。需要说明的是,加热器207如下所述地还作为利用热量使气体活化的活化机构发挥功能。
在加热器207的内侧配置有与加热器207成同心圆状地构成反应容器(处理容器)的反应管203。反应管203由例如石英(SiO2)或碳化硅(SiC)等的耐热性材料构成,形成为上端封闭、下端开口的圆筒形状。在反应管203的筒中空部形成有处理室201,能够通过下述的舟皿217以水平姿势沿垂直方向多层地排列的状态收纳作为衬底的晶圆200。
在处理室201内,作为第一气体导入部的第一喷嘴233a、作为第二气体导入部的第二喷嘴233b和作为第三气体导入部的第三喷嘴233c贯穿反应管203的下部侧壁地设置。在第一喷嘴233a上连接有第一气体供给管232a。另外,在第二喷嘴233b上连接有第二气体供给管232b。另外,在第三喷嘴233c上连接有第三气体供给管232c、第四气体供给管232d及第五气体供给管232e。由此,在反应管203上设置有3个喷嘴233a、233b、233c和5条气体供给管232a、232b、232c、232d、232e,能够向处理室201内供给多种、这里是5种气体。
需要说明的是,也可以在反应管203的下方设置有支承反应管203的金属制的歧管,贯穿该金属制的歧管的侧壁地设置各喷嘴。该情况下,在该金属制的歧管上还可以设置下述的排气管231。需要说明的是,在该情况下,也可以不将排气管231设置在金属制的歧管上,而设置在反应管203的下部。这样,也可以使处理炉202的炉口部为金属制,在该金属制的炉口部安装喷嘴等。
在第一气体供给管232a上从上游方向开始按顺序设置有流量控制器(流量控制部)即质量流量控制器(MFC)241a及开闭阀即阀243a。另外,在第一气体供给管232a的比阀243a更靠下游侧,连接有第一惰性气体供给管232f。在该第一惰性气体供给管232f上从上游方向开始按顺序设置有流量控制器(流量控制部)即质量流量控制器241f及开闭阀即阀243f。另外,在第一气体供给管232a的前端部连接有上述第一喷嘴233a。第一喷嘴233a是在反应管203的内壁和晶圆200之间的圆弧状的空间中,沿着从反应管203的内壁的下部向上部,朝向晶圆200的装载方向上方立起地设置。即,第一喷嘴233a沿着晶圆排列区域被设置在晶圆200排列的晶圆排列区域的侧方的、水平地包围晶圆排列区域的区域中。第一喷嘴233a作为L字型的长颈喷嘴构成,其水平部贯穿反应管203的下部侧壁地设置,其垂直部至少从晶圆排列区域的一端侧向另一端侧立起地设置。在第一喷嘴233a的侧面设置有供给气体的气体供给孔248a。气体供给孔248a朝向反应管203的中心开口,能够朝向晶圆200供给气体。该气体供给孔248a从反应管203的下部到上部设置有多个,分别具有相同的开口面积,还以相同的开口间距设置。
主要由第一气体供给管232a、质量流量控制器241a和阀243a构成第一气体供给系统。需要说明的是,还可以考虑使第一喷嘴233a包含于第一气体供给系统。另外,主要由第一惰性气体供给管232f、质量流量控制器241f和阀243f构成第一惰性气体供给系统。第一惰性气体供给系统还作为吹扫气体(purge gas)供给系统发挥功能。
在第二气体供给管232b上从上游方向开始按顺序设置有流量控制器(流量控制部)即质量流量控制器(MFC)241b及开闭阀即阀243b。另外,在第二气体供给管232b的比阀243b更靠下游侧,连接有第二惰性气体供给管232g。在该第二惰性气体供给管232g上从上游方向开始按顺序设置有流量控制器(流量控制部)即质量流量控制器241g及开闭阀即阀243g。另外,在第二气体供给管232b的前端部连接有上述第二喷嘴233b。第二喷嘴233b是在反应管203的内壁和晶圆200之间的圆弧状的空间中,沿着从反应管203的内壁的下部到上部,朝向晶圆200的装载方向上方立起地设置。即,第二喷嘴233b沿着晶圆排列区域被设置在晶圆200排列的晶圆排列区域的侧方的、水平地包围晶圆排列区域的区域中。第二喷嘴233b作为L字型的长颈喷嘴构成,其水平部贯穿反应管203的下部侧壁地设置,其垂直部至少从晶圆排列区域的一端侧朝向另一端侧立起地设置。在第二喷嘴233b的侧面设置有供给气体的气体供给孔248b。气体供给孔248b朝向反应管203的中心开口,能够朝向晶圆200供给气体。该气体供给孔248b从从反应管203的下部到上部设置有多个,分别具有相同的开口面积,而且以相同的开口间距设置。
主要由第二气体供给管232b、质量流量控制器241b和阀243b构成第二气体供给系统。需要说明的是,还可以考虑使第二喷嘴233b包含于第二气体供给系统。另外,主要由第二惰性气体供给管232g、质量流量控制器241g和阀243g构成第二惰性气体供给系统。第二惰性气体供给系统还作为吹扫气体供给系统发挥功能。
在第三气体供给管232c上从上游方向开始按顺序设置有流量控制器(流量控制部)即质量流量控制器(MFC)241c及开闭阀即阀243c。另外,在第三气体供给管232c的比阀243c更靠下游侧连接有第三惰性气体供给管232h。在该第三惰性气体供给管232h上从上游方向开始按顺序设置有流量控制器(流量控制部)即质量流量控制器241h及开闭阀即阀243h。另外,在第三气体供给管232c的前端部连接有上述第三喷嘴233c。第三喷嘴233c被设置在气体分散空间即缓冲室237内。
缓冲室237是在反应管203的内壁和晶圆200之间的圆弧状的空间中,在从反应管203内壁的下部到上部的部分,沿晶圆200的装载方向设置。即,缓冲室237沿着晶圆排列区域被设置在晶圆排列区域的侧方的、水平地包围晶圆排列区域的区域中。在缓冲室237的与晶圆200相邻的壁的端部设置有供给气体的气体供给孔248d。气体供给孔248d朝向反应管203的中心开口,能够朝向晶圆200供给气体。该气体供给孔248d从反应管203的下部到上部设置有多个,分别具有相同的开口面积,而且以相同的开口间距设置。
第三喷嘴233c是在缓冲室237的设有气体供给孔248d的端部的相反侧的端部,沿着从反应管203的内壁的下部到上部,朝向晶圆200的装载方向上方立起地设置。即,第三喷嘴233c沿着晶圆排列区域被设置在晶圆排列区域的侧方的、水平地包围晶圆排列区域的区域中。第三喷嘴233c作为L字型的长颈喷嘴构成,其水平部贯穿反应管203的下部侧壁地设置,其垂直部至少从晶圆排列区域的一端侧朝向另一端侧立起地设置。在第三喷嘴233c的侧面设置有供给气体的气体供给孔248c。气体供给孔248c朝向缓冲室237的中心开口。该气体供给孔248c与缓冲室237的气体供给孔248d同样地从反应管203的下部到上部设置有多个。对于该多个气体供给孔248c的各开口面积来说,在缓冲室237内和处理室201内的压差小的情况下,从上游侧(下部)到下游侧(上部),分别采用相同的开口面积且相同的开口间距,但在压差大的情况下,从上游侧朝向下游侧,分别使开口面积增大,或使开口间距减小即可。
在本实施方式中,通过从上游侧到下游侧如上所述地调节第三喷嘴233c的气体供给孔248c的各开口面积或开口间距,首先,虽然存在流速差,但从各气体供给孔248c喷出流量大致相同的气体。而且,一旦将从各该气体供给孔248c喷出的气体导入缓冲室237内,在缓冲室237内实施气体的流速差的均一化。即,通过第三喷嘴233c的各气体供给孔248c向缓冲室237内喷出的气体在缓冲室237内各气体的粒子速度被缓和之后,从缓冲室237的气体供给孔248d向处理室201内喷出。由此,通过第三喷嘴233c的各气体供给孔248c向缓冲室237内喷出的气体从缓冲室237的各气体供给孔248d向处理室201内喷出时,成为具有均一的流量和流速的气体。
主要由第三气体供给管232c、质量流量控制器241c和阀243c构成第三气体供给系统。需要说明的是,还可以考虑使第三喷嘴233c及缓冲室237包含于第三气体供给系统。另外,主要由第三惰性气体供给管232h、质量流量控制器241h和阀243h构成第三惰性气体供给系统。第三惰性气体供给系统还作为吹扫气体供给系统发挥功能。
在第四气体供给管232d上从上游方向开始按顺序设置有流量控制器(流量控制部)即质量流量控制器(MFC)241d及开闭阀即阀243d。另外,在第四气体供给管232d的比阀243d更靠下游侧,连接有第四惰性气体供给管232i。在该第四惰性气体供给管232i上从上游方向开始按顺序设置有流量控制器(流量控制部)即质量流量控制器241i及开闭阀即阀243i。另外,第四气体供给管232d的前端部被连接在第三气体供给管232c的比阀243c更靠下游侧。
主要由第四气体供给管232d、质量流量控制器241d和阀243d构成第四气体供给系统。需要说明的是,还可以考虑使第三气体供给管232c的比其与第四气体供给管232d之间的连接部更靠下游侧、第三喷嘴233c及缓冲室237包含于第四气体供给系统。另外,主要由第四惰性气体供给管232i、质量流量控制器241i和阀243i构成第四惰性气体供给系统。第四惰性气体供给系统还作为吹扫气体供给系统发挥功能。
在第五气体供给管232e上从上游方向开始按顺序设置有流量控制器(流量控制部)即质量流量控制器(MFC)241e及开闭阀即阀243e。另外,在第五气体供给管232e的比阀243e更靠下游侧,连接有第五惰性气体供给管232j。在该第五惰性气体供给管232j上从上游方向开始按顺序设置有流量控制器(流量控制部)即质量流量控制器241j及开闭阀即阀243j。另外,第五气体供给管232e的前端部被连接在第三气体供给管232c的比阀243c更靠下游侧。
主要由第五气体供给管232e、质量流量控制器241e和阀243e构成第五气体供给系统。需要说明的是,还可以考虑使第三气体供给管232c的比其与第五气体供给管232e之间的连接部更靠下游侧、第三喷嘴233c及缓冲室237包含于第五气体供给系统。另外,主要由第五惰性气体供给管232j、质量流量控制器241j和阀243j构成第五惰性气体供给系统。第五惰性气体供给系统还作为吹扫气体供给系统发挥功能。
这样,本实施方式中的气体供给的方法是,经由配置在由反应管203的内壁和被装载的多片晶圆200的端部定义的圆弧状的纵长的空间内的喷嘴233a、233b、233c及缓冲室237输送气体,从分别在喷嘴233a、233b、233c及缓冲室237开口的气体供给孔248a、248b、248c、248d在晶圆200的附近首先向反应管203内喷出气体,使反应管203内的气体的主要流动成为与晶圆200的表面平行的方向即水平方向。通过这样的结构,能够向各晶圆200均匀地供给气体,具有能够使形成在各晶圆200上的薄膜的膜厚均匀的效果。需要说明的是,在晶圆200的表面上流动的气体即反应后的残余气体朝向排气口即下述的排气管231的方向流动,但该残余气体的流动方向根据排气口的位置适宜地被特定,不限于垂直方向。
作为包含规定元素的第一原料气体即包含作为规定元素的硅(Si)的第一原料气体(第一含硅气体)将例如六氯乙硅烷(Si2Cl6,简称:HCDS)气体从第一气体供给管232a经由质量流量控制器241a、阀243a和第一喷嘴233a向处理室201内供给。即,第一气体供给系统作为第一原料气体供给系统(第一含硅气体供给系统)构成。需要说明的是,在使用HCDS这样的在常温常压下为液体状态的液体原料的情况下,通过气化器或起泡器(bubbler)等的气化系统使液体原料气化,作为第一原料气体供给。此时,也可以同时地从第一惰性气体供给管232f经由质量流量控制器241f和阀243f向第一气体供给管232a内供给惰性气体。
作为包含规定元素的第二原料气体即包含作为规定元素的硅(Si)的第二原料气体(第二含硅气体)将例如二氯硅烷(SiH2Cl2,简称:DCS)气体从第二气体供给管232b经由质量流量控制器241b、阀243b和第二喷嘴233b向处理室201内供给。即,第二气体供给系统作为第二原料气体供给系统(第二含硅气体供给系统)构成。需要说明的是,在使用DCS这样的在常温常压下为液体状态的液体原料的情况下,通过气化器或起泡器等的气化系统使液体原料气化,作为第二原料气体供给。此时,还可以同时地从第二惰性气体供给管232g经由质量流量控制器241g和阀243g向第二气体供给管232b内供给惰性气体。
主要由第一气体供给系统(第一原料气体供给系统)及第二气体供给系统(第二原料气体供给系统)构成向处理室201内供给第一原料气体及第二原料气体的原料气体供给系统。
作为包含氮的气体(含氮气体)即氮化气体将例如氨气(NH3)从第三气体供给管232c经由质量流量控制器241c、阀243c、第三喷嘴233c和缓冲室237向处理室201内供给。即,第三气体供给系统作为含氮气体供给系统(氮化气体供给系统)构成。此时,也可以同时地从第三惰性气体供给管232h经由质量流量控制器241h和阀243h向第三气体供给管232c内供给惰性气体。
作为包含氧的气体(含氧气体)即氧化气体将例如氧气(O2)从第四气体供给管232d经由质量流量控制器241d、阀243d、第三气体供给管232c、第三喷嘴233c和缓冲室237向处理室201内供给。即,第四气体供给系统作为含氧气体供给系统(氧化气体供给系统)构成。此时,也可以同时地从第四惰性气体供给管232i经由质量流量控制器241i和阀243i向第四气体供给管232d内供给惰性气体。
作为包含氢的气体(含氢气体)即还原气体将例如氢气(H2)从第五气体供给管232e经由质量流量控制器241e、阀243e、第三气体供给管232c、第三喷嘴233c和缓冲室237向处理室201内供给。即,第五气体供给系统作为含氢气体供给系统(还原气体供给系统)构成。此时,也可以同时地从第五惰性气体供给管232j经由质量流量控制器241j和阀243j向第五气体供给管232e内供给惰性气体。
需要说明的是,在本实施方式中,分别从不同的喷嘴向处理室201内供给HCDS气体和DCS气体,但也可以将它们从相同的喷嘴供给。另外,在本实施方式中,从相同的喷嘴向处理室201内(缓冲室237内)供给NH3气体、O2气体和H2气体,但也可以将它们分别从不同的喷嘴向处理室201内供给,也可以仅将H2气体从其他喷嘴向处理室201内供给。但是,多种气体共用喷嘴的方式能够减少喷嘴的个数,能够降低装置成本,还有维护变得容易等优点。另外,也可以共用供给HCDS气体或DCS气体的喷嘴和供给H2气体的喷嘴。也就是说,也可以从相同的喷嘴供给HCDS气体和H2气体,也可以从相同的喷嘴供给DCS气体和H2气体,也可以从相同的喷嘴供给HCDS气体、DCS气体和H2气体。需要说明的是,在下述的成膜温度带中,考虑到HCDS气体或DCS气体与H2气体不反应,但与NH3气体或O2气体都会反应,因此最好使供给HCDS气体或DCS气体的喷嘴与供给NH3气体或O2气体的喷嘴分开。
如图2所示,在缓冲室237内,具有细长构造的第一电极即第一棒状电极269及第二电极即第二棒状电极270从反应管203的下部到上部沿晶圆200的层合方向配置。第一棒状电极269及第二棒状电极270分别与第三喷嘴233c平行地设置。第一棒状电极269及第二棒状电极270分别从上部到下部被保护各电极的保护管即电极保护管275覆盖而被保护。该第一棒状电极269或第二棒状电极270中的任意一方经由匹配器272与高频电源273连接,另一方与基准电位连接即接地。经由匹配器272从高频电源273向第一棒状电极269及第二棒状电极270间施加高频电力,由此,在第一棒状电极269及第二棒状电极270之间的等离子体生成区域224生成等离子体。主要由第一棒状电极269、第二棒状电极270和电极保护管275构成作为等离子体发生器(等离子体发生部)的等离子体源。需要说明的是,也可以考虑使匹配器272和高频电源273包含于等离子体源中。需要说明的是,等离子体源如下所述地作为通过等离子体使气体活化的活化机构发挥功能。
电极保护管275成为能够以使第一棒状电极269及第二棒状电极270分别与缓冲室237内的气氛隔离的状态插入缓冲室237内的构造。这里,电极保护管275的内部的氧浓度为与外气(大气)的氧浓度相同程度时,分别插入电极保护管275内的第一棒状电极269及第二棒状电极270被加热器207产生的热量氧化。因此,在电极保护管275的内部预先填充氮气等惰性气体,或使用惰性气体吹扫机构用氮气等惰性气体吹扫电极保护管275的内部,由此,能够降低电极保护管275的内部的氧浓度,防止第一棒状电极269或第二棒状电极270的氧化。
在反应管203上设置有对处理室201内的气氛进行排气的排气管231。在排气管231上,经由检测处理室201内的压力的作为压力检测器(压力检测部)的压力传感器245及作为压力调整器(压力调整部)的APC(Auto Pressure Controller)阀244,连接有作为真空排气装置的真空泵246。需要说明的是,APC阀244是如下地构成的阀,在使真空泵246工作的状态下对阀进行开闭,由此能够进行处理室201内的真空排气及真空排气停止,而且,通过在使真空泵246工作的状态下调节阀开度,能够调整处理室201内的压力。主要由排气管231、APC阀244和压力传感器245构成排气系统。需要说明的是,还可以考虑使真空泵246包含于排气系统。排气系统中,使真空泵246工作的同时,基于由压力传感器245检测的压力信息调节APC阀244的阀开度,由此,能够使处理室201内的压力成为规定的压力(真空度)地进行真空排气。
在反应管203的下方设置有能够气密地密封反应管203的下端开口的作为炉口盖体的密封盖219。密封盖219从垂直方向下侧抵接在反应管203的下端。密封盖219例如由不锈钢等金属构成,并形成为圆盘状。在密封盖219的上表面设置有与反应管203的下端抵接的作为密封部件的O形环220。在密封盖219的与处理室201相反的一侧,设置有使作为下述的衬底保持件的舟皿217旋转的旋转机构267。旋转机构267的旋转轴255贯穿密封盖219并与舟皿217连接。旋转机构267通过使舟皿217旋转而使晶圆200旋转。密封盖219通过垂直地设置在反应管203的外部的作为升降机构的舟皿升降机115沿垂直方向被升降。舟皿升降机115通过使密封盖219升降,能够将舟皿217相对于处理室201内外送入及送出。即,舟皿升降机115作为将舟皿217即晶圆200向处理室201内外输送的输送装置(输送机构)构成。
作为衬底支承件的舟皿217由例如石英或碳化硅等的耐热性材料构成,以水平姿势且中心相互对齐的状态使多片晶圆200排列并多层地支承。需要说明的是,在舟皿217的下部设置有由例如石英或碳化硅等的耐热性材料构成的隔热部件218,来自加热器207的热量难以向密封盖219侧传递。需要说明的是,隔热部件218也可以通过由石英或碳化硅等的耐热性材料构成的多片隔热板和以水平姿势多层地支承这些隔热板的隔热板支架构成。
在反应管203内设置有作为温度检测器的温度传感器263,基于由温度传感器263检测的温度信息调整向加热器207的通电情况,由此,处理室201内的温度成为所期望的温度分布。温度传感器263与第一喷嘴233a、第二喷嘴233b及第三喷嘴233c同样地构成为L字形,并沿反应管203的内壁设置。
如图3所示,控制部(控制构件)即控制器121由具有CPU(CentralProcessing Unit)121a、RAM(Random Access Memory)121b、存储装置121c和I/O端口121d的计算机构成。RAM121b、存储装置121c和I/O端口121d能够经由内部总线121e与CPU121a进行数据交换。在控制器121上连接有例如作为触控面板等构成的输入输出装置122。
存储装置121c由例如闪存、HDD(Hard Disk Drive)等构成。在存储装置121c内,能够读取地存储有控制衬底处理装置的动作的控制程序、和下述的记载有衬底处理的工序或条件等的制程等。需要说明的是,制程是,使控制器121执行下述的衬底处理工序中的各工序,并能够得到规定的结果地被组合而成的,作为程序发挥功能。以下,也可以将该制程和控制程序等简单地总称为程序。需要说明的是,在本说明书中使用程序这样的术语的情况,有仅包含制程单体的情况、仅包含控制程序单体的情况或包含它们双方的情况。另外,RAM121b作为临时保持由CPU121a读取的程序和数据等的存储区域(工作区域)构成。
I/O端口121d被连接到上述质量流量控制器241a、241b、241c、241d、241e、241f、241g、241h、241i、241j、阀243a、243b、243c、243d、243e、243f、243g、243h、243i、243j、压力传感器245、APC阀244、真空泵246、加热器207、温度传感器263、旋转机构267、舟皿升降机115、高频电源273和匹配器272等。
CPU121a从存储装置121c读取并执行控制程序的同时,根据来自输入输出装置122的操作命令的输入等从存储装置121c读取制程。而且,CPU121a根据读取的制程的内容,来控制质量流量控制器241a、241b、241c、241d、241e、241f、241g、241h、241i、241j对各种气体的流量调整动作、阀243a、243b、243c、243d、243e、243f、243g、243h、243i、243j的开闭动作、APC阀244的开闭动作、以及基于压力传感器245进行的APC阀244的压力调整动作、基于温度传感器263进行的加热器207的温度调整动作、真空泵246的启动及停止、旋转机构267对舟皿217的旋转及旋转速度调节动作、舟皿升降机115对舟皿217实施的升降动作、高频电源273的电力供给和匹配器272的阻抗调整动作等。
此外,控制器121不限于采用专用的计算机构成的情况,也可以采用广泛使用的计算机构成。例如,准备存储上述程序的外部存储装置(例如,磁带、软盘、硬盘等的磁盘,CD、DVD等的光盘,MO等的光磁盘,USB存储器、存储卡等的半导体存储器)123,使用所述外部存储装置123将程序安装于广泛使用的计算机等,由此构成本实施方式的控制器121。需要说明的是,用于向计算机提供程序的构件不限于通过外部存储装置123提供的情况。例如,也可以使用互联网、专用线路等的通信构件,不通过外部存储装置123来提供程序。需要说明的是,存储装置121c、外部存储装置123采用计算机能够读取的存储介质构成。以下,也可以将它们简单地总称为存储介质。需要说明的是,在本说明书中使用称为存储介质的术语的情况,有仅包含存储装置121c单体的情况、仅包含外部存储装置123单体的情况或包含它们双方的情况。
(2)衬底处理工序
以下,使用上述衬底处理装置的处理炉,作为半导体器件(设备)的制造工序的一工序,关于在衬底上形成依次层合第一氧化膜、氮化膜、第二氧化膜而成的ONO层合构造的绝缘膜的例子,使用图4、图11进行说明。图4是表示本实施方式的成膜流程的图。图11是表示本实施方式的气体供给的定时的图。需要说明的是,在以下的说明中,构成衬底处理装置的各部分的动作被控制器121控制。
在本实施方式中,实施如下工序:
通过进行规定次数的如下循环而在衬底上形成第一氧化膜的工序,该循环包括对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底供给第一原料气体的工序、和供给氧化气体(含氧气体)及还原气体(含氢气体)的工序;
通过进行规定次数的如下循环而在氧化膜上形成氮化膜的工序,该循环包括对于处理容器内的被加热到第二温度的衬底供给第二原料气体的工序、和供给氮化气体(含氮气体)的工序;
通过进行规定次数的如下循环而在氮化膜上形成第二氧化膜的工序,该循环包括对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底供给第一原料气体的工序、和供给氧化气体(含氧气体)及还原气体(含氢气体)的工序。
即,在本实施方式中,实施如下工序:
通过交替地反复进行对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底供给第一原料气体的工序、和供给含氧气体及含氢气体的工序,而在衬底上形成第一氧化膜的工序;
通过交替地反复进行对于处于小于大气压的压力下的处理容器内的被加热到第二温度的衬底供给第二原料气体的工序、和供给含氮气体的工序,而在第一氧化膜上形成氮化膜的工序;
通过交替地反复进行对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底供给第一原料气体的工序、和供给含氧气体及含氢气体的工序,而在氮化膜上形成第二氧化膜的工序。
这里,形成第一氧化膜的工序、形成氮化膜的工序及形成第二氧化膜的工序是在处理容器内连续地实施的。
需要说明的是,在本实施方式的形成第一氧化膜的工序及形成第二氧化膜的工序中,分别将供给第一原料气体的工序和供给含氧气体及含氢气体的工序作为一个循环并多次反复进行该循环。这里,在形成第一氧化膜的工序及形成第二氧化膜的工序中,通过热量使含氧气体及含氢气体活化并进行供给。
另外,在本实施方式的形成氮化膜的工序中,将供给第二原料气体的工序和供给含氮气体的工序作为一个循环,并多次反复进行该循环。这里,在形成氮化膜的工序中,通过热量或等离子体使含氮气体活化并进行供给。
以下,具体说明本实施方式的成膜顺序。这里,作为第一原料气体使用HCDS气体,作为含氧气体使用O2气体,作为含氢气体使用H2气体,作为吹扫气体使用N2气体,在作为衬底的晶圆200上作为第一氧化膜形成硅氧化膜(SiO2膜,以下还称为第一硅氧化膜或第一SiO膜)。然后,作为第二原料气体使用热分解温度比HCDS气体高的反应性低的DCS气体,作为含氮气体使用NH3气体,作为吹扫气体使用N2气体,在作为基底膜的第一硅氧化膜上作为氮化膜形成硅氮化膜(Si3N4膜,以下还称为SiN膜)。然后,作为第一原料气体使用HCDS气体,作为含氧气体使用O2气体,作为含氢气体使用H2气体,作为吹扫气体使用N2气体,在作为基底膜的硅氮化膜上作为第二氧化膜形成硅氧化膜(SiO2膜,以下还称为第二硅氧化膜或第二SiO膜)。由此,在晶圆200上,形成按顺序层合第一硅氧化膜、硅氮化膜和第二硅氧化膜而成的ONO层合构造的绝缘膜。需要说明的是,如下所述,第一硅氧化膜形成工序、硅氮化膜形成工序和第二硅氧化膜形成工序在同一处理容器内(in-situ)连续地实施。
需要说明的是,在本说明书中,使用“晶圆”这样的术语的情况,有表示“晶圆本身”的情况、表示“晶圆和形成在其表面的规定的层或膜等的层合体(集合体)”的情况(即,包含形成在表面上的规定的层或膜等地称为晶圆的情况)。另外,在本说明书中,使用“晶圆的表面”这样的术语的情况,有表示“晶圆本身的表面(露出面)”的情况、表示“形成在晶圆上的规定的层或膜等的表面,即,作为层合体的晶圆的最表面”的情况。
因此,在本说明书中,记作“对于晶圆供给规定的气体”的情况,有表示“对于晶圆本身的表面(露出面)直接供给规定的气体”的情况、表示“对于形成在晶圆上的层或膜等,即,作为层合体的晶圆的最外表面供给规定的气体”的情况。另外,在本说明书中,记作“在晶圆上形成规定的层(或膜)”的情况,有表示“在晶圆本身的表面(露出面)上直接形成规定的层(或膜)”的情况、表示“在形成在晶圆上的层或膜等上,即,在作为层合体的晶圆的最表面上形成规定的层(或膜)”的情况。
需要说明的是,在本说明书中,使用“衬底”这样的术语的情况也与使用“晶圆”这样的术语的情况相同,该情况下,将上述说明中的“晶圆”置换成“衬底”考虑即可。
(晶圆装料及舟皿装载)
多片晶圆200被填装到舟皿217(晶圆装料)时,如图1所示,支承多片晶圆200的舟皿217通过舟皿升降机115被抬起并送入处理室201内(舟皿装载)。在该状态下,密封盖219成为借助O形环220密封反应管203的下端的状态。
(压力调整及温度调整)
通过真空泵246进行真空排气使处理室201内成为所期望的压力(真空度)。此时,处理室201内的压力通过压力传感器245测定,基于该测定的压力信息反馈控制APC阀244(压力调整)。需要说明的是,真空泵246至少在至对晶圆200的处理结束为止的期间始终维持工作的状态。另外,通过加热器207力热使处理室201内成为所期望的温度。此时,基于温度传感器263检测的温度信息,反馈控制向加热器207的通电情况,使处理室201内成为所期望的温度分布(温度调整)。需要说明的是,加热器207对处理室201内的加热至少在至对晶圆200的处理结束为止的期间持续进行。接着,通过旋转机构267开始舟皿217及晶圆200的旋转。需要说明的是,旋转机构267对舟皿217及晶圆200实施的旋转至少在至对晶圆200的处理结束为止的期间持续进行。
(第一硅氧化膜形成工序)
然后,将以下的步骤1a~4a作为一个循环并多次反复进行该循环,由此在晶圆200上成膜规定膜厚的第一硅氧化膜。
[步骤1a]
打开第一气体供给管232a的阀243a,使HCDS气体向第一气体供给管232a流动。HCDS气体从第一气体供给管232a流动,并通过质量流量控制器241a被调整流量。被调整流量的HCDS气体从第一喷嘴233a的气体供给孔248a被供给到被加热的减压状态的处理室201内,并从排气管231排出(HCDS气体供给)。
此时,也可以打开第一惰性气体供给管232f的阀243f,从第一惰性气体供给管232f作为惰性气体供给N2气体。N2气体通过质量流量控制器241f被调整流量,并被供给到第一气体供给管232a内。被调整流量的N2气体在第一气体供给管232a内与被调整流量的HCDS气体混合,从第一喷嘴233a的气体供给孔248a被供给到被加热的减压状态的处理室201内,并从排气管231排出。需要说明的是,此时,为防止HCDS气体侵入缓冲室237内或第二喷嘴233b、第三喷嘴233c内,打开阀243g、243h、243i、243j,使N2气体向第二惰性气体供给管232g、第三惰性气体供给管232h、第四惰性气体供给管232i、第五惰性气体供给管232j内流动。N2气体经由第二气体供给管232b、第三气体供给管232c、第四气体供给管232d、第五气体供给管232e、第二喷嘴233b、第三喷嘴233c和缓冲室237被供给到处理室201内,并从排气管231排出。
此时,适宜地调整APC阀244,将处理室201内的压力维持成小于大气压例如10~1000Pa的范围内的压力。被质量流量控制器241a控制的HCDS气体的供给流量成为例如10~1000sccm(0.01~1slm)的范围内的流量。被质量流量控制器241f、241g、241h、241i、241j控制的N2气体的供给流量分别成为例如100~2000sccm(0.1~2slm)的范围内的流量。将HCDS气体向晶圆200供给的时间即气体供给时间(照射时间)成为例如1~120秒的范围内的时间。加热器207的温度被设定成在上述压力带下在处理室201内发生CVD反应的温度。即,设定加热器207的温度使晶圆200的温度成为例如350~800℃、优选450~800℃、更优选550~750℃的范围内的温度。需要说明的是,晶圆200的温度小于350℃时,在晶圆200上,HCDS难以分解、吸附。另外,通过使晶圆200的温度成为450℃以上,下述的步骤3a中的氧化能力提高的效果变得显著。另外,通过使晶圆200的温度成为550℃以上,能够充分地进行HCDS的分解。另外,晶圆200的温度超过750℃尤其超过800℃时,CVD反应变强,膜厚均匀性的恶化变得明显。因此,晶圆200的温度优选为350~800℃,更优选为450~800℃,最优选为550~750℃。
在上述条件即发生CVD反应的条件下,将HCDS气体向处理室201内供给,由此,在晶圆200(表面的基底膜)上形成例如从小于1原子层到几原子层左右的厚度的含硅层。含硅层也可以是HCDS气体的吸附层,也可以是硅层(Si层),也可以包含它们双方。但是,含硅层优选为包含硅(Si)及氯(Cl)的层。
这里,硅层是指除了由硅(Si)构成的连续的层以外,还包含不连续的层或它们重叠而成的硅薄膜的总称。需要说明的是,也有将由Si构成的连续的层称为硅薄膜的情况。需要说明的是,构成硅层的Si还包括与Cl的键未被完全拆开的结构。
另外,HCDS气体的吸附层除了HCDS气体的气体分子的连续的化学吸附层以外,还包括不连续的化学吸附层。即,HCDS气体的吸附层包括由HCDS分子构成的1分子层或小于1分子层的厚度的化学吸附层。需要说明的是,构成HCDS气体的吸附层的HCDS(Si2Cl6)分子还包括Si和Cl的键一部分被拆分的结构(SixCly分子)。即,HCDS的吸附层包括Si2Cl6分子和/或SixCly分子的连续的化学吸附层和不连续的化学吸附层。需要说明的是,小于1原子层的厚度的层是指不连续地形成的原子层,1原子层的厚度的层是指连续地形成的原子层。另外,小于1分子层的厚度的层是指不连续地形成的分子层,1分子层的厚度的层是指连续地形成的分子层。
HCDS气体自我分解(热分解)的条件下,即,发生HCDS的热分解反应的条件下,在晶圆200上堆积Si,由此形成硅层。HCDS气体不自我分解(热分解)的条件下,即,不发生HCDS的热分解反应的条件下,HCDS气体吸附在晶圆200上,由此形成HCDS气体的吸附层。需要说明的是,与在晶圆200上形成HCDS气体的吸附层的情况相比,在晶圆200上形成硅层的情况能够提高成膜速率,是优选的。例如,在晶圆200上形成几原子层的厚度的硅层,通过提高下述的步骤3a中的氧化能力,能够提高循环率,并能够提高成膜速率。
形成在晶圆200上的含硅层的厚度超过几原子层时,下述的步骤3a中的氧化(改性)的作用不会遍及含硅层的整体。另外,能够形成在晶圆200上的含硅层的厚度的最小值小于1原子层。因此,含硅层的厚度优选为从小于1原子层到几原子层左右。需要说明的是,使含硅层的厚度为1原子层以下,即,1原子层或小于1原子层,由此,能够相对地提高下述的步骤3a中的氧化反应(改性反应)的作用,并能够缩短步骤3a的氧化反应(改性反应)所需的时间。还能够缩短步骤1a的含硅层形成所需的时间。其结果,能够缩短每1个循环的处理时间,还能够缩短总的处理时间。即,还能够提高成膜速率。另外,通过使含硅层的厚度为1原子层以下,还能够提高膜厚均匀性的控制性。
作为第一原料气体(第一含硅气体)除了六氯乙硅烷(Si2Cl6,简称:HCDS)气体以外,不仅可以使用四氯硅烷即四氯化硅(SiCl4,简称:STC)气体、三氯氢硅(SiHCl3,简称:TCS)气体、二氯硅烷(SiH2Cl2,简称:DCS)气体、一氯甲硅烷(SiH3Cl,简称:MCS)气体、甲硅烷(SiH4)气体等的无机原料,还可以使用氨基硅烷类的四(二甲基氨基)硅烷(Si[N(CH3)2]4,简称:4DMAS)气体、三(二甲基氨基)硅烷(Si[N(CH3)2]3H,简称:3DMAS)气体、二(二乙基氨基)硅烷(Si[N(C2H5)2]2H2,简称:2DEAS)气体、二(叔丁基氨基)硅烷(SiH2[NH(C4H9)]2,简称:BTBAS)气体等的有机原料。作为惰性气体除了N2气体以外,还可以使用Ar气体,He气体,Ne气体,Xe气体爹稀矽气体。
[步骤2a]
在晶圆200上形成含硅层之后,关闭第一气体供给管232a的阀243a,停止HCDS气体的供给。此时,在排气管231的APC阀244打开的状态下,通过真空泵246对处理室201内进行真空排气,将残留在处理室201内的未反应或用于含硅层形成之后的HCDS气体从处理室201内排除。另外,在阀243f、243g、243h、243i、243j打开的状态下,维持作为惰性气体的N2气体向处理室201内的供给。N2气体作为吹扫气体发挥作用,由此,能够进一步提高将残留在处理室201内的未反应或用于含硅层形成之后的HCDS气体从处理室201内排除的效果(残留气体除去)。
需要说明的是,此时,也可以不完全排除残留在处理室201内的气体,也可以不完全吹扫处理室201内。若残留在处理室201内的气体是微量的,则在以后进行的步骤3a中不会发生不良影响。此时,向处理室201内供给的N2气体的流量也不需要成为大流量,例如,供给与反应管203(处理室201)的容积相同程度的量,就能够进行在步骤3a中不会发生不良影响的程度的吹扫。这样,通过不完全吹扫处理室201内,能够缩短吹扫时间,使生产率提高。另外,N2气体的消耗也能够抑制到必要的最小限度。
此时的加热器207的温度被设定成晶圆200的温度与HCDS气体的供给时相同地成为例如350~800℃,优选450~800℃,更优选550~750℃的范围内的温度。从各惰性气体供给系统供给的作为吹扫气体的N2气体的供给流量分别成为例如100~2000sccm(0.1~2slm)的范围内的流量。作为吹扫气体除了N2气体以外,还可以使用Ar、He、Ne、Xe等稀有气体。
[步骤3a]
除去处理室201内的残留气体之后,打开第四气体供给管232d的阀243d,使O2气体向第四气体供给管232d流动。O2气体从第四气体供给管232d流动,并通过质量流量控制器241d被调整流量。被调整流量的O2气体经由第三气体供给管232c从第三喷嘴233c的气体供给孔248c被供给到被加热的减压状态的缓冲室237内。此时,同时地打开第五气体供给管232e的阀243e,使H2气体向第五气体供给管232e流动。H2气体从第五气体供给管232e流动,并通过质量流量控制器241e被调整流量。被调整流量的H2气体经由第三气体供给管232c从第三喷嘴233c的气体供给孔248c被供给到被加热的减压状态的缓冲室237内。需要说明的是,H2气体经由第三气体供给管232c时,在第三气体供给管232c内与O2气体混合。即,从第三喷嘴233c供给O2气体和H2气体的混合气体。被供给到缓冲室237内的O2气体和H2气体的混合气体从缓冲室237的气体供给孔248d被供给到被加热的减压状态的处理室201内,并从排气管231排出(O2气体+H2气体供给)。
此时,也可以打开第四惰性气体供给管232i的阀243i,从第四惰性气体供给管232i作为惰性气体供给N2气体。N2气体通过质量流量控制器241i被调整流量,并被供给到第四气体供给管232d内。另外,也可以打开第五惰性气体供给管232j的阀243j,从第五惰性气体供给管232i作为惰性气体供给N2气体。N2气体通过质量流量控制器241j被调整流量,并被供给到第五气体供给管232e内。该情况下,从第三喷嘴233c供给O2气体、H2气体和N2气体的混合气体。需要说明的是,作为惰性气体除了N2气体以外,也可以使用Ar、He、Ne、Xe等稀有气体。需要说明的是,此时,为防止O2气体和H2气体侵入第一喷嘴233a内、第二喷嘴233b内或第三气体供给管232c的上游侧,打开阀243f、243g、243h,使N2气体向第一惰性气体供给管232f、第二惰性气体供给管232g和第三惰性气体供给管232h内流动。N2气体经由第一气体供给管232a、第二气体供给管232b、第三气体供给管232c、第一喷嘴233a、第二喷嘴233b、第三喷嘴233c和缓冲室237被供给到处理室201内,并从排气管231排出。
此时,适宜地调整APC阀244,将处理室201内的压力维持在小于大气压例如1~1000Pa的范围内的压力。被质量流量控制器241d控制的O2气体的供给流量成为例如1000~10000sccm(1~10slm)的范围内的流量。被质量流量控制器241e控制的H2气体的供给流量成为例如1000~10000sccm(1~10slm)的范围内的流量。被质量流量控制器241i、241j、241f、241g、241h控制的N2气体的供给流量分别成为例如100~2000sccm(0.1~2slm)的范围内的流量。需要说明的是,将O2气体及H2气体向晶圆200供给的时间即气体供给时间(照射时间)成为例如1~120秒的范围内的时间。加热器207的温度被设定成晶圆200的温度成为与步骤1a的HCDS气体的供给时同样的温度带,即,下述的氧化能力提高的效果显著的温度带,即,例如450~800℃、优选550~750℃的范围内的温度。需要说明的是,可以确认若是该范围内的温度,则H2气体向减压气氛下的O2气体的添加获得的氧化能力提高的效果(下述)变得显著。另外,还可以确认在晶圆200的温度过低时,不能获得氧化能力提高的效果。考虑到生产率,优选像这样在步骤1a~3a中设定加热器207的温度使得处理室201内的温度保持在同样的温度带。而且,更优选在步骤1a~步骤4a(下述)中设定加热器207的温度使得处理室201内的温度保持在同样的温度带。该情况下,在步骤1a~步骤4a(下述)中,设定加热器207的温度使处理室201内的温度成为例如450~800℃、优选550~750℃的范围内的恒定的温度。
在上述条件下,将O2气体及H2气体向处理室201内供给,由此,O2气体及H2气体在被加热的减压气氛下被非等离子体热活化并进行反应,因此,生成含有原子状氧(atomic oxygen,O)等氧的不含有水分(H2O)的氧化物质。而且,主要通过该氧化物质在步骤1a中对形成在晶圆200上的含硅层进行氧化处理。而且,通过该氧化处理,含硅层向硅氧化层(SiO2层,以下还简称为SiO层)变化(改性)。这样,根据该氧化处理,与单独地供给O2气体的情况相比,能够大幅度提高氧化能力。即,在减压气氛下,向O2气体中添加H2气体,由此,与单独供给O2气体的情况相比,能够得到大幅的氧化能力提高的效果。
需要说明的是,此时,还能够通过等离子体使O2气体和H2气体中的至少任意一方或双方活化并流动。通过等离子体使O2气体和/或H2气体活化并流动,由此,能够生成包含能量更高的活性物质的氧化物质,通过该氧化物质进行氧化处理,由此,还可以考虑设备特性提高等的效果。例如,通过等离子体使O2气体和H2气体的双方活化的情况下,在第一棒状电极269及第二棒状电极270之间从高频电源273经由匹配器272施加高频电力,由此,向缓冲室237内供给的O2气体和H2气体的混合气体被等离子体活化(等离子体激发),作为包含活性物质的气体即包含O2*(氧的活性物质)或H2*(氢的活性物质)的气体(氧化物质)从气体供给孔248d向处理室201内供给,并从排气管231排出。此时,从高频电源273向第一棒状电极269及第二棒状电极270之间施加的高频电力设定成例如50~1000W的范围内的电力。其他的处理条件与上述处理条件相同。需要说明的是,在上述温度带下,O2气体和H2气体通过热量被活化并充分地反应,生成充分的量的原子状氧(O)等的氧化物质。因此,即使通过非等离子体使O2气体和H2气体进行热活化,也能够得到充分的氧化能力。需要说明的是,O2气体和H2气体通过热量被活化并供给的情况能够不带来等离子体损伤地发生温和的反应,并能够温和地进行上述氧化处理。
作为含氧气体即氧化性气体除了氧(O2)气以外,还可以使用臭氧(O3)气体等。需要说明的是,在上述温度带下,尝试了向一氧化氮(NO)气体或一氧化二氮(N2O)气体添加含氢气体的效果,但可以确认与NO气体单独供给或N2O气体单独供给相比,不能得到氧化能力提高的效果。即,作为含氧气体优选使用不合氮的含氧气体(不含氮而含氧的气体)。作为含氢气体即还原性气体除了氢气(H2)以外,还可以使用氘(D2)气体等。需要说明的是,使用氨气(NH3)或甲烷(CH4)气体等时,考虑氮(N)杂质和碳(C)杂质混入膜中。即,作为含氢气体优选使用不合其他元素的含氢气体(不含其他元素而含氢或氘的气体)。即,作为含氧气体可以使用从由O2气体及O3气体构成的组中选择的至少一种气体,作为含氢气体可以使用从由H2气体及D2气体构成的组中选择的至少一种气体。
[步骤4a]
使含硅层向硅氧化层变化之后,关闭第四气体供给管232d的阀243d,停止O2气体的供给。另外,关闭第五气体供给管232e的阀243e,停止H2气体的供给。此时,排气管231的APC阀244打开的状态下,通过真空泵246对处理室201内进行真空排气,从处理室201内排除残留在处理室201内的未反应或用于硅氧化层形成之后的O2气体、H2气体和反应副产物。另外,阀243i、243j、243f、243g、243h打开的状态下,维持作为惰性气体的N2气体向处理室201内的供给。N2气体作为吹扫气体发挥作用,由此,能够进一步提高从处理室201内排除残留在处理室201内的未反应或用于硅氧化层形成之后的O2气体、H2气体和反应副产物的效果(残留气体除去)。
需要说明的是,此时,也可以不完全排除残留在处理室201内的气体,也可以不完全吹扫处理室201内。若残留在处理室201内的气体是微量的,则在以后实施的步骤1a中不会发生不良影响。此时,向处理室201内供给的N2气体的流量也不需要成为大流量,例如,通过供给与反应管203(处理室201)的容积相同程度的量,就能够在步骤1a中进行不发生不良影响的程度的吹扫。这样,通过不完全吹扫处理室201内,能够缩短吹扫时间,使生产率提高。另外,N2气体的消耗也能够抑制到必要的最小限度。
此时的加热器207的温度设定成晶圆200的温度与O2气体及H2气体的供给时相同地成为例如450~800℃、优选550~750℃的范围内的温度。从各惰性气体供给系统供给的作为吹扫气体的N2气体的供给流量分别成为例如100~2000sccm(0.1~2slm)的范围内的流量。作为吹扫气体除了N2气体以外,还可以使用Ar、He、Ne、Xe等稀有气钵。
将上述步骤1a~4a作为1个循环,通过多次反复进行该循环,能够在晶圆200上成膜规定膜厚的第一硅氧化膜。第一硅氧化膜成为在下述的工序中形成的硅氮化膜的基底膜。
(硅氮化膜形成工序)
接着,将以下的步骤1b~4b作为1个循环并多次反复进行该循环,由此,在作为基底膜的第一硅氧化膜上成膜规定膜厚的硅氮化膜。需要说明的是,这里,作为第二原料气体不仅使用第一硅氧化膜的形成时所使用的HCDS气体,还使用热分解温度比HCDS气体高的反应性低的DCS气体。另外,形成硅氮化膜,使得保持晶圆200的温度与上述第一硅氧化膜形成工序中的晶圆200的温度之间的差成为150℃以内、优选100℃以内。
[步骤1b]
打开第二气体供给管232b的阀243b,使DCS气体向第二气体供给管232b流动。DCS气体从第二气体供给管232b流动,并通过质量流量控制器241b被调整流量。被调整流量的DCS气体从第二喷嘴233b的气体供给孔248b被供给到被加热的减压状态的处理室201内,并从排气管231排出(DCS气体供给)。
此时,也可以打开第二惰性气体供给管232g的阀243g,从第二惰性气体供给管232g作为惰性气体供给N2气体。N2气体通过质量流量控制器241g被调整流量,并被供给到第二气体供给管232b内。被调整流量的N2气体在第二气体供给管232b内与被调整流量的DCS气体混合,并从第二喷嘴233b的气体供给孔248b被供给到被加热的减压状态的处理室201内,并从排气管231排出。需要说明的是,此时,为防止DCS气体侵入缓冲室237内或第一喷嘴233a、第三喷嘴233c内,打开阀243f、243h、243i、243j,使N2气体向第一惰性气体供给管232f、第三惰性气体供给管232h、第四惰性气体供给管232i和第五惰性气体供给管232j内流动。N2气体经由第一气体供给管232a、第三气体供给管232c、第四气体供给管232d、第五气体供给管232e、第一喷嘴233a、第三喷嘴233c和缓冲室237被供给到处理室201内,并从排气管231排出。
此时,适宜地调整APC阀244,将处理室201内的压力维持在小于大气压例如10~1000Pa的范围内的压力。被质量流量控制器241b控制的DCS气体的供给流量成为例如10~1000sccm(0.01~1slm)的范围内的流量。被质量流量控制器241g、241f、241h、241i、241j控制的N2气体的供给流量分别成为例如100~2000sccm(0.1~2slm)的范围内的流量。将DCS气体向晶圆200供给的时间即气体供给时间(照射时间)成为例如1~120秒的范围内的时间。加热器207的温度被设定成在上述压力带下在处理室201内发生CVD反应的温度。即,设定加热器207的温度使晶圆200的温度成为例如550~800℃、优选600~800℃、更优选650~750℃的范围内的温度。需要说明的是,晶圆200的温度小于550℃时,在晶圆200上,DCS难以分解、吸附。另外,晶圆200的温度小于600℃时,有时DCS的分解、吸附不能充分地进行,难以获得实用的成膜速率。另外,晶圆200的温度成为650℃以上时,DCS的分解、吸附充分地进行,能够获得实用的充分的成膜速率。另外,晶圆200的温度超过750℃、尤其超过800℃时,CVD反应变强,膜厚均匀性的恶化变得明显。因此,晶圆200的温度优选为550~800℃,更优选为600~800℃,最优选为650~750℃。需要说明的是,晶圆200的温度也可以成为与第一硅氧化膜形成工序中的晶圆200的温度同样的温度,但也可以成为不同的温度。例如,本实施方式那样,在第一硅氧化膜形成工序中使用HCDS气体、在硅氮化膜形成工序中使用反应性比HCDS气体低的气体即DCS气体的情况下,也有优选使硅氮化膜形成工序中的晶圆200的温度(第二温度)比第一硅氧化膜形成工序中的晶圆200的温度(第一温度)高的情况。该情况下,为抑制生产率的降低,第一温度和第二温度的差成为150℃以内,优选成为100℃以内。例如,也可以使第一温度为550~600℃、第二温度为650~700℃。
上述条件即发生CVD反应的条件下,将DCS气体向处理室201内供给,由此,在第一硅氧化膜(基底膜)上形成例如从小于1原子层到几原子层左右的厚度的含硅层。含硅层也可以是DCS气体的吸附层,也可以是硅层(Si层),也可以包含它们双方。但是,含硅层优选是包含硅(Si)及氯(Cl)的层。
这里,硅层是指除了由硅(Si)构成的连续的层以外,还包含不连续的层或它们重叠而成的硅薄膜的总称。需要说明的是,也有将由Si构成的连续的层称为硅薄膜的情况。需要说明的是,构成硅层的Si还包括与Cl或H的键没有完全拆开的结构。
另外,DCS气体的吸附层除了DCS气体的气体分子的连续的化学吸附层以外,还包括不连续的化学吸附层。即,DCS气体的吸附层包括由DCS分子构成的1分子层或小于1分子层的厚度的吸附层。需要说明的是,构成DCS气体的化学吸附层的DCS(SiH2Cl2)分子还包括Si和Cl的键或Si和H的键一部分被拆分的结构(SiHxCly分子)。即,DCS的化学吸附层包括SiH2Cl2分子和/或SiHxCly分子的连续的化学吸附层或不连续的化学吸附层。需要说明的是,小于1原子层的厚度的层是指不连续地形成的原子层,1原子层的厚度的层是指连续地形成的原子层。另外,小于1分子层的厚度的层是指不连续地形成的分子层,1分子层的厚度的层是指连续地形成的分子层。
DCS气体自我分解(热分解)的条件下,即,发生DCS的热分解反应的条件下,通过在第一硅氧化膜上堆积Si而形成硅层。DCS气体不自我分解(热分解)的条件下,即,不发生DCS的热分解反应的条件下,通过DCS气体吸附在第一硅氧化膜上而形成DCS气体的吸附层。需要说明的是,与在第一硅氧化膜上形成DCS气体的吸附层的情况相比,在第一硅氧化膜上形成硅层的情况能够提高成膜速率,是优选的。
形成在第一硅氧化膜上的含硅层的厚度超过几原子层时,下述的步骤3b中的氮化(改性)的作用不遍及到含硅层的整体。另外,能够形成在第一硅氧化膜上的含硅层的厚度的最小值小于1原子层。因此,含硅层的厚度优选为从小于1原子层到几原子层左右。需要说明的是,含硅层的厚度为1原子层以下,即,1原子层或小于1原子层,由此,能够相对地提高下述的步骤3b中的氮化反应(改性反应)的作用,并能够缩短步骤3b的氮化反应(改性反应)所需的时间。即,能够有效率地进行步骤3b中的含硅层的氮化。另外,还能够缩短步骤1a的含硅层形成所需的时间。其结果,能够缩短每1个循环的处理时间,还能够缩短总处理时间。即,还能够提高成膜速率。另外,通过使含硅层的厚度成为1原子层以下,还能够提高膜厚均匀性的控制性。
作为第二原料气体(第二含硅气体)除了二氯硅烷(SiH2Cl2,简称:DCS)气体以外,不仅可以使用六氯乙硅烷(Si2Cl6,简称:HCDS)气体、四氯硅烷即四氯化硅(SiCl4,简称:STC)气体、三氯氢硅(SiHCl3,简称:TCS)气体、一氯甲硅烷(SiH3Cl,简称:MCS)气体、甲硅烷(SiH4)气体等的无机原料,还可以使用氨基硅烷类的四(二甲基氨基)硅烷(Si[N(CH3)2]4,简称:4DMAS)气体、三(二甲基氨基)硅烷(Si[N(CH3)2]3H,简称:3DMAS)气体、二(二乙基氨基)硅烷(Si[N(C2H5)2]2H2,简称:2DEAS)气体、二(叔丁基氨基)硅烷(SiH2[NH(C4H9)]2,简称:BTBAS)气体等的有机原料。作为惰性气体除了N2气体以外,还可以使用Ar气体、He气体、Ne气钵、Xe气钵爹稀方气体。
[步骤2b]
在第一硅氧化膜上形成含硅层之后,关闭第二气体供给管232b的阀243b,停止DCS气体的供给。此时,排气管231的APC阀244打开的情况下,通过真空泵246对处理室201内进行真空排气,从处理室201内排除残留在处理室201内的未反应或用于含硅层形成之后的DCS气体。另外,阀243g、243f、243h、243i、243j打开的状态下,维持作为惰性气体的N2气体向处理室201内的供给。N2气体作为吹扫气体发挥作用,由此,能够进一步提高从处理室201内排除残留在处理室201内的未反应或用于含硅层形成之后的DCS气体的效果(残留气体除去)。
需要说明的是,此时,也可以不完全排除残留在处理室201内的气体,也可以不完全吹扫处理室201内。若残留在处理室201内的气体是微量的,则在以后实施的步骤3b中不会发生不良影响。此时,向处理室201内供给的N2气体的流量也不需要成为大流量,例如,通过供给与反应管203(处理室201)的容积相同程度的量,能够在步骤3b中进行不产生不良影响的程度的吹扫。这样,不完全吹扫处理室201内,由此能够缩短吹扫时间,使生产率提高。另外,N2气体的消耗也能够抑制到必要的最小限度。
此时的加热器207的温度被设定成晶圆200的温度与DCS气体的供给时相同地成为例如550~800℃、优选600~800℃、更优选650~750℃的范围内的温度。从各惰性气体供给系统供给的作为吹扫气体的N2气体的供给流量分别成为例如100~2000sccm(0.1~2slm)的范围内的流量。作为吹扫气体除了N2气体以外,还可以使用Ar、He、Ne、Xe等稀有气体。
[步骤3b]
除去处理室201内的残留气体之后,打开第三气体供给管232c的阀243c,使NH3气体向第三气体供给管232c流动。NH3气体从第三气体供给管232c流动,并通过质量流量控制器241c被调整流量。被调整流量的NH3气体经由第三气体供给管232c从第三喷嘴233c的气体供给孔248c被供给到被加热的减压状态的缓冲室237内。此时,在第一棒状电极269及第二棒状电极270之间施加高频电力时,向缓冲室237内供给的NH3气体被等离子体活化。在第一棒状电极269及第二棒状电极270之间不施加高频电力时,向缓冲室237内供给的NH3气体被热量活化。在本实施方式中,在第一棒状电极269及第二棒状电极270之间不施加高频电力,通过热量使向缓冲室237内供给的NH3气体活化。由此,向缓冲室237内供给的NH3气体被热量活化,从缓冲室237的气体供给孔248c被供给到被加热的减压状态的处理室201内,并从排气管231排出(NH3气体供给)。需要说明的是,NH3气体还能够被等离子体活化并供给,但被热量活化并供给的情况能够发生温和的反应,能够温和地进行下述的氮化。
此时,也可以打开第三惰性气体供给管232h的阀243h,从第三惰性气体供给管232h作为惰性气体供给N2气体。N2气体通过质量流量控制器241h被调整流量,并被供给到第三气体供给管232c内。被调整流量的N2气体在第三气体供给管232c内与被调整流量的NH3气体混合,从第三喷嘴233c的气体供给孔248c被供给到被加热的减压状态的缓冲室237内,并从缓冲室237的气体供给孔248d被供给到被加热的减压状态的处理室201内,并从排气管231排出。需要说明的是,此时,为防止NH3气体侵入第一喷嘴233a、第二喷嘴233b、第四气体供给管232d和第五气体供给管232e内,打开阀243f、243g、243i、243i,使N2气体向第一惰性气体供给管232f、第二惰性气体供给管232g、第四惰性气体供给管232i和第五惰性气体供给管232j内流动。N2气体经由第一气体供给管232a、第二气体供给管232b、第四气体供给管232d、第五气体供给管232e、第一喷嘴233a、第二喷嘴233b、第三喷嘴233c和缓冲室237被供给到处理室201内,并从排气管231排出。
此时,适宜地调整APC阀244,将处理室201内的压力维持在小于大气压例如1~3000Pa的范围内的压力。被质量流量控制器241c控制的NH3气体的供给流量成为例如100~10000sccm(0.1~10slm)的范围内的流量。被质量流量控制器241h、241f、241g、241i、241j控制的N2气体的供给流量分别成为例如100~2000sccm(0.1~2slm)的范围内的流量。将NH3气体向晶圆200供给的时间成为例如1~120秒的范围内的时间。加热器207的温度被设定成晶圆200的温度成为与步骤1b的DCS气体的供给时同样的温度带,即,例如550~800℃、优选600~800℃、更优选650~750℃的范围内的温度。需要说明的是,可以确认若是该范围内的温度,则获得减压气氛下的NH3气体的氮化效果(下述),即,含硅层的氮化反应。另外,还可以确认在晶圆200的温度过低时,不能获得氮化的效果。考虑生产率时,优选像这样在步骤1b~3b中设定加热器207的温度将处理室201内的温度保持成同样的温度带。而且,在步骤1b~步骤4b(下述)中,如上所述更优选设定加热器207的温度将处理室201内的温度保持成同样的温度带。
在上述条件下,将NH3气体向处理室201内供给,由此,NH3气体在被加热的减压环境下,通过非等离子体被热活化,或热分解而生成含氮的氮化物质。此时,由于在处理室201内没有DCS气体流动,所以NH3气体不引起气相反应,NH3气体被热活化或通过热分解得到的氮化物质与步骤1b中形成在第一硅氧化膜上的含硅层的至少一部分反应。由此,对含硅层进行氮化处理,通过该氮化处理,含硅层向硅氮化层(Si3N4层,以下也简称为SiN层)变化(改性)。
需要说明的是,此时,还能够如上所述地通过等离子体使NH3气体活化并流动。通过等离子体使NH3气体活化并流动,由此,能够生成含有能量更高的活性物质的氮化物质,通过该氮化物质进行氮化处理,由此,还能够获得设备特性提高等的效果。通过等离子体使NH3气体活化的情况下,在第一棒状电极269及第二棒状电极270之间从高频电源273经由匹配器272施加高频电力,由此,向缓冲室237内供给的NH3气体被等离子体活化(等离子体激发),作为含有NH3*(氨的活性物质)的气体(氮化物质)从气体供给孔248d被供给到处理室201内,并从排气管231排出。此时,从高频电源273向第一棒状电极269及第二棒状电极270之间施加的高频电力被设定成例如50~1000W的范围内的电力。其他的处理条件与上述处理条件相同。需要说明的是,在上述温度带下,NH3气体被热量充分地活化,生成充分的量的氮化物质。因此,即使通过非等离子体使NH3气体热活化,也能够得到充分的氮化能力。需要说明的是,NH3气体被热量活化并供给的情况不会带来等离子体损伤,能够发生温和的反应,并能够温和地进行上述氮化处理。
作为含氮气体除了NH3气体以外,还可以使用二亚胺(N2H2)气体、联氨(N2H4)气体、N3H8气体和胺类气体等。
[步骤4b]
使含硅层向硅氮化层变化之后,关闭第三气体供给管232c的阀243c,停止NH3气体的供给。此时,排气管231的APC阀244打开的状态下,通过真空泵246对处理室201内进行真空排气,从处理室201内排除残留在处理室201内的未反应或用于硅氮化层形成之后的NH3气体和反应副产物。另外,阀243h、243f、243g、243i、243j打开的状态下,维持作为惰性气体的N2气体向处理室201内的供给。N2气体作为吹扫气体发挥作用,由此,能够进一步提高从处理室201内排除残留在处理室201内的未反应或用于硅氮化层形成之后的NH3气体和反应副产物的效果(残留气体除去)。
需要说明的是,此时,也可以不完全排除残留在处理室201内的气体,也可以不完全吹扫处理室201内。若残留在处理室201内的气体是微量的,则在以后实施的步骤1b中不会发生不良影响。此时,向处理室201内供给的N2气体的流量也不需要成为大流量,例如,供给与反应管203(处理室201)的容积相同程度的量,能够在步骤1b中进行不发生不良影响的程度的吹扫。这样,通过不完全吹扫处理室201内,能够缩短吹扫时间,使生产率提高。另外,N2气体的消耗也能够被抑制到必要的最小限度。
此时的加热器207的温度被设定成晶圆200的温度与NH3气体的供给时相同地成为例如550~800℃、优选600~800℃、更优选650~750℃的范围内的温度。从各惰性气体供给系统供给的作为吹扫气体的N2气体的供给流量分别成为例如100~2000sccm(0.1~2slm)的范围内的流量。作为吹扫气体除了N2气体以外,还可以使用Ar、He、Ne、Xe等稀有气体。
将上述步骤1b~4b作为1个循环,多次反复进行该循环,由此,能够在作为基底膜的第一硅氧化膜上成膜规定膜厚的硅氮化膜。硅氮化膜成为下述的工序中形成的第二硅氧化膜的基底膜。
(第二硅氧化膜形成工序)
接着,将以下的步骤1c~4c作为1个循环并多次反复进行该循环,由此,在作为基底膜的硅氮化膜上成膜规定膜厚的第二硅氧化膜。
步骤1c~4c是通过与上述第一硅氧化膜形成工序的步骤1a~4a相同的工序及相同的条件进行的。即,形成第二硅氧化膜时,作为原料气体使用第一原料气体,即,第一硅氧化膜形成工序中使用的HCDS气体。另外,第二硅氧化膜的形成是将晶圆200的温度保持在与上述第一硅氧化膜形成工序中的晶圆200的温度相同的温度带而进行的。
而且,将该步骤1c~4c作为1个循环并多次反复进行该循环,由此,能够在硅氮化膜上成膜规定膜厚的第二硅氧化膜。其结果,在晶圆200上形成按顺序层合第一硅氧化膜、硅氮化膜和第二硅氧化膜而成的ONO层合构造的绝缘膜。
(吹扫及大气压恢复)
成膜ONO层合构造的绝缘膜时,打开阀243f、243g、243h、243i、243j,从第一惰性气体供给管232f、第二惰性气体供给管232g、第三惰性气体供给管232h、第四惰性气体供给管232i和第五惰性气体供给管232i分别将作为惰性气体的N2气体向处理室201内供给,并从排气管231排出。N2气体作为吹扫气体发挥作用,由此,处理室201内被惰性气体吹扫,从处理室201内除去残留在处理室201内的气体和反应副产物(吹扫)。然后,处理室201内的气氛被置换成惰性气体,处理室201内的压力恢复成常压(大气压恢复)。
(舟皿卸载及晶圆卸料)
然后,通过舟皿升降机115使密封盖219下降,反应管203的下端开口,并且处理完的晶圆200在被保持在舟皿217的状态下,从反应管203的下端向反应管203的外部被送出(舟皿卸载)。然后,处理完的晶圆200从舟皿217被取出(晶圆卸料)。
(3)本实施方式的效果
根据本实施方式,发挥以下的1个或多个效果。
可以确认若通过本实施方式的成膜顺序形成第一硅氧化膜及第二硅氧化膜,则晶圆200面内的膜厚均匀性及阶梯覆盖性分别比通过一般的CVD法形成硅氧化膜的情况更好。而且,由此,可以确认ONO层合构造的绝缘膜的晶圆200面内的膜厚均匀性及阶梯覆盖性是良好的。这是因为,本实施方式的第一硅氧化膜及第二硅氧化膜是通过将供给HCDS气体的工序、和供给O2气体及H2气体的工序作为1个循环并多次反复进行该循环而形成的(步骤1a~4a、步骤1c~4c)。需要说明的是,一般的CVD法是指同时供给无机原料即DCS和N2O并通过CVD法形成硅氧化膜(HTO(High Temperature Oxide)膜)的方法。
另外,通过本实施方式的成膜顺序形成的第一硅氧化膜及第二硅氧化膜与通过一般的CVD法形成的硅氧化膜(CVD-SiO膜)相比,膜中的氮和氯等的杂质浓度极低,Si/O比率极其接近化学计量组成即0.5,可以确认是优质的膜。这是因为,在本实施方式的硅氧化膜的成膜顺序中,在被加热的减压气氛下使O2气体和H2气体反应而生成包含原子状氧(O)的氧化物质,使用该氧化物质使含硅层向硅氧化层变化(步骤3a、3c)。通过将包含该原子状氧(O)的氧化物质向含硅层供给,能够主要通过原子状氧所具有的能量拆分含硅层中含有的Si-N、Si-Cl、Si-H、Si-C键。由于用于形成Si-O键的能量比Si-N、Si-Cl、Si-H、Si-C的键能量高,所以从氧化物质向含硅层提供Si-O键形成所需的能量,由此能够拆分含硅层中的Si-N、Si-Cl、Si-H、Si-C键。将与Si之间的键被拆分的N、H、Cl、C从膜中除去,并作为N2、H2、Cl2、HCl、CO2等排出。通过切断与N、H、Cl、C之间的键而剩余的Si的键与氧化物质中含有的O结合。这样,能够使第一硅氧化膜及第二硅氧化膜成为极其优质的硅氧化膜。而且,由此,能够得到极其优质的ONO层合构造的绝缘膜。
另外,本实施方式的第一硅氧化膜及第二硅氧化膜不限于使用无机类硅原料形成的情况,使用有机类硅原料形成的情况下,也能够确认晶圆200面内的膜厚均匀性、阶梯覆盖性、膜中的杂质浓度是良好的。而且,由此,使用有机类的原料的情况下,也能够确认ONO层合构造的绝缘膜的晶圆200面内的膜厚均匀性、阶梯覆盖性、膜中的杂质浓度是良好的。
另外,可以确认若通过本实施方式的成膜顺序形成硅氮化膜,则晶圆200面内的膜厚均匀性及阶梯覆盖性与通过一般的CVD法形成硅氮化膜的情况相比更好。而且,由此,可以确认ONO层合构造的绝缘膜的晶圆200面内的膜厚均匀性及阶梯覆盖性是良好的。这是因为,本实施方式的硅氮化膜是通过将供给DCS气体的工序、和供给NH3气体的工序作为1个循环并多次反复进行该循环而形成的(步骤1b~4b)。需要说明的是,一般的CVD法是指同时供给无机原料即DCS和NH3并通过CVD法形成硅氮化膜的方法。
另外,可以确认通过本实施方式的成膜顺序形成的硅氮化膜与通过一般的CVD法形成的硅氮化膜(CVD-SiN膜)相比,膜中氢浓度更少,成为极其优质的膜。这是因为,在本实施方式的硅氮化膜的成膜顺序中,使用在被加热的减压气氛下使NH3气体活化或热分解得到的氮化物质,使含硅层向硅氮化层变化(步骤3b)。氮化物质所具有的能量不仅使Si-H键分离,还使具有比Si-H键高的键能量的N-H键分离,由此能够从硅氮化层中除去H(氢)。被除去的H作为H2等排出。与氢之间的键被拆分的Si和N分别与N、Si结合,形成新的Si-N键。这样,能够使硅氮化膜成为极其优质的膜。而且,由此,能够得到极其优质的ONO层合构造的绝缘膜。
另外,本实施方式的硅氮化膜不限于使用无机类硅原料形成的情况,使用有机类硅原料形成的情况下,也能够确认晶圆200面内的膜厚均匀性、阶梯覆盖性、膜中的杂质浓度是良好的。而且,由此,在使用有机类的原料的情况下,也能够确认ONO层合构造的绝缘膜的晶圆200面内的膜厚均匀性、阶梯覆盖性、膜中的杂质浓度是良好的。
另外,可以确认根据本实施方式的成膜顺序,能够大幅提高成膜第一硅氧化膜、硅氮化膜、第二硅氧化膜时的生产率,即,形成ONO层合构造的绝缘膜时的生产率。
这是因为,在本实施方式的成膜顺序中,为改善第一硅氧化膜、硅氮化膜、第二硅氧化膜的膜厚均匀性和阶梯覆盖性,不需要降低处理室201内的压力来抑制晶圆200周边的气相反应,另外,不需要增大晶圆200的排列的间距(被保持在舟皿217并相邻的晶圆200间的显距离)。
另外,在本实施方式的成膜顺序中,在第一硅氧化膜及第二硅氧化膜的形成时,使用通过热量使O2气体及H2气体活化而得到的氧化物质,在硅氮化膜的形成时,使用使NH3气体活化或热分解而得到的氮化物质进行成膜,从而能够提高各自的成膜速度。由此,能够进一步提高形成ONO层合构造的绝缘膜时的生产率。
另外,在本实施方式的成膜顺序中,在同一处理容器内(in-situ),另外,形成硅氧化膜时的晶圆200的温度和形成硅氮化膜时的晶圆200的温度之差为150℃以内、优选为100℃以内连续地成膜第一硅氧化膜、硅氮化膜、第二硅氧化膜,从而能够进一步提高形成ONO层合构造的绝缘膜时的生产率。需要说明的是,通过一般的CVD法形成ONO层合构造的绝缘膜的情况下,形成硅氧化膜时的晶圆温度和形成硅氮化膜时的晶圆温度之差成为150℃以内是困难的。即,在本实施方式的成膜顺序中,在第一硅氧化膜形成工序和硅氮化膜形成工序之间、及硅氮化膜形成工序和第二硅氧化膜形成工序之间,不需要进行大幅的温度调整即衬底温度的大幅度升降,从而能够大幅度提高生产率。另外,不需要进行不同的装置间的晶圆200的输送和输送后的压力调整等,从而能够大幅度提高生产率。
(4)变形例
本实施方式的成膜顺序也可以例如如下地变更。在这些变形例中,也能够发挥与上述成膜顺序同样的效果。需要说明的是,以下所示的变形例可以任意地组合使用。
(变形例1)
在图4、图11所示的上述成膜顺序中,第一氧化膜和第二氧化膜的形成所使用的第一原料气体、以及氮化膜的形成所使用的第二原料气体采用不同的原料气体,但本实施方式不限于所述方式。例如,也可以采用第一原料气体和第二原料气体由相同的物质构成的原料气体。
图5是表示本变形例的成膜流程的图。图12是表示本变形例的气体供给的定时的图。这些图示出了作为第一原料气体及第二原料气体都使用HCDS气体的例子。需要说明的是,本变形例与上述成膜顺序的不同之处仅在于在氮化膜的形成工序中作为第二原料气体使用HCDS气体,其他方面与图4、图11所示的上述成膜顺序相同。以下,关于本变形例的氮化膜(硅氮化膜)的形成工序进行说明。
在本变形例的硅氮化膜的成膜顺序中,将下述的步骤1b~4b作为1个循环,并多次反复进行该循环,由此在第一硅氧化膜上形成规定膜厚的硅氮化膜。
[步骤1b]
通过与上述第一硅氧化膜形成工序的步骤1a相同的工序及相同的条件,向被加热的减压状态的处理室201内供给HCDS气体(或HCDS气体和N2气体的混合气体)并排气(HCDS气体供给)。该步骤1b的处理条件、发生的反应、形成的层等与上述第一硅氧化膜形成工序中的步骤1a相同。即,在该步骤中,通过向处理室201内供给HCDS气体,在第一硅氧化膜上形成含硅层。
[步骤2b]
在第一硅氧化膜上形成含硅层之后,通过与第一硅氧化膜形成工序的步骤2a相同的工序及相同的条件,将HCDS气体从处理室201内排除,并且通过N2气体吹扫处理室201内(残留气体除去)。
[步骤3b]
除去处理室201内的残留气体之后,通过与硅氮化膜形成工序的步骤3b相同的工序及相同的条件,向被加热的减压状态的处理室201内供给NH3气体(或NH3气体和N2气体的混合气体)并排气(NH3气体供给)。该步骤3b的处理条件、发生的反应、形成的层等与上述硅氮化膜形成工序中的步骤3b相同。即,在该步骤中,通过向处理室201内供给NH3气体,使步骤1b中形成的含硅层向硅氮化层变化(改性)。
[步骤4b]
使含硅层向硅氮化层变化之后,通过与硅氮化膜形成工序的步骤4b相同的工序及相同的条件,将NH3气体和反应副产物从处理室201内排除,并且通过N2气体吹扫处理室201内(残留气体除去)。
将上述步骤1b~4b作为1个循环,并多次反复进行该循环,由此,能够在作为基底膜的第一硅氧化膜上成膜规定膜厚的硅氮化膜。
这样,即使作为第一原料气体及第二原料气体都使用HCDS气体,也能够发挥与图4、图11所示的上述成膜顺序同样的效果。需要说明的是,与此相反地,即使作为第一原料气体及第二原料气体都使用DCS气体,也能够发挥同样的效果。需要说明的是,由于HCDS气体是与DCS气体相比热分解温度低、反应性高的气体,所以作为第一原料气体或第二原料气体使用HCDS气体的情况下,能够使成膜速度较大,并能够使生产率提高。
(变形例2)
在图4、图11所示的上述成膜顺序中,通过将供给第一原料气体的工序、和供给含氧气体及含氢气体的工序作为1个循环并多次反复进行该循环,由此形成第一氧化膜及第二氧化膜,但本实施方式不限于所述方式。
例如,在形成第一氧化膜的工序和/或形成第二氧化膜的工序中,也可以通过将供给第一原料气体的工序、和供给含氧气体及含氢气体的工序作为1个循环并多次反复进行该循环,然后再进行供给含氧气体及含氢气体的工序(改性工序)。在该成膜顺序中,与图4、图11所示的上述成膜顺序同样地,不使用等离子体而通过热量使含氧气体及含氢气体活化并进行供给。需要说明的是,所述成膜顺序也可以仅实施形成第一氧化膜的工序,也可以仅实施形成第二氧化膜的工序,另外,也可以实施形成第一氧化膜的工序及形成第二氧化膜的工序双方。
图6是表示本变形例的成膜流程的图。图13是表示本变形例的气体供给的定时的图。这些图示出了本变形例的氧化膜的成膜顺序采用形成第一氧化膜的工序及形成第二氧化膜的工序这双方的例子。需要说明的是,本变形例与上述成膜顺序的不同之处仅在于在形成第一氧化膜的工序和/或形成第二氧化膜的工序中,通过将供给第一原料气体的工序、和供给含氧气体及含氢气体的工序作为1个循环并多次反复进行该循环之后,作为改性工序再进行供给含氧气体及含氢气体的工序,其他方面与图4、图11所示的上述成膜顺序相同。以下,关于形成第一氧化膜(第一硅氧化膜)的工序和形成第二氧化膜(第二硅氧化膜)的工序进行说明。
在本变形例的第一硅氧化膜的成膜顺序中,将与图4、图11所示的上述成膜顺序的步骤1a~4a相同的步骤1a~4a作为1个循环,并多次反复进行该循环,由此在晶圆200上形成规定膜厚的硅氧化膜。然后,再实施与本变形例的步骤3a、4a相同的步骤,由此进行从上述硅氧化膜除去膜中杂质并改善膜质的改性工序。通过这一系列的处理,形成第一硅氧化膜。
另外,同样地,在本变形例的第二硅氧化膜的成膜顺序中,将与图4、图11所示的上述成膜顺序的步骤1c~4c相同的步骤1c~4c作为1个循环,并多次反复进行该循环,由此在硅氮化膜上形成规定膜厚的硅氧化膜。然后,再实施与本变形例的步骤3c、4c相同的步骤,由此进行从上述硅氧化膜除去膜中杂质并改善膜质的改性工序。通过这一系列的处理,形成第二硅氧化膜。
需要说明的是,改性工序的处理条件与步骤3a、4a、3c、4c大致相同。但是,晶圆200的温度也可以设定得比步骤3a、4a、3c、4c高,使其成为例如350~1200℃的范围内的温度。另外,将O2气体及H2气体向晶圆200供给的时间也可以设定得较长,使其成为例如60~600秒的范围内的时间。图13示出了改性工序中将O2气体及H2气体向晶圆200供给的时间设定得比步骤3a、3c中将O2气体及H2气体向晶圆200供给的时间长的情况。
可以确认通过本变形例的成膜顺序形成的第一硅氧化膜及第二硅氧化膜与通过一般的CVD法形成的硅氧化膜(CVD-SiO膜)相比,膜中的氮、氢和氯等的杂质浓度极低,Si/O比率极其接近化学计量组成即0.5,成为优质的膜。这是因为,在本变形例的硅氧化膜的成膜顺序中,在被加热的减压气氛下,使O2气体和H2气体反应而生成包含原子状氧(O)的氧化物质,使用该氧化物质改性硅氧化膜(SiO2膜)(改性工序)。通过将包含该原子状氧(O)的氧化物质向硅氧化膜供给,能够主要通过原子状氧所具有的能量来拆分硅氧化膜中含有的Si-N、Si-Cl、Si-H、Si-C键。由于用于形成Si-O键的能量比Si-N、Si-Cl、Si-H、Si-C的键能量高,所以将Si-O键形成所需的能量从氧化物质向硅氧化膜提供,由此能够拆分硅氧化膜中的Si-N、Si-Cl、Si-H、Si-C键。与Si之间的键被拆分的N、H、Cl、C从膜中被除去,并作为N2、H2、Cl2、HCl、CO2等排出。通过切断与N、H、Cl、C之间的键而剩余的Si的键与氧化物质含有的O结合。另外,此时,硅氧化膜被致密化。这样,能够使第一硅氧化膜及第二硅氧化膜成为极其优质的硅氧化膜。而且,由此,能够得到极其优质的ONO层合构造的绝缘膜。
(变形例3、4)
在图4、图11所示的上述成膜顺序中,通过将供给第一原料气体的工序、和供给含氧气体及含氢气体的工序作为1个循环并多次反复进行该循环,由此形成第一氧化膜及第二氧化膜,但本实施方式不限于所述方式。
例如,在形成第一氧化膜的工序和/或形成第二氧化膜的工序中,也可以省略供给第一原料气体的工序,连续地供给含氧气体及含氢气体。需要说明的是,所述成膜顺序也可以仅实施形成第一氧化膜的工序,也可以仅实施形成第二氧化膜的工序,另外,也可以实施形成第一氧化膜的工序及形成第二氧化膜的工序双方。
图7是表示变形例3的成膜流程的图。图14是表示变形例3的气体供给的定时的图。这些图示出了本变形例的氧化膜的成膜顺序采用形成第一氧化膜的工序及形成第二氧化膜的工序这双方的例子。另外,图8是表示变形例4的成膜流程的图。图15是表示变形例4的气体供给的定时的图。这些图示出了本变形例的氧化膜的成膜顺序仅采用形成第二硅氧化膜的工序,第一硅氧化膜通过图4、图11所示的上述成膜顺序形成的例子。这些变形例与图4、图11所示的上述成膜顺序的不同之处仅在于在形成第一氧化膜的工序和/或形成第二氧化膜的工序中,省略供给第一原料气体的工序,连续地供给含氧气体及含氢气体,其他方面与图4、图11所示的上述成膜顺序相同。以下,关于形成第一氧化膜(第一硅氧化膜)的工序和形成第二氧化膜(第二硅氧化膜)的工序进行说明。
在变形例3的第一硅氧化膜的成膜顺序中,不进行供给HCDS气体的步骤,进行与图4、图11所示的上述成膜顺序的步骤3a、4a相同的步骤,即,连续地供给通过热量被活化的O2气体及H2气体,然后吹扫,由此,使预先形成在晶圆200上的基底的硅膜氧化而形成第一硅氧化膜。也就是说,该情况下,如图4、图11所示的上述成膜顺序那样地,在晶圆200上的硅膜上不堆积第一硅氧化膜,使预先形成的硅膜的表面氧化,由此形成第一硅氧化膜。因此,该情况下,晶圆200上的硅膜能够形成得比本来所需的膜厚厚,通过使较厚地形成的部分进行氧化,而形成第一硅氧化膜。
另外,在变形例3、4的第二硅氧化膜的成膜顺序中,将第一硅氧化膜作为基底并形成硅氮化膜之后,不进行供给HCDS气体的步骤,进行与图4、图11所示的上述成膜顺序的步骤3a、4a相同的步骤,即,连续地供给通过热量被活化的O2气体及H2气体,然后吹扫,由此使基底的硅氮化膜氧化而形成第二硅氧化膜。也就是说,该情况下,如图4、图11所示的上述成膜顺序那样地,在硅氮化膜上不堆积第二硅氧化膜,而使硅氮化膜的表面氧化,由此形成第二硅氧化膜。因此,该情况下,形成在第一硅氧化膜上的硅氮化膜的膜厚形成得比本来所需的膜厚厚,通过使较厚地形成的部分进行氧化,而形成第二硅氧化膜。
<本发明的第二实施方式>
在上述第一实施方式中,进行了形成第一氧化膜的工序之后,连续地实施氮化膜的形成。但是,所述情况下,有时在氮化膜的形成初期,第二原料气体向第一氧化膜表面的吸附发生延迟(所谓培养时间),氮化膜的形成开始延迟,成膜ONO层合构造的绝缘膜时的生产率降低。例如,作为硅氮化膜的形成所使用的第二原料气体采用与HCDS气体相比热分解温度高、反应性低的DCS气体的情况下(图4、图11等所示的成膜顺序的情况),有时即使开始硅氮化膜形成工序的步骤1b,也不直接在第一硅氧化膜表面化学吸附DCS气体,不堆积Si,上述培养时间增大。
因此,在本实施方式中,实施如下工序:
通过对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底实施规定次数的包括供给第一原料气体的工序、和供给氧化气体(含氧气体)及还原气体(含氢气体)的工序的循环,由此在衬底上形成第一氧化膜的工序;
对于处理容器内的被加热到第一温度以上第二温度以下的温度的衬底供给氮化气体(含氮气体),由此在第一氧化膜的表面形成种晶层的工序;
通过对于处理容器内的被加热到第二温度的衬底实施规定次数的包括供给第二原料气体的工序、和供给氮化气体的工序的循环,由此在形成在第一氧化膜的表面上的种晶层上形成氮化膜的工序;和
通过对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底实施规定次数的包括供给第一原料气体的工序、和供给氧化气体(含氧气体)及还原气体(含氢气体)的工序的循环,由此在氮化膜上形成第二氧化膜的工序。
即,在本实施方式中,进行了形成第一氧化膜的工序之后,在进行形成氮化膜的工序之前,进行对于处理容器内的晶圆200先供给含氮气体的工序。图9是表示本实施方式的成膜流程的图,图16是表示本实施方式的气体供给的定时的图。需要说明的是,本实施方式与第一实施方式的不同之处仅在于在进行了形成第一氧化膜的工序(第一硅氧化膜形成工序)之后,在进行形成氮化膜的工序(硅氮化膜形成工序)之前,进行对于处理容器内的晶圆200作为含氮气体先供给例如如NH3气体的工序(NH3气体先行供给工序),其他方面与第一实施方式相同。以下,关于NH3气体先行供给工序进行说明。
在本实施方式的NH3气体先行供给工序中,按顺序进行下述的步骤1d、2d,由此对于第一硅氧化膜的表面进行氮化处理,在第一硅氧化膜的表面形成作为种晶层的具有Si-N键的层即硅氮化层。
[步骤1d]
在晶圆200上形成第一硅氧化膜之后,通过与硅氮化膜形成工序的步骤3b相同的工序,向被加热的减压状态的处理室201内供给NH3气体(或NH3气体和N2气体的混合气体)并排气(NH3气体供给)。NH3气体被热活化或热分解而得到的氮化物质与第一硅氧化膜的表面反应。由此,对第一硅氧化膜的表面进行氮化处理(热氮化处理),通过该氮化处理,第一硅氧化膜的表面变化成具有Si-N键的层即硅氮化层(改性)。
[步骤2d]
使第一硅氧化膜的表面向硅氮化层变化之后,通过与硅氮化膜形成工序的步骤4b相同的工序,将NH3气体和反应副产物从处理室201内排除,并且通过N2气体吹扫处理室201内(残留气体除去)。
通过进行上述步骤1d、2d,能够在作为基底膜的第一硅氧化膜上形成规定厚度的硅氮化层。然后,通过按顺序进行上述硅氮化膜形成工序、第二硅氧化膜形成工序,在晶圆200上形成按顺序层合第一硅氧化膜、硅氮化膜、第二硅氧化膜而成的ONO层合构造的绝缘膜。
需要说明的是,NH3气体先行供给工序的处理条件与步骤3b、4b大致相同。但是,步骤1d中的处理室201内的压力也可以设定得比步骤3b中的处理室201内的压力高。例如,处理室201内的压力也可以设定成100~3000Pa的范围内的压力。处理室201内的压力设定得越高,越能够有效率地使第一硅氧化膜的表面氮化。另外,也可以设定成比步骤3b中的NH3气体供给时间长,使得将NH3气体向晶圆200供给的时间即气体供给时间(照射时间)成为例如60~300秒的范围内的时间。图16示出了NH3气体先行供给工序中将NH3气体向晶圆200供给的时间比步骤3b中将NH3气体向晶圆200供给的时间长的情况。另外,晶圆200的温度也可以是步骤1a~4a中的晶圆200的温度(第一温度)以上的温度,且是步骤1b~4b中的晶圆200的温度(第二温度)以下的温度。但是,通过使晶圆200的温度成为与步骤1b~4b中的晶圆200的温度(第二温度)相同的温度,能够使第一硅氧化膜的表面充分地改性(氮化)。该情况下,由于晶圆200的温度在步骤1d~2d及步骤1b~4b中不变更,所以能够相应地使生产率提高。即,晶圆200的温度较优选为与第二温度相同的温度。需要说明的是,在NH3气体先行供给工序中形成在第一硅氧化膜的表面上的具有Si-N键的层(硅氮化层)的厚度为例如0.1~2nm,优选为1~2nm的范围内的厚度。
在本实施方式中,也能够发挥与第一实施方式同样的效果。而且,根据本实施方式,在NH3气体先行供给工序中形成在第一硅氧化膜的表面上的硅氮化层作为促进第二原料气体向第一硅氧化膜上的化学吸附和Si的堆积的层发挥作用。即,形成在第一硅氧化膜的表面上的硅氮化层在硅氮化膜的形成初期,作为促进硅氮化膜的成长的初期层即种晶层发挥作用。其结果,作为第二原料气体使用与HCDS气体相比热分解温度高、反应性低的DCS气体等的情况下,也能够迅速地开始硅氮化膜的形成(能够缩短培养时间),并能够进一步提高成膜ONO层合构造的绝缘膜时的生产率。
<本发明的第三实施方式>
在上述第二实施方式中,进行了形成第一氧化膜的工序之后,在进行形成氮化膜的工序之前,进行对于处理容器内的晶圆200先供给含氮气体的工序,由此迅速地开始氮化膜的形成,但本发明不限于所述方式。
例如,也可以在进行了形成第一氧化膜的工序之后,在进行形成氮化膜的工序之前,对于处理容器内的晶圆200交替地进行供给与第二原料气体相比反应性高的原料气体的工序、和供给含氮气体的工序。
图10是表示本实施方式的成膜流程的图,图17是表示本实施方式的气体供给的定时的图。需要说明的是,本实施方式与第二实施方式的不同之处仅在于,在进行了形成第一氧化膜的工序(第一硅氧化膜形成工序)之后,在进行形成氮化膜的工序(硅氮化膜形成工序)之前,进行下述工序(HCDS-SiN层形成工序),所述工序是对于处理容器内的晶圆200交替地进行供给与作为第二原料气体使用的DCS气体相比反应性高的作为原料气体的例如HCDS气体的工序、和供给作为含氮气体的例如NH3气体的工序,其他方面与第二实施方式相同。以下,关于HCDS-SiN层形成工序进行说明。
在本实施方式的HCDS-SiN层形成工序中,将下述的步骤1e~4e作为1个循环并进行一次以上(规定次数)的该循环,由此,以覆盖第一硅氧化膜的表面的方式(层压的方式)形成作为种晶层的硅氮化层(HCDS-SiN层)。
[步骤1e]
在晶圆200上形成第一硅氧化膜之后,通过与第一硅氧化膜形成工序的步骤1a相同的工序及相同的条件,向被加热的减压状态的处理室201内供给HCDS气体(或HCDS气体和N2气体的混合气体)并排气(HCDS气体供给)。该步骤1e的处理条件、发生的反应、形成的层等与第一硅氧化膜形成工序中的步骤1a相同。即,在该步骤中,通过向处理室201内供给HCDS气体,在第一硅氧化膜上形成含硅层。
[步骤2e]
在第一硅氧化膜上形成含硅层之后,通过与第一硅氧化膜形成工序的步骤2a相同的工序及相同的条件,将HCDS气体从处理室201内排除,并且通过N2气体吹扫处理室201内(残留气体除去)。
[步骤3e]
除去处理室201内的残留气体之后,通过与硅氮化膜形成工序的步骤3b相同的工序及相同的条件,向被加热的减压状态的处理室201内供给NH3气体(或NH3气体和N2气体的混合气体)并排气(NH3气体供给)。该步骤3e的处理条件、发生的反应、形成的层等与硅氮化膜形成工序中的步骤3b相同。即,在该步骤中,通过向处理室201内供给NH3气体,使步骤1e中形成的含硅层向硅氮化层变化(改性)。
[步骤4e]
使含硅层向硅氮化层变化之后,通过与硅氮化膜形成工序的步骤4b相同的工序及相同的条件,将NH3气体和反应副产物从处理室201内排除,并且通过N2气体吹扫处理室201内(残留气体除去)。
将上述步骤1e~4e作为1个循环,通过进行1次以上、优选1~10次左右、例如1~5次左右的该循环,能够以覆盖作为基底膜的第一硅氧化膜的表面的方式形成规定厚度的硅氮化层(HCDS-SiN层)。图17例示了进行一次上述循环的情况。需要说明的是,步骤1e~4e中的晶圆200的温度能够采用与第二实施方式的步骤1d中的晶圆200的温度相同的温度。但是,与步骤1d同样地,晶圆200的温度更优选采用与第二温度相同的温度。然后,通过按顺序进行上述硅氮化膜形成工序、第二硅氧化膜形成工序,在晶圆200上形成按顺序层合第一硅氧化膜、硅氮化膜、第二硅氧化膜而成的ONO层合构造的绝缘膜。需要说明的是,在HCDS-SiN层形成工序中形成在第一硅氧化膜的表面上的HCDS-SiN层的厚度为例如0.1~2nm,优选为1~2nm的范围内的厚度。
在本实施方式中,也能够发挥与第一实施方式同样的效果。而且,根据本实施方式,HCDS-SiN层形成工序中以覆盖第一硅氧化膜的表面的方式形成的HCDS-SiN层作为促进第二原料气体向第一硅氧化膜上的化学吸附和Si的堆积的层发挥作用。即,形成在第一硅氧化膜上的HCDS-SiN层在硅氮化膜的形成初期,作为促进硅氮化膜的成长的初期层即种晶层发挥作用。其结果,作为第二原料气体使用与HCDS气体相比热分解温度高、反应性低的DCS气体等的情况下,也能够迅速地开始硅氮化膜的形成(能够缩短培养时间),并能够进一步提高成膜ONO层合构造的绝缘膜时的生产率。
<本发明的其他实施方式>
以上,具体说明了本发明的实施方式,但本发明不限于上述实施方式,在不脱离其主旨的范围内能够进行各种变更。
例如,上述第一氧化膜和第二氧化膜不限于通过同一方法形成的情况,也可以通过不同的方法形成。即,在第一氧化膜的形成及第二氧化膜的形成中,也可以适宜地组合使用上述第一实施方式及其变形例1~4记载的各种成膜顺序。
另外,例如,上述氮化膜不限于通过交替地进行供给第二原料气体(DCS气体)的工序和供给氮化气体(NH3气体)的工序而形成的情况,也可以同时进行供给第二原料气体的工序和供给氮化气体的工序而形成。如上述各实施方式那样,作为第二原料气体使用氯硅烷类原料气体即DCS气体的情况下,在上述各实施方式中的处理条件下,即使同时供给第二原料气体和氮化气体,也能够使形成第一氧化膜、氮化膜和第二氧化膜时的衬底的温度差成为150℃以内、优选100℃以内。该情况下,与交替地供给第二原料气体和氮化气体的情况相比,能够提高成膜速率,并能够进一步提高形成第一氧化膜、氮化膜、第二氧化膜时的生产率。
该情况下,在同时供给第二原料气体和氮化气体之前,也可以如第二实施方式那样,进行NH3气体先行供给工序。另外,也可以在同时供给第二原料气体和氮化气体之前,如第三实施方式那样,进行HCDS-SiN层形成工序。由此,该情况下,也能够迅速地开始硅氮化膜的形成(能够缩短培养时间),并能够进一步提高成膜ONO层合构造的绝缘膜时的生产率。
另外,例如,在上述实施方式中,关于具有SiO/SiN/SiO的层合构造(ONO层合构造)的层合膜的形成例进行了说明,但本发明不限于所述情况。例如,本发明也能够适当地适用于形成具有SiO/SiN/SiO/SiN/SiO的层合构造(ONONO层合构造)的层合膜、具有SiN/SiO/SiN的层合构造(NON层合构造)的层合膜、具有SiO/SiN的层合构造(ON层合构造)的层合膜、及具有SiN/SiO的层合构造(NO层合构造)的层合膜的情况。
另外,本实施方式的成膜顺序不限于在形成在晶圆上的其他膜上形成ONO层合构造(或ONONO、NON、ON、NO层合构造等)的绝缘膜的情况(即,形成堆栈构造的情况),还能够适当地适用于在形成在晶圆表面上的沟槽构造上形成ONO层合构造的绝缘膜的情况(即,形成沟槽构造的情况)。
然而,形成ONO、ONONO、NON、ON、NO层合构造等的层合膜时,在氮化膜上形成氧化膜的情况下,成为氧化膜形成时的基底的氮化膜也可以形成得比构成层合膜所需的氮化膜的膜厚厚。即,形成成为氧化膜形成时的基底的氮化膜时,也可以形成比最终所需的膜厚厚的膜厚的氮化膜。在氮化膜上以上述各实施方式中的成膜顺序形成氧化膜的情况下,在形成氧化膜的过程中,成为基底的氮化膜的表面被氧化(消耗),存在氮化膜的膜厚变得比构成层合膜所需的氮化膜的膜厚薄的情况。这样的情况下,预先测定在氮化膜上形成氧化膜时被氧化(消耗)的氮化膜的膜厚,形成氮化膜时,以该量增厚地形成氮化膜,由此能够确保层合膜中所需的氮化膜的膜厚。
另外,在形成上述氧化膜的工序中,还可以再进行向氧化膜中添加氮(N)的工序。该情况下,在形成氧化膜的工序中,再设置对处理容器内的衬底供给氮化气体的工序即可。此时的处理条件和使用的氮化气体可以采用例如与第一实施方式的成膜顺序中的步骤3b相同的处理条件、氮化气体。但是,衬底的温度优选为与第一实施方式的成膜顺序中的步骤1a~4a的衬底的温度相同的温度。需要说明的是,向氧化膜中添加微量的氮的情况下,优选采用非等离子体的条件。另外,向氧化膜中添加氮的工序也可以与向处理容器内的衬底供给氧化气体及还原气体的工序同时地进行,也可以在该工序前进行,也可以在该工序后进行。
例如,在第一实施方式的成膜顺序(参照图4)中,向氧化膜中添加氮的工序也可以与步骤3a同时地进行,也可以在步骤3a之前进行,也可以在步骤3a之后进行。
这样,在形成氧化膜的工序中,还设置向氧化膜中添加氮的工序,由此,还能够形成添加了氮的氧化膜。
另外,在形成上述氮化膜的工序中,还可以再进行向氮化膜中添加氧(O)的工序。该情况下,在形成氮化膜的工序中,再设置向处理容器内的衬底供给氧化气体的工序即可。此时的处理条件和使用的氧化气体能够采用例如与第一实施方式的成膜顺序中的步骤3a相同的处理条件、氧化气体。但是,衬底的温度优选为与第一实施方式的成膜顺序中的步骤1b~4b的衬底的温度相同的温度。需要说明的是,向氮化膜中添加微量的氧的情况下,优选采用非等离子体的条件。另外,向氮化膜中添加氧的工序也可以与向处理容器内的衬底供给氮化气体的工序同时地进行,也可以在该工序前进行,也可以在该工序后进行。
例如,在第一实施方式的成膜顺序(参照图4)中,向氮化膜中添加氧的工序也可以与步骤3b同时地进行,也可以在步骤3b之前进行,也可以在步骤3b之后进行。
这样,在形成氮化膜的工序中,再设置向氮化膜中添加氧的工序,由此,还能够形成添加了氧的氮化膜。
另外,在上述实施方式中,对具有SiO/SiN/SiO的层合构造的层合膜的形成例进行了说明,但本发明不限于所述情况。例如,本发明也能够适当地适用于形成具有SiON/SiN/SiO的层合构造的层合膜的情况。另外,本发明还能够适当地适用于形成具有SiO/SiON/SiO的层合构造的层合膜、具有SiO/SiN/SiON的层合构造的层合膜、具有SiON/SiN/SiON的层合构造的层合膜等,具有包含氧化膜(SiO膜)、氮化膜(SiN膜)及氮氧化膜(SiON膜)中的至少任意一种的层合构造的层合膜的情况。
需要说明的是,SiON膜能够通过进行与向氧化膜中添加氮(N)的情况和向氮化膜中添加氧(O)的情况相同的工序而形成。
另外,在上述实施方式中,作为薄膜,关于形成包含半导体元素即硅的硅类绝缘膜的层合膜的例子进行了说明,但本发明不限于上述情况。例如,本发明还能够适当地适用于形成例如包含钛(Ti)、锆(Zr)、铪(Hf)、钽(Ta)、铝(Al)、钼(Mo)等金属元素的金属类薄膜的层合膜的情况。
例如,本发明还能够适当地适用于形成具有钛类薄膜即钛氧化膜(TiO膜)和钛氮化膜(TiN膜)的层合构造的层合膜的情况、形成具有锆类薄膜即锆氧化膜(ZrO膜)和锆氮化膜(ZrN膜)的层合构造的层合膜的情况、形成具有铪类薄膜即铪氧化膜(HfO膜)和铪氮化膜(HfN膜)的层合构造的层合膜的情况、形成具有钽类薄膜即钽氧化膜(TaO膜)和钽氮化膜(TaN膜)的层合构造的层合膜的情况、形成具有铝类薄膜即铝氧化膜(AlO膜)和铝氮化膜(AlN膜)的层合构造的层合膜的情况、及形成具有钼类薄膜即钼氧化膜(MoO膜)和钼氮化膜(MoN膜)的层合构造的层合膜的情况。
该情况下,作为原料气体使用包含金属元素的原料,能够通过与上述实施方式相同的成膜顺序进行成膜。需要说明的是,含氮气体、含氧气体及含氢气体能够使用与上述实施方式相同的气体。处理条件也能够使用与上述实施方式相同的处理条件。
例如,形成钛类薄膜的情况下,作为原料可以使用四氯化钛(TiCl4)、四(乙基甲基氨基)钛(Ti[N(C2H5)(CH3)]4,简称:TEMAT)、四(二甲基氨基)钛(Ti[N(CH3)2]4,简称:TDMAT)、四(二乙基氨基)钛(Ti[N(C2H5)2]4,简称:TDEAT)等。
另外,例如,形成锆类薄膜的情况下,作为原料可以使用四氯化锆(ZrCl4)、四(乙基甲基氨基)锆(Zr[N(C2H5)(CH3)]4,简称:TEMAZ)、四(二甲基氨基)锆(Zr[N(CH3)2]4,简称:TDMAZ)、四(二乙基氨基)锆(Zr[N(C2H5)2]4,简称:TDEAZ)等。
另外,例如,形成铪类薄膜的情况下,作为原料可以使用四氯化铪(HfCl4)、四(乙基甲基氨基)铪(Hf[N(C2H5)(CH3)]4,简称:TEMAH)、四(二甲基氨基)铪(Hf[N(CH3)2]4,简称:TDMAH)、四(二乙基氨基)铪(Hf[N(C2H5)2]4,简称:TDEAH)等。
这样,本发明不仅能够适用于硅类薄膜的层合膜,还能够适用于金属类薄膜的层合膜的成膜,该情况下,也能够获得与上述实施方式同样的作用效果。即,本发明能够适当地适用于形成包含半导体元素和金属元素等的规定元素的薄膜的情况。
另外,在上述实施方式中,关于使用一次处理多片衬底的批量式衬底处理装置成膜薄膜的例子进行了说明,但本发明不限于此,也能够适当地适用于使用一次处理一片或几片衬底的单张式的衬底处理装置成膜薄膜的情况。
另外,上述各实施方式、各变形例和各应用例等能够适宜地组合使用。
另外,本发明还能够通过例如变更已有的衬底处理装置的制程来实现。变更制程的情况下,将本发明的制程通过电子通信线路或存储有该制程的存储介质安装到已有的衬底处理装置,另外,还可以操作已有的衬底处理装置的输入输出装置,将该制程自身变更成本发明的制程。
【实施例】
(实施例1)
在本实施例中,使用与上述第一实施方式相同的方法(即,在第一硅氧化膜形成工序和硅氮化膜形成工序之间不进行NH3气体先行供给工序和HCDS-SiN层形成工序),在第一硅氧化膜上连续地形成硅氮化膜。另外,使用与上述第二实施方式相同的方法(即,在第一硅氧化膜形成工序和硅氮化膜形成工序之间进行NH3气体先行供给工序),在第一硅氧化膜上形成硅氮化膜。而且,测定各个情况下的硅氮化膜的成膜延迟时间(培养时间)。
图18是示意地表示实施例1的硅氮化膜的成膜延迟时间的评估结果的图。图18的横轴表示硅氮化膜形成工序中的循环(步骤1b~4b)的实施次数,纵轴表示硅氮化膜的膜厚(任意单位(a.u.))。图中的虚线表示连续地进行第一硅氧化膜形成工序和硅氮化膜形成工序的情况,实线表示期间进行了NH3气体先行供给工序的情况。
根据图18可知,在第一硅氧化膜形成工序和硅氮化膜形成工序之间进行了NH3气体先行供给工序的情况下(实线),与不进行NH3气体先行供给工序的情况(虚线)相比,能够更早地开始硅氮化膜的成膜,并缩短成膜延迟时间(培养时间)。即,可知形成在第一硅氧化膜的表面上的硅氮化层作为促进硅氮化膜的形成的初期层(种晶层)发挥作用,硅氮化膜的成膜更迅速地开始。也就是说,可知能够进一步提高形成ONO层合构造的绝缘膜时的生产率。
(实施例2)
在本实施例中,使用与上述第一实施方式相同的方法(即,在第一硅氧化膜形成工序和硅氮化膜形成工序之间不进行NH3气体先行供给工序和HCDS-SiN层形成工序),在第一硅氧化膜上连续地形成硅氮化膜。另外,使用与上述第三实施方式相同的方法(即,在第一硅氧化膜形成工序和硅氮化膜形成工序之间进行HCDS-SiN层形成工序),在第一硅氧化膜上形成硅氮化膜。而且,测定各个情况下的硅氮化膜的成膜延迟时间(培养时间)。
图19是示意地表示实施例2的硅氮化膜的成膜延迟时间的评估结果的图。图19的横轴表示硅氮化膜形成工序中的循环(步骤1b~4b)的实施次数,纵轴表示硅氮化膜的膜厚(任意单位(a.u.))。图中的虚线表示连续地进行第一硅氧化膜形成工序和硅氮化膜形成工序的情况,实线表示期间进行了HCDS-SiN层形成工序的情况。
根据图19可知,在第一硅氧化膜形成工序和硅氮化膜形成工序之间进行了HCDS-SiN层形成工序的情况下(实线),与不进行HCDS-SiN层形成工序的情况(虚线)相比,能够更早地开始硅氮化膜的成膜,并能够缩短成膜延迟时间(培养时间)。即,可知以覆盖第一硅氧化膜的表面的方式形成的HCDS-SiN层作为促进硅氮化膜的形成的初期层(种晶层)发挥作用,硅氮化膜的成膜更迅速地开始。也就是说,可知能够进一步提高形成ONO层合构造的绝缘膜时的生产率。
<本发明的优选方式>
以下,记载了本发明的优选方式。
(附记1)
根据本发明的一方式,提供一种半导体器件的制造方法,具有:
通过对处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底进行规定次数的包括供给第一原料气体的工序和供给氧化气体及还原气体的工序的循环,由此在所述衬底上形成氧化膜的工序;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给氮化气体,由此在所述氧化膜的表面上形成种晶层的工序;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给第二原料气体的工序和供给所述氮化气体的工序的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的工序。
(附记2)
在附记1的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,所述处理容器内的压力为比形成所述氮化膜的工序中的所述处理容器内的压力大的压力。
(附记3)
在附记1的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,所述处理容器内的压力为比形成所述氮化膜的工序的供给所述第二原料气体的工序中的所述处理容器内的压力大的压力。
(附记4)
在附记1的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,所述处理容器内的压力为比形成所述氮化膜的工序的供给所述氮化气体的工序中的所述处理容器内的压力大的压力。
(附记5)
在附记1至4中任一项的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,所述衬底的温度为所述第二温度。
(附记6)
在附记1至5中任一项的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,对所述氧化膜的表面进行氮化。
(附记7)
在附记1至6中任一项的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,对所述氧化膜的表面进行热氮化。
(附记8)
在附记1至7中任一项的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,在所述氧化膜的表面上作为所述种晶层形成氮化层。
(附记9)
在附记1至8中任一项的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,在所述氧化膜的表面上作为所述种晶层形成具有Si-N键的层。
(附记10)
在附记1至9中任一项的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,通过对于所述处理容器内的被加热到所述第一温度以上所述第二温度以下的温度的所述衬底实施规定次数的包括供给所述第一原料气体的工序和供给所述氮化气体的工序的循环,由此在所述氧化膜的表面上形成所述种晶层。
(附记11)
在附记10的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,对于所述衬底交替地进行供给所述第一原料气体的工序和供给所述氮化气体的工序。
(附记12)
在附记10或11的半导体器件的制造方法中,优选的是,
在形成所述种晶层的工序中,通过对于所述衬底交替地进行供给所述第一原料气体的工序和供给所述氮化气体的工序,由此在所述氧化膜上作为所述种晶层形成氮化层。
(附记13)
在附记1至12中任一项的半导体器件的制造方法中,优选的是,
所述第一原料气体和所述第二原料气体分别由不同的物质构成,所述第二原料气体与所述第一原料气体相比反应性低。
(附记14)
在附记1至12中任一项的半导体器件的制造方法中,优选的是,
所述第一原料气体和所述第二原料气体分别由包含同一元素的不同物质构成,所述第二原料气体与所述第一原料气体相比反应性低。
(附记15)
在附记1至12中任一项的半导体器件的制造方法中,优选的是,
所述第一原料气体和所述第二原料气体分别由包含硅的不同物质构成,所述第二原料气体与所述第一原料气体相比反应性低。
(附记16)
在附记1至12中任一项的半导体器件的制造方法中,优选的是,
所述第一原料气体和所述第二原料气体分别由相同的物质构成。
(附记17)
在附记1至12中任一项的半导体器件的制造方法中,优选的是,
所述第一原料气体和所述第二原料气体分别由包含同一元素的相同的物质构成。
(附记18)
在附记1至12中任一项的半导体器件的制造方法中,优选的是,
所述第一原料气体和所述第二原料气体分别由包含硅的相同的物质构成。
(附记19)
在附记1至18中任一项的半导体器件的制造方法中,优选的是,
在形成所述氧化膜的工序中,对于所述衬底交替地实施供给所述第一原料气体的工序和供给所述氧化气体及所述还原气体的工序。
(附记20)
在附记1至19中任一项的半导体器件的制造方法中,优选的是,
在形成所述氧化膜的工序中,对于所述衬底交替地实施供给所述第一原料气体的工序和供给所述氧化气体及所述还原气体的工序,然后,再进行供给所述氧化气体及所述还原气体的工序。
(附记21)
在附记1至20中任一项的半导体器件的制造方法中,优选的是,
在形成所述氮化膜的工序中,对于所述衬底交替地实施供给所述第二原料气体的工序和供给所述氮化气体的工序。
(附记22)
在附记1至21中任一项的半导体器件的制造方法中,优选的是,
在形成所述氮化膜的工序中,对于所述衬底同时实施供给所述第二原料气体的工序和供给所述氮化气体的工序。
(附记23)
在附记1至22中任一项的半导体器件的制造方法中,优选的是,
形成所述氧化膜的工序还包括向所述氧化膜中添加氮的工序。
(附记24)
在附记1至23中任一项的半导体器件的制造方法中,优选的是,
形成所述氮化膜的工序还包括向所述氮化膜中添加氧的工序。
(附记25)
在附记1至24中任一项的半导体器件的制造方法中,优选的是,
还包括:通过对于处于小于大气压的压力下的所述处理容器内的被加热到所述第一温度的所述衬底实施规定次数的包括供给所述第一原料气体的工序和供给所述氧化气体及所述还原气体的工序的循环,由此在所述氮化膜上形成氧化膜的工序。
(附记26)
根据本发明的其他方式,提供一种半导体器件的制造方法,包括:
通过对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底实施规定次数的包括供给第一原料气体的工序和供给氧化气体及还原气体的工序的循环,由此在所述衬底上形成第一氧化膜的工序;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给氮化气体,由此在所述第一氧化膜的表面上形成种晶层的工序;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给第二原料气体的工序和供给所述氮化气体的工序的循环,由此在形成在所述第一氧化膜的表面上的所述种晶层上形成氮化膜的工序;
通过对于处于小于大气压的压力下的所述处理容器内的被加热到所述第一温度的所述衬底实施规定次数的包括供给所述第一原料气体的工序和供给所述氧化气体及所述还原气体的工序的循环,由此在所述氮化膜上形成第二氧化膜的工序。
(附记27)
在附记25或26的半导体器件的制造方法中,优选的是,
在形成所述氮化膜的工序中,形成比最终所需的膜厚厚的膜厚的所述氮化膜。
(附记28)
根据本发明的又一方式,提供一种衬底处理方法,包括:
通过对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底实施规定次数的包括供给第一原料气体的工序和供给氧化气体及还原气体的工序的循环,由此在所述衬底上形成氧化膜的工序;
对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给氮化气体,由此在所述氧化膜的表面上形成种晶层的工序;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给第二原料气体的工序和供给所述氮化气体的工序的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的工序。
(附记29)
根据本发明的又一其他方式,提供一种衬底处理装置,具有:
收纳衬底的处理容器;
对所述处理容器内的衬底进行加热的加热器;
对于所述处理容器内的衬底供给第一原料气体及第二原料气体的原料气体供给系统;
对于所述处理容器内的衬底供给氧化气体的氧化气体供给系统;
对于所述处理容器内的衬底供给还原气体的还原气体供给系统;
对于所述处理容器内的衬底供给氮化气体的氮化气体供给系统;
调整所述处理容器内的压力的压力调整部;
对所述加热器、所述原料气体供给系统、所述氧化气体供给系统、所述还原气体供给系统、所述氮化气体供给系统及所述压力调整部进行控制的控制部,
所述控制部进行如下处理:
通过对于处于小于大气压的压力下的所述处理容器内的被加热到第一温度的衬底实施规定次数的包括供给所述第一原料气体的处理和供给所述氧化气体及所述还原气体的处理的循环,由此在所述衬底上形成氧化膜的处理;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给所述氮化气体,由此在所述氧化膜的表面上形成种晶层的处理;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给所述第二原料气体的处理和供给所述氮化气体的处理的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的处理。
(附记30)
根据本发明的又一其他方式,提供一种程序,通过计算机执行如下工序:
通过对于衬底处理装置的处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底实施规定次数的包括供给第一原料气体的步骤和供给氧化气体及还原气体的步骤的循环,由此在所述衬底上形成氧化膜的步骤;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给氮化气体,由此在所述氧化膜的表面上形成种晶层的步骤;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给第二原料气体的步骤和供给所述氮化气体的步骤的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的步骤。
(附记31)
根据本发明的又一其他方式,提供一种存储有程序的计算机能够读取的存储介质,该程序是通过计算机执行以下工序:
通过对于衬底处理装置的处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底实施规定次数的包括供给第一原料气体的步骤和供给氧化气体及还原气体的步骤的循环,由此在所述衬底上形成氧化膜的步骤;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给氮化气体,由此在所述氧化膜的表面上形成种晶层的步骤;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给第二原料气体的步骤和供给所述氮化气体的步骤的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的步骤。

Claims (17)

1.一种半导体器件的制造方法,其特征在于,包括下述工序:
通过对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底实施规定次数的包括供给第一原料气体的工序和供给氧化气体及还原气体的工序的循环,由此在所述衬底上形成氧化膜的工序;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给氮化气体,由此在所述氧化膜的表面上形成种晶层的工序;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给第二原料气体的工序和供给所述氮化气体的工序的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的工序。
2.如权利要求1所述的半导体器件的制造方法,其特征在于,在形成所述种晶层的工序中,所述处理容器内的压力为比形成所述氮化膜的工序中的所述处理容器内的压力大的压力。
3.如权利要求1所述的半导体器件的制造方法,其特征在于,在形成所述种晶层的工序中,所述处理容器内的压力为比形成所述氮化膜的工序的供给所述第二原料气体的工序中的所述处理容器内的压力大的压力。
4.如权利要求1所述的半导体器件的制造方法,其特征在于,在形成所述种晶层的工序中,所述处理容器内的压力为比形成所述氮化膜的工序的供给所述氮化气体的工序中的所述处理容器内的压力大的压力。
5.如权利要求1所述的半导体器件的制造方法,其特征在于,在形成所述种晶层的工序中,所述衬底的温度为所述第二温度。
6.如权利要求1所述的半导体器件的制造方法,其特征在于,在形成所述种晶层的工序中,对所述氧化膜的表面进行氮化。
7.如权利要求1所述的半导体器件的制造方法,其特征在于,在形成所述种晶层的工序中,通过对于所述处理容器内的被加热到所述第一温度以上所述第二温度以下的温度的所述衬底实施规定次数的包括供给所述第一原料气体的工序和供给所述氮化气体的工序的循环,由此在所述氧化膜的表面上形成所述种晶层。
8.如权利要求7所述的半导体器件的制造方法,其特征在于,在形成所述种晶层的工序中,对于所述衬底交替地实施供给所述第一原料气体的工序和供给所述氮化气体的工序。
9.如权利要求1所述的半导体器件的制造方法,其特征在于,所述第一原料气体和所述第二原料气体分别由不同的物质构成,所述第二原料气体与所述第一原料气体相比反应性低。
10.如权利要求1所述的半导体器件的制造方法,其特征在于,在形成所述氧化膜的工序中,对于所述衬底交替地实施供给所述第一原料气体的工序和供给所述氧化气体及所述还原气体的工序。
11.如权利要求1所述的半导体器件的制造方法,其特征在于,在形成所述氧化膜的工序中,对于所述衬底交替地实施供给所述第一原料气体的工序和供给所述氧化气体及所述还原气体的工序,然后,再实施供给所述氧化气体及所述还原气体的工序。
12.如权利要求1所述的半导体器件的制造方法,其特征在于,在形成所述氮化膜的工序中,对于所述衬底交替地实施供给所述第二原料气体的工序和供给所述氮化气体的工序。
13.如权利要求1所述的半导体器件的制造方法,其特征在于,在形成所述氮化膜的工序中,对于所述衬底同时实施供给所述第二原料气体的工序和供给所述氮化气体的工序。
14.如权利要求1所述的半导体器件的制造方法,其特征在于,还包括下述工序:通过对于处于小于大气压的压力下的所述处理容器内的被加热到所述第一温度的所述衬底实施规定次数的包括供给所述第一原料气体的工序和供给所述氧化气体及所述还原气体的工序的循环,由此在所述氮化膜上形成氧化膜的工序。
15.如权利要求14所述的半导体器件的制造方法,其特征在于,在形成所述氮化膜的工序中,形成比最终所需的膜厚厚的膜厚的所述氮化膜。
16.一种衬底处理方法,其特征在于,包括下述工序:
通过对于处于小于大气压的压力下的处理容器内的被加热到第一温度的衬底实施规定次数的包括供给第一原料气体的工序和供给氧化气体及还原气体的工序的循环,由此在所述衬底上形成氧化膜的工序;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给氮化气体,由此在所述氧化膜的表面上形成种晶层的工序;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给第二原料气体的工序和供给所述氮化气体的工序的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的工序。
17.一种衬底处理装置,其特征在于,具有:
收纳衬底的处理容器;
对所述处理容器内的衬底进行加热的加热器;
对于所述处理容器内的衬底供给第一原料气体及第二原料气体的原料气体供给系统;
对于所述处理容器内的衬底供给氧化气体的氧化气体供给系统;
对于所述处理容器内的衬底供给还原气体的还原气体供给系统;
对于所述处理容器内的衬底供给氮化气体的氮化气体供给系统;
调整所述处理容器内的压力的压力调整部;
对所述加热器、所述原料气体供给系统、所述氧化气体供给系统、所述还原气体供给系统、所述氮化气体供给系统及所述压力调整部进行控制的控制部,
所述控制部进行如下处理:
通过对于处于小于大气压的压力下的所述处理容器内的被加热到第一温度的衬底实施规定次数的包括供给所述第一原料气体的处理和供给所述氧化气体及所述还原气体的处理的循环,由此在所述衬底上形成氧化膜的处理;
通过对于所述处理容器内的被加热到所述第一温度以上第二温度以下的温度的所述衬底供给所述氮化气体,由此在所述氧化膜的表面上形成种晶层的处理;
通过对于所述处理容器内的被加热到所述第二温度的所述衬底实施规定次数的包括供给所述第二原料气体的处理和供给所述氮化气体的处理的循环,由此在形成在所述氧化膜的表面上的所述种晶层上形成氮化膜的处理。
CN201210365930.8A 2011-09-30 2012-09-18 半导体器件的制造方法、衬底处理方法及衬底处理装置 Active CN103035485B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2011-218019 2011-09-30
JP2011218019 2011-09-30
JP2012176570A JP6042656B2 (ja) 2011-09-30 2012-08-09 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2012-176570 2012-08-09

Publications (2)

Publication Number Publication Date
CN103035485A true CN103035485A (zh) 2013-04-10
CN103035485B CN103035485B (zh) 2016-05-11

Family

ID=47992960

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210365930.8A Active CN103035485B (zh) 2011-09-30 2012-09-18 半导体器件的制造方法、衬底处理方法及衬底处理装置

Country Status (5)

Country Link
US (1) US9190264B2 (zh)
JP (1) JP6042656B2 (zh)
KR (1) KR101396253B1 (zh)
CN (1) CN103035485B (zh)
TW (1) TWI508174B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105296963A (zh) * 2014-07-23 2016-02-03 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN107240563A (zh) * 2016-03-29 2017-10-10 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN109155254A (zh) * 2016-09-20 2019-01-04 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
CN110959312A (zh) * 2017-08-14 2020-04-03 株式会社国际电气 等离子体生成装置、基板处理装置、以及半导体器件的制造方法
CN111096080A (zh) * 2017-08-14 2020-05-01 株式会社国际电气 等离子体异常判定方法、半导体器件的制造方法、以及基板处理装置

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082322A (ja) * 2012-10-16 2014-05-08 Tokyo Electron Ltd シリコン窒化物膜の成膜方法および成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6476369B2 (ja) * 2013-03-25 2019-03-06 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP6124724B2 (ja) * 2013-07-25 2017-05-10 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160268299A1 (en) 2015-03-13 2016-09-15 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6523091B2 (ja) 2015-07-24 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102326377B1 (ko) * 2016-06-07 2021-11-15 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6919350B2 (ja) * 2017-06-09 2021-08-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
JP6902958B2 (ja) * 2017-08-02 2021-07-14 東京エレクトロン株式会社 シリコン膜の形成方法および形成装置
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6929173B2 (ja) * 2017-09-13 2021-09-01 東京エレクトロン株式会社 シリコン酸化膜を形成する方法および装置
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP7243521B2 (ja) * 2019-08-19 2023-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
EP4107794A4 (en) 2020-02-21 2024-02-28 Applied Materials Inc HIGH CRITICAL TEMPERATURE METAL NITRIDE LAYER WITH OXIDE OR OXYNITRIDE SEED LAYER
JP2023514370A (ja) 2020-02-21 2023-04-05 アプライド マテリアルズ インコーポレイテッド 高臨界温度金属窒化物層を製造する方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210395883A1 (en) * 2020-06-22 2021-12-23 Tokyo Electron Limited System and Method for Thermally Cracking Ammonia
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7194216B2 (ja) * 2021-03-17 2022-12-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7328293B2 (ja) * 2021-09-27 2023-08-16 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム
JP7458432B2 (ja) * 2022-03-09 2024-03-29 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030160274A1 (en) * 1998-08-28 2003-08-28 Das Mrinal Kanti Methods of fabricating high voltage, high temperature capacitor and interconnection structures
US20040086640A1 (en) * 2002-11-04 2004-05-06 Applied Materials, Inc. Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
US20050037578A1 (en) * 2003-08-14 2005-02-17 Wei Wen Chen [method for forming an oxide/ nitride/oxide stacked layer]
CN101532126A (zh) * 2008-03-14 2009-09-16 东京毅力科创株式会社 半导体处理用的成膜装置及其使用方法
CN102034702A (zh) * 2009-09-30 2011-04-27 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3667535B2 (ja) 1998-09-17 2005-07-06 東京エレクトロン株式会社 成膜方法
KR100682190B1 (ko) * 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
KR100340716B1 (ko) * 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
US6465373B1 (en) * 2000-08-31 2002-10-15 Micron Technology, Inc. Ultra thin TCS (SiCl4) cell nitride for DRAM capacitor with DCS (SiH2Cl2) interface seeding layer
KR100753667B1 (ko) * 2001-12-29 2007-08-31 매그나칩 반도체 유한회사 반도체 제조 공정에서의 질소 플라즈마 소스를 이용한실리콘 질화막 증착 방법
KR20040079172A (ko) * 2003-03-06 2004-09-14 주식회사 하이닉스반도체 반도체 소자의 유전체막 형성 방법
JP4694209B2 (ja) 2005-01-05 2011-06-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
JP5155070B2 (ja) 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2010278260A (ja) 2009-05-28 2010-12-09 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP5813303B2 (ja) * 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030160274A1 (en) * 1998-08-28 2003-08-28 Das Mrinal Kanti Methods of fabricating high voltage, high temperature capacitor and interconnection structures
US20040086640A1 (en) * 2002-11-04 2004-05-06 Applied Materials, Inc. Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
US20050037578A1 (en) * 2003-08-14 2005-02-17 Wei Wen Chen [method for forming an oxide/ nitride/oxide stacked layer]
CN101532126A (zh) * 2008-03-14 2009-09-16 东京毅力科创株式会社 半导体处理用的成膜装置及其使用方法
CN102034702A (zh) * 2009-09-30 2011-04-27 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105296963A (zh) * 2014-07-23 2016-02-03 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN105296963B (zh) * 2014-07-23 2018-06-12 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN107240563A (zh) * 2016-03-29 2017-10-10 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN107240563B (zh) * 2016-03-29 2021-05-18 株式会社国际电气 衬底处理装置及半导体器件的制造方法
US11072859B2 (en) 2016-03-29 2021-07-27 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
CN109155254A (zh) * 2016-09-20 2019-01-04 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
CN109155254B (zh) * 2016-09-20 2024-03-01 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
CN110959312A (zh) * 2017-08-14 2020-04-03 株式会社国际电气 等离子体生成装置、基板处理装置、以及半导体器件的制造方法
CN111096080A (zh) * 2017-08-14 2020-05-01 株式会社国际电气 等离子体异常判定方法、半导体器件的制造方法、以及基板处理装置
US11629408B2 (en) 2017-08-14 2023-04-18 Kokusai Electric Corporation Plasma generation device, substrate processing apparatus, and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
KR101396253B1 (ko) 2014-05-16
US20130084712A1 (en) 2013-04-04
US9190264B2 (en) 2015-11-17
TWI508174B (zh) 2015-11-11
JP2013084911A (ja) 2013-05-09
JP6042656B2 (ja) 2016-12-14
CN103035485B (zh) 2016-05-11
TW201318065A (zh) 2013-05-01
KR20130035874A (ko) 2013-04-09

Similar Documents

Publication Publication Date Title
CN103035485A (zh) 半导体器件的制造方法、衬底处理方法及衬底处理装置
KR101396243B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
CN102034702B (zh) 半导体装置的制造方法及衬底处理装置
JP6199570B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101097726B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP5562434B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6125247B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8946092B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
KR101074684B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
CN103620745A (zh) 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
TWI585857B (zh) 半導體裝置之製造方法、基板處理方法、及電腦可讀取記錄媒體
JP6030378B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20140142160A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20130135347A (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
JP2012221978A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20181204

Address after: Tokyo, Japan, Japan

Patentee after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Hitachi Kunisai Electric Corp.