KR101396243B1 - 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체 Download PDF

Info

Publication number
KR101396243B1
KR101396243B1 KR1020120101365A KR20120101365A KR101396243B1 KR 101396243 B1 KR101396243 B1 KR 101396243B1 KR 1020120101365 A KR1020120101365 A KR 1020120101365A KR 20120101365 A KR20120101365 A KR 20120101365A KR 101396243 B1 KR101396243 B1 KR 101396243B1
Authority
KR
South Korea
Prior art keywords
gas
supplying
substrate
forming
film
Prior art date
Application number
KR1020120101365A
Other languages
English (en)
Other versions
KR20130030213A (ko
Inventor
요스케 오타
나오노리 아카에
요시로 히로세
료타 사사지마
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20130030213A publication Critical patent/KR20130030213A/ko
Application granted granted Critical
Publication of KR101396243B1 publication Critical patent/KR101396243B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

산화막과 질화막의 적층 구조를 가지는 절연막의 막 두께의 균일성을 향상시켜 생산성을 향상시킨다.
처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정과, 산화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여 산화막을 형성하는 공정; 및 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정;을 교호적으로 소정 횟수 수행하여, 기판 상에 산화막과 질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고, 산화막을 형성하는 공정 및 질화막을 형성하는 공정은 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행된다.

Description

반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF PROCESSING SUBSTRATE, SUBSTRATE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM}
본 발명은 기판 상에 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법, 기판 처리 방법, 그 공정에서 바람직하게 이용되는 기판 처리 장치 및 기록 매체에 관한 것이다.
반도체 장치의 제조 공정의 일(一) 공정으로서, 산화막과 질화막이 교호적으로 적층되어 이루어지는 ONO 적층 구조의 절연막을 기판 상에 형성하는 공정이 수행될 수 있다. 이 경우, 반도체 장치의 특성은 ONO 적층 구조의 절연막의 전기적 막 두께에 의해 변동될 수 있다. 이 변동은 반도체 장치의 동작의 편차의 요인이 되기 때문에, ONO 적층 구조의 절연막을 구성하는 산화막 및 질화막에는 높은 막 두께의 균일성이 요구된다.
ONO 적층 구조의 절연막을 구성하는 산화막으로서는 예컨대 실란(SiH4)가스와 산화질소(N2O)가스의 반응에 의해 얻어지는 실리콘 산화막(SiO막), 즉 HTO(High Tepmerature Oxide)막이나 TEOS[Si(OC2H5)4]가스와 산소(O2)가스의 반응에 의해 얻어지는 실리콘 산화막(SiO막), 즉 TEOS막 등이 이용된다. 또한 질화막으로서는 예컨대 디클로로실란(SiH2Cl2)가스와 암모니아(NH3)가스의 반응에 의해 얻어지는 실리콘 질화막(SiN막) 등이 이용된다.
종래 이 막들은 소위 LP-CVD(Low Pressure-Chemical Vapor Deposition)법에 의해 성막(成膜)되었다. 하지만 종래의 LP-CVD법을 이용하여 산화막이나 질화막을 성막하였을 경우, 막 두께에 예컨대 2∼4%의 편차가 발생하는 경우가 있었다.
또한 종래의 LP-CVD법에서는 산화막과 질화막의 성막 온도가 다르기 때문에, 연속 성막을 수행할 경우에는 성막 공정 사이에서 온도 조정이 필요하다. 그렇기 때문에 이 막들을 동일한 장치에서 연속적으로 성막하는 것은 장점이 부족하였다. 따라서 종래는 이 막들을 다른 장치를 이용하여 다른 온도대에서 비연속적으로 성막하였다. 하지만 이 경우, 성막 공정 사이의 온도 조정, 즉 기판 온도의 승강에 더해 다른 장치 사이에서의 기판의 반송이나, 반송 후의 압력 조정 등을 수행할 필요가 새롭게 생기는 것에 의해 생산성이 크게 저하하는 경우가 있었다.
본 발명의 목적은 산화막과 질화막의 적층 구조를 가지는 절연막의 막 두께의 균일성을 향상시켜, 성막 시의 생산성을 향상시킬 수 있는 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체를 제공하는데 있다.
본 발명의 일 형태에 의하면,
처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정과, 산화 가스를 공급하는 공정을 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 공정; 및
상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정을 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정;
을 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고,
상기 산화막을 형성하는 공정 및 상기 질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면,
처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 산화 가스 및 환원 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하고, 그 후 재차 상기 산화 가스 및 상기 환원 가스를 공급하여 산화막을 형성하는 공정; 및
상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정을 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정;
을 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고,
상기 산화막을 형성하는 공정 및 상기 질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 기판 처리 방법이 제공된다.
본 발명의 또 다른 형태에 의하면,
기판을 수용하는 처리 용기;
상기 처리 용기 내의 기판을 가열하는 히터;
상기 처리 용기 내의 기판에 대하여 원료 가스를 공급하는 원료 가스 공급계;
상기 처리 용기 내의 기판에 대하여 질화 가스를 공급하는 질화 가스 공급계;
상기 처리 용기 내의 기판에 대하여 산화 가스를 공급하는 산화 가스 공급계; 및
상기 처리 용기 내의 기판에 대하여, 상기 원료 가스를 공급하는 처리와, 상기 질화 가스를 공급하는 처리와, 상기 산화 가스를 공급하는 처리를 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 처리; 및 상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 처리와, 상기 질화 가스를 공급하는 처리를 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 처리;를 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 처리를 수행하고, 상기 산화막을 형성하는 처리 및 상기 질화막을 형성하는 처리가 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되도록, 상기 히터, 상기 원료 가스 공급계, 상기 질화 가스 공급계 및 상기 산화 가스 공급계를 제어하는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 순서와, 질화 가스를 공급하는 순서와, 산화 가스를 공급하는 순서를 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 순서; 및
상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 순서와, 상기 질화 가스를 공급하는 순서를 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 순서;
를 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 순서를 컴퓨터에 실행시키고,
상기 산화막을 형성하는 순서 및 상기 질화막을 형성하는 순서가 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 따르면 산화막과 질화막의 적층 구조를 가지는 절연막의 막 두께의 균일성을 향상시키고, 성막 시의 생산성을 향상시킬 수 있는 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체를 제공할 수 있다.
도 1은 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형(縱型) 처리로의 개략 구성도이며, 처리로 부분을 종(縱)단면도로 도시하는 도면.
도 2는 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A선 단면도로 도시하는 도면.
도 3은 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도.
도 4는 본 발명의 제1 실시 형태에 따른 성막 플로우를 도시하는 도면.
도 5는 본 발명의 제2 실시 형태에 따른 성막 플로우를 도시하는 도면.
도 6은 본 발명의 제3 실시 형태에 따른 성막 플로우를 도시하는 도면.
도 7은 본 발명의 제4 실시 형태에 따른 성막 플로우를 도시하는 도면.
도 8은 본 발명의 제5 실시 형태에 따른 성막 플로우를 도시하는 도면.
도 9는 본 발명의 제1 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면.
도 10은 본 발명의 제2 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면.
도 11은 본 발명의 제3 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면.
도 12는 본 발명의 제4 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면.
도 13은 본 발명의 제5 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면.
도 14는 본 발명의 다른 실시 형태에 따른 성막 플로우를 도시하는 도면.
도 15는 본 발명의 다른 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면.
<본 발명의 제1 실시 형태>
이하, 본 발명의 제1 실시 형태에 대하여 도면을 참조하여 설명한다.
(1) 기판 처리 장치의 구성
도 1은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 종단면도로 도시한다. 또한 도 2는 본 실시 형태에서 바람직하게 이용되는 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 도 1의 A-A선 단면도로 도시한다. 또한 본 발명은 본 실시 형태에 따른 기판 처리 장치에 한정되지 않고, 매엽식, Hot Wall형, Cold Wall형의 처리로를 포함하는 기판 처리 장치에도 바람직하게 적용할 수 있다.
도 1에 도시하는 바와 같이, 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 포함한다. 히터(207)는 원통 형상이며, 보지판(保持板)으로서의 히터 베이스(도시되지 않음)에 지지되는 것에 의해 수직으로 설치된다. 또한 히터(207)는 후술하는 바와 같이, 가스를 열로 활성화시키는 활성화 기구로서도 기능한다.
히터(207)의 내측에는 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 반응관(203)이 배설된다. 반응관(203)은 예컨대 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로부터 이루어지고, 상단이 폐색(閉塞)하고 하단이 개구(開口)한 원통 형상으로 형성된다. 반응관(203)의 통중공부(筒中空部)에는 처리실(201)이 형성되고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향에 다단으로 정렬한 상태에서 수용 가능하도록 구성된다.
처리실(201) 내에는 제1 가스 도입부로서의 제1 노즐(233a)과, 제2 가스 도입부로서의 제2 노즐(233b)이 반응관(203)의 하부 측벽을 관통하도록 설치된다. 제1 노즐(233a)에는 제1 가스 공급관(232a)이 접속된다. 또한 제2 노즐(233b)에는 제2 가스 공급관(232b), 제3 가스 공급관(232c) 및 제4 가스 공급관(232d)이 접속된다. 이와 같이 반응관(203)에는 2개의 노즐(233a, 233b)과 4개의 가스 공급관(232a, 232b, 232c, 232d)이 설치되고, 처리실(201) 내로 복수 종류, 여기서는 4종류의 가스를 공급할 수 있도록 구성된다.
또한 반응관(203)의 하방(下方)에 반응관(203)을 지지하는 금속제의 매니폴드를 설치하고, 각 노즐을 이 금속제의 매니폴드의 측벽을 관통하도록 설치하여도 좋다. 이 경우, 이 금속제의 매니폴드에 후술하는 배기관(231)을 더 설치하여도 좋다. 또한 이 경우에서도 배기관(231)을 금속제의 매니폴드가 아닌 반응관(203)의 하부(下部)에 설치하여도 좋다. 이와 같이 처리로(202)의 노구부(爐口部)를 금속제로 하여 이 금속제의 노구부에 노즐 등을 설치하여도 좋다.
제1 가스 공급관(232a)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241a, MFC) 및 개폐 밸브인 밸브(243a)가 설치된다. 또한 제1 가스 공급관(232a)의 밸브(243a)보다도 하류측에는 제1 불활성 가스 공급관(232e)이 접속된다. 이 제1 불활성 가스 공급관(232e)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241e) 및 개폐 밸브인 밸브(243e)가 설치된다. 또한 제1 가스 공급관(232a)의 선단부(先端部)에는 전술한 제1 노즐(233a)이 접속된다. 제1 노즐(233a)은 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호(圓弧) 형상의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향해서 상승[立上]하도록 설치된다. 즉 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방(側方)의 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 제1 노즐(233a)은 웨이퍼 배열 영역을 따라서 설치된다. 제1 노즐(233a)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부측 벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측(一端側)으로부터 타단측(他端側)을 향해서 상승하도록 설치된다. 제1 노즐(233a)의 측면에는 가스를 공급하는 가스 공급공(248a)이 설치된다. 가스 공급공(248a)은 반응관(203)의 중심을 향하도록 개구하여, 웨이퍼(200)를 향해서 가스를 공급하는 것이 가능하다. 이 가스 공급공(248a)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
주로 제1 가스 공급관(232a), 매스 플로우 컨트롤러(241a), 밸브(243a)에 의해 제1 가스 공급계가 구성된다. 또한 제1 노즐(233a)을 제1 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제1 불활성 가스 공급관(232e), 매스 플로우 컨트롤러(241e), 밸브(243e)에 의해 제1 불활성 가스 공급계가 구성된다. 제1 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제2 가스 공급관(232b)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(24lb, MFC) 및 개폐 밸브인 밸브(243b)가 설치된다. 또한 제2 가스 공급관(232b)의 밸브(243b)보다도 하류측에는 제2 불활성 가스 공급관(232f)이 접속된다. 이 제2 불활성 가스 공급관(232f)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241f) 및 개폐 밸브인 밸브(243f)가 설치된다. 또한 제2 가스 공급관(232b)의 선단부에는 전술한 제2 노즐(233b)이 접속된다. 제2 노즐(233b)은 가스 분산 공간인 버퍼실(237) 내에 설치된다.
버퍼실(237)은 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에 웨이퍼(200)의 적재 방향을 따라 설치된다. 즉 버퍼실(237)은, 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역에 따라서 설치된다. 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부(端部)에는 가스를 공급하는 가스 공급공(248c)이 설치된다. 가스 공급공(248c)은 반응관(203)의 중심을 향하도록 개구하여, 웨이퍼(200)를 향해서 가스를 공급하는 것이 가능하다. 이 가스 공급공(248c)은 반응관(203)의 하부로부터 상부에 걸쳐 복수 설치되고, 각각이 동일한 개구 면적을 포함하고, 또한 같은 개구 피치로 설치된다.
제2 노즐(233b)은 버퍼실(237)의 가스 공급공(248c)이 설치된 단부와 반대측의 단부에 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해서 상승하도록 설치된다. 즉 제2 노즐(233b)은, 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따라서 설치된다. 제2 노즐(233b)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부측 벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해서 상승하도록 설치된다. 제2 노즐(233b)의 측면에는 가스를 공급하는 가스 공급공(248b)이 설치된다. 가스 공급공(248b)은 버퍼실(237)의 중심을 향하도록 개구한다. 이 가스 공급공(248b)은 버퍼실(237)의 가스 공급공(248c)과 마찬가지로, 반응관(203)의 하부로부터 상부에 걸쳐 복수 설치된다. 이 복수의 가스 공급공(248b)의 각각의 개구 면적은, 버퍼실(237) 내와 처리실(201) 내의 차압이 작을 경우에는 상류측(하부)으로부터 하류측(상부)까지 각각 동일한 개구 면적으로 동일한 개구 피치로 하면 좋지만, 차압이 클 경우에는 상류측으로부터 하류측을 향해 각각 개구 면적을 크게 하거나 개구 피치를 작게 하면 좋다.
본 실시 형태에서는 제2 노즐(233b)의 가스 공급공(248b)의 각각의 개구 면적이나 개구 피치를 상류측으로부터 하류측에 걸쳐서 전술한 바와 같이 조절하는 것에 의해, 우선 가스 공급공(248b)의 각각으로부터, 유속의 차이는 있지만 유량이 거의 같은 양인 가스를 분출시킨다. 그리고 이 가스 공급공(248b)의 각각으로부터 분출되는 가스를 일단 버퍼실(237) 내에 도입하고, 버퍼실(237) 내에서 가스의 유속 차이의 균일화를 수행한다. 즉 제2 노즐(233b)의 가스 공급공(248b)의 각각으로부터 버퍼실(237) 내에 분출된 가스는 버퍼실(237) 내에서 각 가스의 입자 속도가 완화된 후, 버퍼실(237)의 가스 공급공(248c)으로부터 처리실(201) 내에 분출한다. 이에 의해 제2 노즐(233b)의 가스 공급공(248b)의 각각으로부터 버퍼실(237) 내에 분출된 가스는 버퍼실(237)의 가스 공급공(248c)의 각각으로부터 처리실(201) 내에 분출될 때에는 균일한 유량과 유속을 가지는 가스가 된다.
주로 제2 가스 공급관(232b), 매스 플로우 컨트롤러(24lb), 밸브(243b)에 의해 제2 가스 공급계가 구성된다. 또한 제2 노즐(233b) 및 버퍼실(237)을 제2 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제2 불활성 가스 공급관(232f), 매스 플로우 컨트롤러(241f), 밸브(243f)에 의해 제2 불활성 가스 공급계가 구성된다. 제2 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제3 가스 공급관(232c)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241c, MFC) 및 개폐 밸브인 밸브(243c)가 설치된다. 또한 제3 가스 공급관(232c)의 밸브(243c)보다도 하류측에는 제3 불활성 가스 공급관(232g)이 접속된다. 이 제3 불활성 가스 공급관(232g)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241g) 및 개폐 밸브인 밸브(243g)가 설치된다. 또한 제3 가스 공급관(232c)의 선단부는 제2 가스 공급관(232b)의 밸브(243b)보다도 하류측에 접속된다.
주로 제3 가스 공급관(232c), 매스 플로우 컨트롤러(241c), 밸브(243c)에 의해 제3 가스 공급계가 구성된다. 또한 제2 가스 공급관(232b)의 제3 가스 공급관(232c)과의 접속부보다도 하류측, 제2 노즐(233b) 및 버퍼실(237)을 제3 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제3 불활성 가스 공급관(232g), 매스 플로우 컨트롤러(241g), 밸브(243g)에 의해 제3 불활성 가스 공급계가 구성된다. 제3 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제4 가스 공급관(232d)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241d, MFC) 및 개폐 밸브인 밸브(243d)가 설치된다. 또한 제4 가스 공급관(232d)의 밸브(243d)보다도 하류측에는 제4 불활성 가스 공급관(232h)이 접속된다. 이 제4 불활성 가스 공급관(232h)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241h) 및 개폐 밸브인 밸브(243h)가 설치된다. 또한 제4 가스 공급관(232d)의 선단부는 제2 가스 공급관(232b)의 밸브(243b)보다도 하류측에 접속된다.
주로 제4 가스 공급관(232d), 매스 플로우 컨트롤러(241d), 밸브(243d)에 의해 제4 가스 공급계가 구성된다. 또한 제2 가스 공급관(232b)의 제4 가스 공급관(232d)과의 접속부보다도 하류측, 제2 노즐(233b) 및 버퍼실(237)을 제4 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제4 불활성 가스 공급관(232h), 매스 플로우 컨트롤러(241h), 밸브(243h)에 의해 제4 불활성 가스 공급계가 구성된다. 제4 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
이와 같이 본 실시 형태에서 가스를 공급하는 방법은 반응관(203)의 내벽 및 적재된 복수 매의 웨이퍼(200)의 단부에 의해 정의되는 원호 형상의 세로로 긴 공간 내에 배치한 노즐(233a, 233b) 및 버퍼실(237)을 경유하여 가스를 반송하고, 노즐(233a, 233b) 및 버퍼실(237)에 각각 개구된 가스 공급공(248a, 248b, 248c)으로부터 웨이퍼(200)의 근방에서 처음으로 반응관(203) 내에 가스를 분출시켜서, 반응관(203) 내에서의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 한다. 이러한 구성으로 하는 것에 의해 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있고, 각 웨이퍼(200)에 형성되는 박막의 막 두께를 균일하게 할 수 있는 효과가 있다. 또한 반응 후의 잔류 가스는 배기구, 즉 후술하는 배기관(231)의 방향을 향해 흐르지만, 이 잔류 가스가 흐르는 방향은 배기구의 위치에 의해 적절히 특정되었고, 수직 방향으로 한정한 것은 아니다.
제1 가스 공급관(232a)으로부터는 소정 원소를 포함하는 원료 가스, 즉 소정 원소로서의 실리콘(Si)을 포함하는 원료 가스(실리콘 함유 가스)로서, 예컨대 헥사클로로디실란(Si2Cl6, 약칭: HCDS)가스가 매스 플로우 컨트롤러(241a), 밸브(243a), 제1 노즐(233a)을 개재하여 처리실(201) 내에 공급된다. 즉 제1 가스 공급계는 원료 가스 공급계(실리콘 함유 가스 공급계)로서 구성된다. 또한 HCDS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용할 경우에는 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여 원료 가스로서 공급한다. 이 때 동시에 제1 불활성 가스 공급관(232e)으로부터 불활성 가스가 매스 플로우 컨트롤러(241e) 및 밸브(243e)를 개재하여 제1 가스 공급관(232a) 내에 공급되어도 좋다.
제2 가스 공급관(232b)으로부터는 질소를 포함하는 가스(질소 함유 가스), 즉 질화 가스로서 예컨대 암모니아(NH3)가스가 매스 플로우 컨트롤러(24lb), 밸브(243b), 제2 노즐(233b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 즉 제2 가스 공급계는 질소 함유 가스 공급계(질화 가스 공급계)로서 구성된다. 이 때 동시에 제2 불활성 가스 공급관(232f)으로부터 불활성 가스가 매스 플로우 컨트롤러(241f) 및 밸브(243f)를 개재하여 제2 가스 공급관(232b) 내에 공급되어도 좋다.
제3 가스 공급관(232c)으로부터는 산소를 포함하는 가스(산소 함유 가스), 즉 산화 가스로서 예컨대 산소(O2)가스가 매스 플로우 컨트롤러(241c), 밸브(243c), 제2 가스 공급관(232b), 제2 노즐(233b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 즉 제3 가스 공급계는 산소 함유 가스 공급계(산화 가스 공급계)로서 구성된다. 이 때 동시에 제3 불활성 가스 공급관(232g)으로부터 불활성 가스가 매스 플로우 컨트롤러(241g) 및 밸브(243g)를 개재하여 제3 가스 공급관(232c) 내에 공급되어도 좋다.
제4 가스 공급관(232d)으로부터는 수소를 포함하는 가스(수소 함유 가스), 즉 환원 가스로서 예컨대 수소(H2) 가스가 매스 플로우 컨트롤러(241d), 밸브(243d), 제2 가스 공급관(232b), 제2 노즐(233b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 즉 제4 가스 공급계는 수소 함유 가스 공급계(환원 가스 공급계)로서 구성된다. 이 때 동시에 제4 불활성 가스 공급관(232h)으로부터 불활성 가스가 매스 플로우 컨트롤러(241h) 및 밸브(243h)를 개재하여 제4 가스 공급관(232d) 내에 공급되어도 좋다.
또한 본 실시 형태에서는 NH3가스와 O2가스와 H2가스를 같은 노즐로부터 처리실(201) 내[버퍼실(237) 내]에 공급하지만, 각각을 별도의 노즐로부터 처리실(201) 내에 공급해도 좋고, H2가스만을 다른 노즐로부터 처리실(201) 내에 공급해도 좋다. 단 복수 종류의 가스로 노즐을 공용으로 하는 것이 노즐의 개수를 저감할 수 있고, 장치 비용을 저감할 수 있고, 메인터넌스도 용이해지는 등의 메리트가 있다. 또한 H2가스와 HCDS가스를 같은 노즐로부터 처리실(201) 내에 공급해도 좋다. 후술하는 성막 온도대에서는 H2가스와 HCDS가스는 반응하지 않지만, NH3가스와 HCDS가스 및 O2가스와 HCDS가스는 각각 반응하는 것이 염려되므로, NH3가스나 O2가스를 공급하는 노즐과 HCDS가스를 공급하는 노즐은 별도로 하는 것이 좋다.
버퍼실(237) 내에는 도 2에 도시하는 바와 같이, 가늘고 긴 구조를 가지는 제1 전극인 제1 봉 형상 전극(269) 및 제2 전극인 제2 봉 형상 전극(270)이 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라 배설된다. 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)의 각각은 제2 노즐(233b)과 평행으로 설치된다. 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)의 각각은 상부로부터 하부에 걸쳐 각 전극을 보호하는 보호관인 전극 보호관(275)에 의해 피복되는 것에 의해 보호된다. 이 제1 봉 형상 전극(269) 또는 제2 봉 형상 전극(270) 중 어느 일방(一方)은 정합기(272)를 개재하여 고주파 전원(273)에 접속되고, 타방(他方)은 기준 전위인 어스에 접속된다. 정합기(272)를 개재하여 고주파 전원(273)으로부터 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가하는 것에 의해, 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다. 주로 제1 봉 형상 전극(269), 제2 봉 형상 전극(270), 전극 보호관(275)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 플라즈마원(源)이 구성된다. 또한 정합기(272), 고주파 전원(273)을 플라즈마원에 포함시켜서 생각해도 좋다. 또한 플라즈마원은 후술하는 바와 같이 가스를 플라즈마로 활성화시키는 활성화 기구로서 기능한다.
전극 보호관(275)은 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)의 각각을 버퍼실(237)의 분위기와 격리한 상태에서 버퍼실(237) 내에 삽입할 수 있는 구조이다. 여기서 전극 보호관(275)의 내부의 산소 농도가 외기(外氣)[대기(大氣)]의 산소 농도와 같은 정도이면, 전극 보호관(275)에 각각 삽입된 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)은 히터(207)에 의한 열로 산화된다. 그렇기 때문에 전극 보호관(275)의 내부를 질소 가스 등의 불활성 가스로 충전해 두거나, 전극 보호관(275)의 내부를 불활성 가스 퍼지 기구를 이용하여 질소 가스 등의 불활성 가스로 퍼지하는 것에 의해, 전극 보호관(275)의 내부의 산소 농도를 저감시켜서 제1 봉 형상 전극(269) 또는 제2 봉 형상 전극(270)의 산화를 방지할 수 있도록 구성된다.
반응관(203)에는 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치된다. 배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 개재하여 진공 배기 장치로서의 진공 펌프(246)가 접속된다. 또한 APC 밸브(244)는 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐하는 것에 의해 처리실(201) 내의 진공 배기 및 진공 배기 정지를 수행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서 밸브 개도를 조절하는 것에 의해, 처리실(201) 내의 압력을 조정할 수 있도록 구성된 밸브다. 주로 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 또한 진공 펌프(246)를 배기계에 포함시켜서 생각해도 좋다. 배기계는 진공 펌프(246)를 작동시키면서 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 APC 밸브(244)의 밸브의 개도를 조절하는 것에 의해, 처리실(201) 내의 압력이 소정의 압력(진공도)이 되도록 진공 배기 할 수 있도록 구성된다.
반응관(203)의 하방에는 반응관(203)의 하단 개구를 기밀하게 폐색 가능한 노구(爐口) 개체(蓋體)로서의 씰 캡(219)이 설치된다. 씰 캡(219)은 반응관(203)의 하단에 수직 방향 하측으로부터 당접(當接)되도록 구성된다. 씰 캡(219)은 예컨대 스텐레스 등의 금속으로부터 이루어지고, 원반 형상으로 형성된다. 씰 캡(219)의 상면(上面)에는 반응관(203)의 하단과 당접하는 씰 부재로서의 O링(220)이 설치된다. 씰 캡(219)의 처리실(201)과 반대측에는 후술하는 기판 보지구로서의 보트(217)를 회전시키는 회전 기구(267)가 설치된다. 회전 기구(267)의 회전축(255)은 씰 캡(219)을 관통하여 보트(217)에 접속된다. 회전 기구(267)는 보트(217)를 회전시키는 것에 의해 웨이퍼(200)를 회전시키도록 구성된다. 씰 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성된다. 보트 엘리베이터(115)는 씰 캡(219)을 승강시키는 것에 의해, 보트(217)를 처리실(201) 내외에 반입 및 반출하는 것이 가능하도록 구성된다. 즉 보트 엘리베이터(115)는 보트(217), 즉 웨이퍼(200)를 처리실(201) 내외에 반송하는 반송 장치(반송 기구)로서 구성된다.
기판 지지구(支持具)로서의 보트(217)는 예컨대 석영이나 탄화규소 등의 내열성 재료로부터 이루어지고, 복수 매의 웨이퍼(200)를 수평 자세로 또한 서로 중심을 맞춘 상태에서 정렬시켜서 다단으로 지지하도록 구성된다. 또한 보트(217)의 하부에는 예컨대 석영이나 탄화규소 등의 내열성 재료로부터 이루어지는 단열 부재(218)가 설치되고, 히터(207)로부터의 열이 씰 캡(219)측에 전달되기 어렵도록 구성된다. 또한 단열 부재(218)는 석영이나 탄화규소 등의 내열성 재료로부터 이루어지는 복수 매의 단열판 및 이 단열판들을 수평 자세로 다단으로 지지하는 단열판 홀더에 의해 구성해도 좋다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태를 조정하는 것에 의해, 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성된다. 온도 센서(263)는 제1 노즐(233a) 및 제2 노즐(233b)과 마찬가지로 L자형으로 구성되고, 반응관(203)의 내벽을 따라 설치된다.
도 3에 도시하는 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는 CPU(121a, Central Processing Unit), RAM(12lb, Random Access Memory), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성된다. RAM(12lb), 기억 장치(121c) 및 I/O 포트(121d)는 내부 버스(121e)를 개재하여 CPU(121a)와 데이터 교환 가능하도록 구성된다. 컨트롤러(121)에는 예컨대 터치 패널 등으로서 구성된 입출력 장치(122)가 접속된다.
기억 장치(121c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(121c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피 등이 독출 가능하도록 격납(格納)된다. 또한 프로세스 레시피는 후술하는 기판 처리 공정에서의 각 순서를 컨트롤러(121)에 실행시켜서 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 또한 본 명세서에서 프로그램이라는 단어를 이용하는 경우로서는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양방(兩方)을 포함하는 경우가 있다. 또한 RAM(12lb)는 CPU(121a)에 의해 독출된 프로그램이나 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(121d)는 전술한 매스 플로우 컨트롤러(241a, 24lb, 241c, 241d, 241e, 241f, 241g, 241h), 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 회전 기구(267), 보트 엘리베이터(115), 고주파 전원(273) 및 정합기(272) 등에 접속된다.
CPU(121a)는 기억 장치(121c)로부터 제어 프로그램을 독출하여 실행하는 것과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(121c)로부터 프로세스 레시피를 독출하도록 구성된다. 그리고 CPU(121a)는 독출한 프로세스 레시피의 내용을 따르도록 매스 플로우 컨트롤러(241a, 24lb, 241c, 241d, 241e, 241f, 241g, 241h)에 의한 각종 가스의 유량 조정 동작; 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h)의 개폐 동작; APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작; 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작; 진공 펌프(246)의 기동 및 정지; 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작; 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작; 고주파 전원(273)의 전력 공급; 및 정합기(272)에 의한 임피던스 조정 동작; 등을 제어하도록 구성된다.
또한 컨트롤러(121)는 전용의 컴퓨터로서 구성되는 경우에 한정되지 않고, 범용 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(123)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크; CD나 DVD 등의 광(光) 디스크; MO 등의 광 자기 디스크; 및 USB 메모리나 메모리 카드 등의 반도체 메모리]를 준비하고, 이러한 외부 기억 장치(123)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시 형태에 따른 컨트롤러(121)를 구성할 수 있다. 또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(123)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 인터넷이나 전용 회선 등의 통신 수단을 이용하여 외부 기억 장치(123)를 개재하지 않고 프로그램을 공급해도 좋다. 또한 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 또한 본 명세서에서 기록 매체라는 단어를 이용하는 경우로서는 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양방을 포함하는 경우가 있다.
(2) 기판 처리 공정
다음으로 전술한 기판 처리 장치의 처리로를 이용하여 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판 상에 제1 산화막, 질화막, 제2 산화막이 순서대로 적층되어 이루어지는 ONO 적층 구조의 절연막을 형성하는 예에 대하여 도 4, 도 9를 이용하여 설명한다. 도 4는 본 실시 형태에 따른 성막 플로우를 도시하는 도면이다. 도 9는 본 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면이다. 또한 이하의 설명에서 기판 처리 장치를 구성하는 각(各) 부(部)의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태에서는,
처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스(질소 함유 가스)를 공급하는 공정과, 산화 가스(산소 함유 가스)를 공급하는 공정을 포함하는 제1 사이클을 소정 횟수 수행하여 기판 상에 제1 산화막을 형성하는 공정;
처리 용기 내의 상기 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스(질소 함유 가스)를 공급하는 공정을 포함하는 제2 사이클을 소정 횟수 수행하여 제1 산화막 상에 질화막을 형성하는 공정; 및
처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스(질소 함유 가스)를 공급하는 공정과, 산화 가스(산소 함유 가스)를 공급하는 공정을 포함하는 제3 사이클을 소정 횟수 수행하여 질화막 상에 제2 산화막을 형성하는 공정;
을 포함하고,
제1 산화막을 형성하는 공정, 질화막을 형성하는 공정 및 제2 산화막을 형성하는 공정은 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행된다.
즉 본 실시 형태에서는,
대기압 미만의 압력 하에 있는 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질소 함유 가스를 공급하는 공정과, 산소 함유 가스를 공급하는 공정을 교호적으로 반복하는 것에 의해 기판 상에 제1 산화막을 형성하는 공정;
대기압 미만의 압력 하에 있는 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질소 함유 가스를 공급하는 공정을 교호적으로 반복하는 것에 의해 제1 산화막 상에 질화막을 형성하는 공정; 및
대기압 미만의 압력 하에 있는 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질소 함유 가스를 공급하는 공정과, 산소 함유 가스를 공급하는 공정을 교호적으로 반복하는 것에 의해, 질화막 상에 제2 산화막을 형성하는 공정;을 실시한다.
여기서 제1 산화막을 형성하는 공정, 질화막을 형성하는 공정 및 제2 산화막을 형성하는 공정은 기판의 온도를 동일한 온도대로 보지하면서 연속적으로 수행된다.
또한 본 실시 형태에 따른 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정에서는 각각, 원료 가스를 공급하는 공정과, 질소 함유 가스를 공급하는 공정과, 산소 함유 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복한다. 여기서 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정에서는 산소 함유 가스를 플라즈마에 의해 활성화하여 공급한다.
또한 본 실시 형태에 따른 질화막을 형성하는 공정에서는 원료 가스를 공급하는 공정과, 질소 함유 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복한다. 여기서 질화막을 형성하는 공정에서는 질소 함유 가스를 열에 의해 또는 플라즈마에 의해 활성화하여 공급한다.
이하, 본 실시 형태의 성막 시퀀스를 구체적으로 설명한다. 여기서는 원료 가스로서 HCDS가스를, 질소 함유 가스로서 NH3가스를, 산소 함유 가스로서 O2가스를, 퍼지 가스로서 N2가스를 이용하고, 기판으로서의 웨이퍼(200) 상에 제1 산화막으로서 실리콘 산화막(SiO2막, 이하, 제1 실리콘 산화막, 또는 제1 SiO막이라고도 부른다)을 형성한다. 그 후 원료 가스로서 HCDS가스를, 질소 함유 가스로서 NH3가스를, 퍼지 가스로서 N2가스를 이용하고, 하지막(下地膜)으로서의 제1 실리콘 산화막 상에 질화막으로서 실리콘 질화막(Si3N4막, 이하, SiN막이라고도 부른다)을 형성한다. 그 후, 원료 가스로서 HCDS가스를, 질소 함유 가스로서 NH3가스를, 산소 함유 가스로서 O2가스를, 퍼지 가스로서 N2가스를 이용하고, 하지막으로서의 실리콘 질화막 상에 제2 산화막으로서 실리콘 산화막(SiO2막, 이하, 제2 실리콘 산화막, 또는 제2 SiO막이라고도 부른다)을 형성한다. 이에 의해 웨이퍼(200) 상에 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막이 순서대로 적층되어 이루어지는 ONO 적층 구조의 절연막을 형성한다. 또한 후술하는 바와 같이, 제1 실리콘 산화막 형성 공정, 실리콘 질화막 형성 공정, 제2 실리콘 산화막 형성 공정은 웨이퍼(200)의 온도를 마찬가지의 온도대로 보지하면서 동일한 처리 용기 내에서[인시츄(in-situ)로] 연속적으로 수행된다.
또한 본 명세서에서 「웨이퍼」라는 단어를 이용하는 경우로서는 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과의 적층체(집합체)」를 의미하는 경우(즉 표면에 형성된 소정의 층이나 막 등을 포함해서 웨이퍼라고 칭할 경우)가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 이용하는 경우로서는 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면(最表面)」을 의미하는 경우가 있다.
따라서 본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」고 기재하는 경우로서는 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한 본 명세서에서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」고 기재하는 경우로서는 「웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등의 상에, 즉 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
또한 본 명세서에서 「기판」이라는 단어를 이용하는 경우에도 「웨이퍼」라는 단어를 이용한 경우와 마찬가지이며, 그와 같은 경우, 상기 설명에서 「웨이퍼」를 「기판」으로 전환해서 생각하면 좋다.
(웨이퍼 차지 및 보트 로드)
복수 매의 웨이퍼(200)가 보트(217)에 장전(裝塡, 웨이퍼 차지)되면, 도 1에 도시하는 바와 같이, 복수 매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어 올려져서 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서 씰 캡(219)은 O링(220)을 개재하여 반응관(203)의 하단을 밀봉한 상태가 된다.
(압력 조정 및 온도 조정)
처리실(201) 내가 원하는 압력(진공도)이 되도록 진공 펌프(246)에 의해 진공 배기된다. 이 때, 처리실(201) 내의 압력은 압력 센서(245)에 의해 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다(압력 조정). 또한 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 완료할 때까지 상시 작동시킨 상태를 유지한다. 또한 처리실(201) 내가 원하는 온도가 되도록 히터(207)에 의해 가열된다. 이 때, 처리실(201) 내가 원하는 온도 분포가 되도록 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다(온도 조정). 또한 히터(207)에 의한 처리실(201) 내의 가열은 적어도 웨이퍼(200)에 대한 처리가 완료할 때까지 계속해서 수행된다. 계속해서 회전 기구(267)에 의해 보트(217) 및 웨이퍼(200)의 회전을 시작한다. 또한 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은 적어도 웨이퍼(200)에 대한 처리가 완료할 때까지 계속해서 수행된다.
(제1 실리콘 산화막 형성 공정)
그 후, 이하의 스텝1a∼6a를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 웨이퍼(200) 상에 소정 막 두께의 제1 실리콘 산화막을 성막한다.
[스텝1a]
제1 가스 공급관(232a)의 밸브(243a)를 열어, 제1 가스 공급관(232a)에 HCDS가스를 흘린다. HCDS가스는 제1 가스 공급관(232a)으로부터 흘러 매스 플로우 컨트롤러(241a)에 의해 유량 조정된다. 유량 조정된 HCDS가스는 제1 노즐(233a)의 가스 공급공(248a)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다(HCDS가스 공급).
이 때, 제1 불활성 가스 공급관(232e)의 밸브(243e)를 열어, 제1 불활성 가스 공급관(232e)으로부터 불활성 가스로서 N2가스를 공급해도 좋다. N2가스는 매스 플로우 컨트롤러(241e)에 의해 유량 조정되어 제1 가스 공급관(232a) 내에 공급된다. 유량 조정된 N2가스는 제1 가스 공급관(232a) 내에서 유량 조정된 HCDS가스와 혼합되어, 제1 노즐(233a)의 가스 공급공(248a)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 또한 이 때, 버퍼실(237) 내나, 제2 노즐(233b) 내로의 HCDS가스의 침입을 방지하기 위하여, 밸브(243f, 243g, 243h)를 열어 제2 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232g), 제4 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제4 가스 공급관(232d), 제2 노즐(233b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때, APC 밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 대기압 미만, 예컨대 10∼1,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(241a)에 의해 제어되는 HCDS가스의 공급 유량은 예컨대 10∼1,000sccm(0.01∼1slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241e, 241f, 241g, 241h)에 의해 제어되는 N2가스의 공급 유량은 각각 예컨대 100∼2,000sccm(0.1∼2slm)의 범위 내의 유량으로 한다. HCDS가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1∼120초의 범위 내의 시간으로 한다. 히터(207)의 온도는 전술한 압력대에서 처리실(201) 내에서 CVD 반응이 일어날 수 있는 온도가 되도록 설정한다. 즉 웨이퍼(200)의 온도가 예컨대 350∼700℃의 범위 내의 온도가 되도록 히터(207)의 온도를 설정한다. 또한 웨이퍼(200)의 온도가 350℃ 미만이 되면 웨이퍼(200) 상에서 HCDS가 분해하고, 흡착하는 것이 어려워진다. 또한 웨이퍼(200)의 온도가 700℃를 넘으면 CVD 반응이 강해져 막 두께의 균일성의 악화가 현저해진다. 따라서 웨이퍼(200)의 온도는 350∼700℃로 하는 것이 바람직하다.
전술한 조건, 즉 CVD 반응이 일어나는 조건 하에서 HCDS가스를 처리실(201) 내에 공급하는 것에 의해, 웨이퍼(200)(표면의 하지막) 상에 예컨대 1원자층 미만으로부터 수원자층 정도의 두께의 실리콘 함유층이 형성된다. 실리콘 함유층은 HCDS가스의 흡착층이어도 좋고, 실리콘층(Si층)이어도 좋고, 그 양방을 포함해도 좋다. 단 실리콘 함유층은 실리콘(Si) 및 염소(Cl)을 포함하는 층인 것이 바람직하다.
여기서 실리콘층이란 실리콘(Si)에 의해 구성되는 연속적인 층 외에 불연속적인 층이나, 이들이 중첩하여 생기는 실리콘 박막도 포함한 총칭이다. 또한 Si에 의해 구성되는 연속적인 층을 실리콘 박막이라고 부르는 경우도 있다. 또한 실리콘층을 구성하는 Si는 Cl과의 결합이 완전히 분리되지 않은 것도 포함한다.
또한 HCDS가스의 흡착층은 HCDS가스의 가스 분자의 연속적인 화학 흡착층 외에 불연속적인 화학 흡착층도 포함한다. 즉 HCDS가스의 흡착층은 HCDS 분자로 구성되는 1분자층 또는 1분자층 미만의 두께의 화학 흡착층을 포함한다. 또한 HCDS가스의 흡착층을 구성하는 HCDS(Si2Cl6) 분자는 Si와 Cl과의 결합이 일부 분리된 것(SixCly 분자)도 포함한다. 즉 HCDS의 흡착층은 Si2Cl6 분자 및/또는 SixCly 분자가 연속적인 화학 흡착층이나 불연속적인 화학 흡착층을 포함한다. 또한 1원자층 미만의 두께의 층이란 불연속적으로 형성되는 원자층을 의미하고, 1원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미한다. 또한 1분자층 미만의 두께의 층이란 불연속적으로 형성되는 분자층을 의미하고, 1분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미한다.
HCDS가스가 자기분해(自己分解, 열분해)하는 조건 하, 즉 HCDS의 열분해 반응이 일어나는 조건 하에서는 웨이퍼(200) 상에 Si가 퇴적(堆積)하는 것에 의해 실리콘층이 형성된다. HCDS가스가 자기분해(열분해)하지 않는 조건 하, 즉 HCDS의 열분해 반응이 일어나지 않는 조건 하에서는 웨이퍼(200) 상에 HCDS가스가 흡착하는 것에 의해 HCDS가스의 흡착층이 형성된다. 또한 웨이퍼(200) 상에 HCDS가스의 흡착층을 형성하는 것 보다도 웨이퍼(200) 상에 실리콘층을 형성하는 것이 성막 레이트를 높게 할 수 있어 바람직하다.
웨이퍼(200) 상에 형성되는 실리콘 함유층의 두께가 수(數) 원자층을 넘으면, 후술하는 스텝3a 및 스텝5a에서의 개질의 작용이 실리콘 함유층의 전체에 전달되지 않는다. 또한 웨이퍼(200) 상에 형성 가능한 실리콘 함유층의 두께의 최소값은 1원자층 미만이다. 따라서 실리콘 함유층의 두께는 1원자층 미만으로부터 수원자층 정도로 하는 것이 바람직하다. 또한 실리콘 함유층의 두께를 1원자층 이하, 즉 1원자층 또는 1원자층 미만으로 하는 것에 의해, 후술하는 스텝3a 및 스텝5a에서의 개질 반응의 작용을 상대적으로 높일 수 있어, 스텝3a 및 스텝5a의 개질 반응에 요하는 시간을 단축할 수 있다. 스텝1a의 실리콘 함유층 형성에 요하는 시간을 단축할 수도 있다. 결과적으로 1사이클당의 처리 시간을 단축할 수 있고, 토탈에서의 처리 시간을 단축하는 것도 가능하다. 즉 성막 레이트를 높게 하는 것도 가능하다. 또한 실리콘 함유층의 두께를 1원자층 이하로 하는 것에 의해, 막 두께의 균일성의 제어성을 높이는 것도 가능하다.
원료 가스(실리콘 함유 가스)로서는 헥사클로로디실란(Si2Cl6, 약칭: HCDS)가스 외에 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC)가스, 트리클로로실란(SiHCl3, 약칭: TCS)가스, 디클로로실란(SiH2Cl2, 약칭: DCS)가스, 모노클로로실란(SiH3Cl, 약칭: MCS)가스, 모노실란(SiH4)가스 등의 무기 원료뿐만 아니라, 아미노실란계의 테트라키스디메틸아미노실란(Si[N(CH3)2]4, 약칭: 4DMAS)가스, 트리스디메틸아미노실란(Si[N(CH3)2]3H, 약칭: 3DMAS)가스, 비스디에틸아미노실란(Si[N(C2H5)2]2H2, 약칭: 2DEAS)가스, 비스터셔리부틸아미노실란(SiH2[NH(C4H9)]2, 약칭: BTBAS)가스 등의 유기 원료를 이용해도 좋다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희(希)가스를 이용해도 좋다.
[스텝2a]
웨이퍼(200) 상에 실리콘 함유층이 형성된 후, 제1 가스 공급관(232a)의 밸브(243a)를 닫고, HCDS가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(244)는 연 채로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 HCDS가스를 처리실(201) 내로부터 배제한다. 또한 밸브(243e, 243f, 243g, 243h)는 연 채로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 HCDS가스를 처리실(201) 내로부터 배제하는 효과를 더욱 높일 수 있다(잔류 가스 제거).
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋으며, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝3a에서 악영향이 일어나지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대(大)유량으로 할 필요는 없으며, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해, 스텝3a에서 악영향이 일어나지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해, 퍼지 시간을 단축하고, 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능하다.
이 때의 히터(207)의 온도는 웨이퍼(200)의 온도가 HCDS가스의 공급 시와 마찬가지로 350∼700℃의 범위 내의 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100∼2,000sccm(0.1∼2slm)의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
[스텝3a]
처리실(201) 내의 잔류 가스를 제거한 후, 제2 가스 공급관(232b)의 밸브(243b)를 열어 제2 가스 공급관(232b)에 NH3가스를 흘린다. NH3가스는 제2 가스 공급관(232b)으로부터 흘러, 매스 플로우 컨트롤러(24lb)에 의해 유량 조정된다. 유량 조정된 NH3가스는 제2 가스 공급관(232b)을 경유하여, 제2 노즐(233b)의 가스 공급공(248b)으로부터 가열된 감압 상태의 버퍼실(237) 내에 공급된다. 이 때, 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가하면, 버퍼실(237) 내에 공급된 NH3가스는 플라즈마로 활성화된다. 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가하지 않으면, 버퍼실(237) 내에 공급된 NH3가스는 열로 활성화되지 않는다. 본 실시 형태에서는 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가하지 않는 것에 의해, 버퍼실(237) 내에 공급된 NH3가스를 열로 활성화한다. 이에 의해 버퍼실(237) 내에 공급된 NH3가스는 열로 활성화되어, 버퍼실(237)의 가스 공급공(248c)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다(NH3가스 공급). 또한 NH3가스는 플라즈마로 활성화시켜서 공급할 수도 있지만, 열로 활성화시켜서 공급하는 것이 소프트한 반응을 일으킬 수 있고, 후술하는 질화를 소프트하게 수행할 수 있다.
이 때, 제2 불활성 가스 공급관(232f)의 밸브(243f)를 열어 제2 불활성 가스 공급관(232f)으로부터 불활성 가스로서 N2가스를 공급해도 좋다. N2가스는 매스 플로우 컨트롤러(241f)에 의해 유량 조정되어서 제2 가스 공급관(232b) 내에 공급된다. 유량 조정된 N2가스는 제2 가스 공급관(232b) 내에서 유량 조정된 NH3가스와 혼합되어, 제2 노즐(233b)의 가스 공급공(248b)으로부터 가열된 감압 상태의 버퍼실(237) 내에 공급되고, 버퍼실(237)의 가스 공급공(248c)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 또한 이 때, 제1 노즐(233a) 내나, 제3 가스 공급관(232c) 내나, 제4 가스 공급관(232d) 내로의 NH3가스의 침입을 방지하기 위하여 밸브(243e, 243g, 243h)를 열어 제1 불활성 가스 공급관(232e), 제3 불활성 가스 공급관(232g), 제4 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a), 제3 가스 공급관(232c), 제4 가스 공급관(232d), 제1 노즐(233a), 제2 노즐(233b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때, APC 밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 대기압 미만, 예컨대 1∼3,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(24lb)에 의해 제어되는 NH3가스의 공급 유량은 예컨대 100∼10,000sccm(0.1∼10slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241f, 241e, 241g, 241h)에 의해 제어되는 N2가스의 공급 유량은 각각 예컨대 100∼2,000sccm(0.1∼2slm)의 범위 내의 유량으로 한다. NH3가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1∼120초의 범위 내의 시간으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가 스텝1a의 HCDS가스의 공급 시와 마찬가지의 온도대, 즉 350∼700℃의 범위 내의 온도가 되도록 설정한다. 또한 이 범위 내의 온도라면 감압 분위기 하에서의 NH3가스에 의한 질화의 효과, 즉 실리콘 함유층의 질화 반응을 얻을 수 있다는 것을 확인하였다. 또한 웨이퍼(200)의 온도가 지나치게 낮으면 질화의 효과를 얻을 수 없다는 것도 확인하였다. 스루풋을 고려하면, 이와 같이 스텝1a∼스텝3a에서 처리실(201) 내의 온도를 마찬가지의 온도대로 보지하도록 히터(207)의 온도를 설정하는 것이 바람직하다. 또한 스텝1a∼스텝6a(후술)에 걸쳐서 처리실(201) 내의 온도를 마찬가지의 온도대로 보지하도록 히터(207)의 온도를 설정하는 것이 더욱 바람직하다. 이 경우, 스텝1a∼스텝6a(후술)에 걸쳐서 처리실(201) 내의 온도가 350∼700℃의 범위 내의 일정한 온도가 되도록 히터(207)의 온도를 설정한다.
전술한 조건에서 NH3가스를 처리실(201) 내에 공급하는 것에 의해, NH3가스는 가열된 감압 분위기 하에서 논 플라즈마로 열적으로 활성화되거나 또는 열분해하여 질소를 포함하는 질화종이 생성된다. 이 때, 처리실(201) 내에는 HCDS가스를 흘리지 않기 때문에 NH3가스는 기상(氣相) 반응을 일으키지 않고, NH3가스가 열적으로 활성화되거나 또는 열분해하는 것에 의해 얻어진 질화종은 스텝1a에서 웨이퍼(200) 상에 형성된 실리콘 함유층의 적어도 일부와 반응한다. 이에 의해 실리콘 함유층에 대하여 질화 처리가 수행되고, 이 질화 처리에 의해 실리콘 함유층은 실리콘 질화층(Si3N4층, 이하, 단순히 SiN층이라고도 부른다)으로 변화한다(개질된다).
또한 이 때 전술한 바와 같이 NH3가스를 플라즈마로 활성화시켜서 흘릴 수도 있다. NH3가스를 플라즈마로 활성화시켜서 흘리는 것에 의해, 보다 에너지가 높은 활성종을 포함하는 질화종을 생성할 수 있고, 이 질화종에 의해 질화 처리를 수행하는 것에 의해, 디바이스 특성이 향상하는 등의 효과도 고려된다. NH3가스를 플라즈마로 활성화시킬 경우, 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전원(273)으로부터 정합기(272)를 개재하여 고주파 전력을 인가하는 것에 의해, 버퍼실(237) 내에 공급된 NH3가스는 플라즈마로 활성화되어[플라즈마 여기(勵起)되어], NH3 *(암모니아의 활성종)을 포함하는 가스(질화종)로서 가스 공급공(248c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때, 고주파 전원(273)으로부터 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 인가하는 고주파 전력은 예컨대 50∼1,000W의 범위 내의 전력이 되도록 설정한다. 그 외의 처리 조건은 전술한 처리 조건과 마찬가지이다. 또한 전술한 온도대에서는 NH3가스는 열로 충분히 활성화되어 충분한 양의 질화종이 생성된다. 따라서 NH3가스를 논 플라즈마로 열적으로 활성화시켜도 충분한 질화력을 얻을 수 있다. 또한 전술한 바와 같이, NH3가스는 열로 활성화시켜서 공급하는 것이 소프트한 반응을 일으킬 수 있어, 전술한 질화 처리를 소프트하게 수행할 수 있다.
질소 함유 가스로서는 NH3가스 외에 디아젠(N2H2)가스, 히드라진(N2H4)가스, N3H8가스나, 아민계의 가스 등을 이용해도 좋다.
[스텝4a]
실리콘 함유층을 실리콘 질화층으로 변화시킨 후, 제2 가스 공급관(232b)의 밸브(243b)를 닫고, NH3가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(244)는 연 채로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 질화층 형성에 기여한 후의 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 밸브(243f, 243e, 243g, 243h)는 연 채로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 실리콘 질화층 형성에 기여한 후의 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 더욱 높일 수 있다(잔류 가스 제거).
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋으며, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝5a에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없으며, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해, 스텝5a에서 악영향이 발생하지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해, 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비를 필요 최소한으로 억제하는 것도 가능하다.
이 때의 히터(207)의 온도는 웨이퍼(200)의 온도가 NH3가스의 공급 시와 마찬가지로 350∼700℃의 범위 내의 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100∼2,000sccm(0.1∼2slm)의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
[스텝5a]
처리실(201) 내의 잔류 가스를 제거한 후, 제3 가스 공급관(232c)의 밸브(243c)를 열어 제3 가스 공급관(232c)에 O2가스를 흘린다. O2가스는 제3 가스 공급관(232c)으로부터 흘러, 매스 플로우 컨트롤러(241c)에 의해 유량 조정된다. 유량 조정된 O2가스는 제2 가스 공급관(232b)을 경유하여, 제2 노즐(233b)의 가스 공급공(248b)으로부터 가열된 감압 상태의 버퍼실(237) 내에 공급된다. 이 때 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가한다. 이에 의해 버퍼실(237) 내에 공급된 O2가스는 플라즈마로 활성화되어(플라즈마 여기되어), 활성종을 포함하는 가스, 즉 O2 *(산소의 활성종)을 포함하는 가스(산화종)로서 가스 공급공(248c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다(O2 *가스 공급).
이 때, 제3 불활성 가스 공급관(232g)의 밸브(243g)를 열어, 제3 불활성 가스 공급관(232g)으로부터 불활성 가스로서 N2가스를 공급해도 좋다. N2가스는 매스 플로우 컨트롤러(241g)에 의해 유량 조정되어 제3 가스 공급관(232c) 내에 공급된다. 유량 조정된 N2가스는 제3 가스 공급관(232b) 내에서 유량 조정된 O2가스와 혼합되어, 제2 노즐(233b)의 가스 공급공(248b)으로부터 가열된 감압 상태의 버퍼실(237) 내에 공급되고, 버퍼실(237)의 가스 공급공(248c)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 또한 이 때, 제1 노즐(233a) 내나, 제2 가스 공급관(232b)의 상류측이나, 제4 가스 공급관(232d) 내로의 NH3가스의 침입을 방지하기 위하여 밸브(243e, 243f, 243h)를 열어 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f), 제4 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제4 가스 공급관(232d), 제1 노즐(233a), 제2 노즐(233b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때, APC 밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 대기압 미만, 예컨대 1∼1,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(241c)에 의해 제어되는 O2가스의 공급 유량은 예컨대 100∼10,000sccm(0.1∼10slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241g, 241e, 241f, 241h)에 의해 제어되는 N2가스의 공급 유량은 각각 예컨대 100∼2,000sccm(0.1∼2slm)의 범위 내의 유량으로 한다. 고주파 전원(273)으로부터 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 인가하는 고주파 전력은 예컨대 50∼1,000W의 범위 내의 전력이 되도록 설정한다. 또한 O2가스를 플라즈마로 활성화하는 것에 의해 얻어진 산화종을 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1∼120초의 범위 내의 시간으로 한다. 웨이퍼(200)의 온도가 스텝1a의 HCDS가스의 공급 시나 스텝3a의 NH3가스의 공급 시와 마찬가지의 온도대 즉 350∼700℃의 범위 내의 온도가 되도록, 히터(207)의 온도는 설정된다. 스루풋을 고려하면, 이와 같이 스텝1a∼5a에서 처리실(201) 내의 온도를 마찬가지의 온도대로 보지하도록 히터(207)의 온도를 설정하는 것이 바람직하다. 또한 스텝1a∼스텝6a(후술)에 걸쳐서 처리실(201) 내의 온도를 마찬가지의 온도대로 보지하도록 히터(207)의 온도를 설정하는 것이 더욱 바람직하다는 것은 전술한 바와 같다.
전술한 조건에서 산화종, 즉 O2 *을 포함하는 가스를 처리실(201) 내에 공급하는 것에 의해, 주로 O2 *의 작용에 의해, 스텝3a에서 웨이퍼(200) 상에 형성된 실리콘 질화층에 대하여 산화 처리가 수행된다. 또한 이 때 처리실(201) 내에는 HCDS가스도 NH3가스도 흘리지 않기 때문에, 기상 반응은 일어나지 않는다. 이 산화 처리에서는 실리콘 질화층에 O성분이 부가되면서, 주로 O2 *의 에너지에 의해 실리콘 질화층으로부터 N 성분이 탈리(脫離)한다. 그리고 실리콘 질화층에서의 Si-O 결합이 증가하는 한편, Si-N 결합 및 Si-Si 결합이 감소하고, 실리콘 질화층에서의 N 성분의 비율 및 Si 성분의 비율은 감소한다. 특히 N 성분은 그 대부분이 탈리하는 것에 의해 불순물 수준에까지 감소하거나 실질적으로 소멸한다. 그리고 이 산화 처리에 의해 실리콘 질화층은 실리콘 산화층(SiO2층, 이하, 단순히 SiO층이라고도 부른다)으로 변화한다(개질된다).
산소 함유 가스로서는 O2가스 외에 아산화질소(N2O)가스, 일산화질소(NO)가스, 이산화질소(NO2)가스, 오존(O3)가스, 수소(H2)가스+산소(O2)가스, H2가스+O3가스, 수증기(H2O)가스, 일산화탄소(CO)가스, 이산화탄소(CO2)가스 등을 이용해도 좋다.
[스텝6a]
실리콘 질화층을 실리콘 산화층으로 변화시킨 후, 제3 가스 공급관(232c)의 밸브(243c)를 닫고, O2가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(244)는 연 채로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 산화층 형성에 기여한 후의 O2가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 밸브(243g, 243e, 243f, 243h)는 연 채로 하여, 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 실리콘 산화층 형성에 기여한 후의 O2가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 더욱 높일 수 있다(잔류 가스 제거).
또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋으며, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝1a에서 악영향은 일어나지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없으며, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해, 스텝1a에서 악영향이 일어나지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해, 퍼지 시간을 단축하고, 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능하다.
웨이퍼(200)의 온도가 O2가스의 공급 시와 마찬가지로 350∼700℃의 범위 내의 온도가 되도록, 이 때의 히터(207)의 온도가 설정된다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100∼2,000sccm(0.1∼2slm)의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
전술한 스텝1a∼6a를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 웨이퍼(200) 상에 소정 막 두께의 제1 실리콘 산화막을 성막할 수 있다. 제1 실리콘 산화막은 후술하는 공정에서 형성하는 실리콘 질화막의 하지막이 된다.
또한 전술한 사이클을 반복할 때의 2사이클째 이후의 각 스텝에서 「웨이퍼(200)에 대하여 소정의 가스를 공급한다」고 기재한 부분은 「웨이퍼(200) 상에 형성되는 층에 대하여, 즉 적층체로서의 웨이퍼(200)의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하고, 「웨이퍼(200) 상에 소정의 층을 형성한다」고 기재한 부분은 「웨이퍼(200) 상에 형성되는 층의 상에, 즉 적층체로서의 웨이퍼(200)의 최표면 상에 소정의 층을 형성한다」는 것을 의미한다. 이 점은 전술한 바와 같다. 또한 이 점은 후술하는 실리콘 질화막 형성 공정 및 제2 실리콘 산화막 형성 공정에서도 마찬가지이며, 또한 후술하는 다른 실시 형태에서도 마찬가지이다.
(실리콘 질화막 형성 공정)
계속해서 이하의 스텝1b∼4b를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 하지막으로서의 제1 실리콘 산화막 상에 소정 막 두께의 실리콘 질화막을 성막한다. 또한 실리콘 질화막의 형성은 웨이퍼(200)의 온도가 전술한 제1 실리콘 산화막 형성 공정에서의 웨이퍼(200)의 온도와 마찬가지의 온도대가 되도록 보지하여 수행한다.
[스텝1b]
전술한 제1 실리콘 산화막 형성 공정의 스텝1a와 마찬가지의 순서 및 마찬가지의 조건에 의해, 가열된 감압 상태의 처리실(201) 내에 HCDS가스(또는 HCDS가스와 N2가스의 혼합 가스)를 공급하여 배기한다(HCDS가스 공급). 이 스텝1b에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 전술한 제1 실리콘 산화막 형성 공정에서의 스텝1a과 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 HCDS가스의 공급에 의해, 제1 실리콘 산화막 상에 실리콘 함유층을 형성한다.
[스텝2b]
제1 실리콘 산화막 상에 실리콘 함유층이 형성된 후, 제1 실리콘 산화막 형성 공정의 스텝2a와 마찬가지의 순서 및 마찬가지의 조건에 의해, HCDS가스를 처리실(201) 내로부터 배제하는 것과 함께 처리실(201) 내를 N2가스에 의해 퍼지한다(잔류 가스 제거).
[스텝3b]
처리실(201) 내의 잔류 가스를 제거한 후, 제1 실리콘 산화막 형성 공정의 스텝3a와 마찬가지의 순서 및 마찬가지의 조건에 의해, 가열된 감압 상태의 처리실(201) 내에 NH3가스(또는 NH3가스와 N2가스의 혼합 가스)를 공급하여 배기한다(NH3가스 공급). 이 스텝3b에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 전술한 제1 실리콘 산화막 형성 공정에서의 스텝3a과 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 NH3가스의 공급에 의해, 스텝1b에서 형성한 실리콘 함유층을 실리콘 질화층으로 변화(개질)시킨다.
[스텝4b]
실리콘 함유층을 실리콘 질화층으로 변화시킨 후, 제1 실리콘 산화막 형성 공정의 스텝4a와 마찬가지의 순서 및 마찬가지의 조건에 의해, NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 것과 함께 처리실(201) 내를 N2가스에 의해 퍼지한다(잔류 가스 제거).
전술한 스텝1b∼4b를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 하지막으로서의 제1 실리콘 산화막 상에 소정 막 두께의 실리콘 질화막을 성막할 수 있다. 실리콘 질화막은 후술하는 공정에서 형성하는 제2 실리콘 산화막의 하지막이 된다.
(제2 실리콘 산화막 형성 공정)
계속해서 이하의 스텝1c∼6c를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 하지막으로서의 실리콘 질화막 상에 소정 막 두께의 제2 실리콘 산화막을 성막한다.
스텝1c∼6c는 전술한 제1 실리콘 산화막 형성 공정의 스텝1a∼6a와 마찬가지의 순서 및 마찬가지의 조건에서 수행한다. 즉 제2 실리콘 산화막의 형성은 웨이퍼(200)의 온도가 전술한 제1 실리콘 산화막 형성 공정에서의 웨이퍼(200)의 온도; 및 실리콘 질화막 형성 공정에서의 웨이퍼(200)의 온도;와 각각 마찬가지의 온도대가 되도록 보지하여 수행한다.
그리고 이 스텝1c∼6c를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 실리콘 질화막 상에 소정 막 두께의 제2 실리콘 산화막을 성막할 수 있다. 그 결과, 웨이퍼(200) 상에는 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막이 순서대로 적층되어 이루어지는 ONO 적층 구조의 절연막이 형성된다.
(퍼지 및 대기압 복귀)
ONO 적층 구조의 절연막이 형성되면, 밸브(243e, 243f, 243g, 243h)를 열어 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232g), 제4 불활성 가스 공급관(232h)의 각각으로부터 불활성 가스로서의 N2가스를 처리실(201) 내로 공급하고 배기관(231)으로부터 배기한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내가 불활성 가스로 퍼지되어, 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스에 치환되어, 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
그 후, 보트 엘리베이터(115)에 의해 씰 캡(219)이 하강되어 반응관(203)의 하단이 개구되는 것과 함께 처리 완료된 웨이퍼(200)가 보트(217)에 보지된 상태에서 반응관(203)의 하단으로부터 반응관(203)의 외부에 반출(보트 언로드)된다. 그 후, 처리 완료된 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3) 본 실시 형태에 따른 효과
본 실시 형태에 의하면, 이하에 나타내는 1개 또는 복수의 효과를 갖는다.
본 실시 형태의 성막 시퀀스에 의해 제1 실리콘 산화막 및 제2 실리콘 산화막을 형성하면, 웨이퍼(200) 면내(面內)에서의 막 두께의 균일성은 일반적인 CVD법에 의해 실리콘 산화막을 형성하는 경우보다도 양호한 것을 확인하였다. 그리고 이에 의해 ONO 적층 구조의 절연막의 웨이퍼(200) 면내에서의 막 두께의 균일성이 양호한 것을 확인하였다. 이는 본 실시 형태의 제1 실리콘 산화막 및 제2 실리콘 산화막은 HCDS가스를 공급하는 공정과, NH3가스를 공급하는 공정과, O2가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해 형성되기 때문이다(스텝1a∼6a, 스텝1c∼6c). 또한 일반적인 CVD법이란 무기 원료인 DCS와 N2O를 동시에 공급하여 CVD법에 의해 실리콘 산화막(HTO막)을 형성하는 방법을 가리킨다.
또한 본 실시 형태의 성막 시퀀스에 의해 형성한 제1 실리콘 산화막 및 제2 실리콘 산화막은 일반적인 CVD법에 의해 형성한 실리콘 산화막(CVD-SiO막)보다도 막 중의 질소나 염소 등의 불순물 농도가 지극히 낮고, Si/O 비율이 화학량론 조성인 0.5에 지극히 가까운 양질의 막이 됨을 확인하였다. 이는 본 실시 형태의 실리콘 산화막의 성막 시퀀스에서는 O2가스를 플라즈마로 활성화하는 것에 의해 얻어진 O2 *을 포함하는 산화종을 이용하여 실리콘 질화층을 실리콘 산화층으로 변화시키기 때문이다(스텝5a, 5c). 이 O2 *을 포함하는 산화종을 실리콘 질화층에 공급하는 것에 의해, 주로 O2 *이 가지는 에너지에 의해, 실리콘 질화층 중에 포함되는 Si-N, Si-Cl, Si-H, Si-C 결합을 분리할 수 있다. Si-O 결합을 형성하기 위한 에너지는 Si-N, Si-Cl, Si-H, Si-C의 결합 에너지보다도 높기 때문에, Si-O 결합 형성에 필요한 에너지를 산화종으로부터 실리콘 질화층에 부여하는 것에 의해, 실리콘 질화층 중의 Si-N, Si-Cl, Si-H, Si-C 결합을 분리할 수 있다. Si와의 결합이 분리된 N, H, Cl, C는 막 중으로부터 제거되고, N2, H2, Cl2, HCl, CO2 등으로서 배출된다. N, H, Cl, C와의 결합이 분리되는 것에 의해 남은 Si의 결합수(結合手)는 산화종에 포함되는 O와 결부된다. 이와 같이 하여 제1 실리콘 산화막 및 제2 실리콘 산화막을 지극히 양질의 실리콘 산화막으로 할 수 있다. 그리고 이에 의해 지극히 양질의 ONO 적층 구조의 절연막을 얻을 수 있다.
또한 본 실시 형태에 따른 제1 실리콘 산화막 및 제2 실리콘 산화막은 무기계 실리콘 원료를 이용하여 형성한 경우에 한정되지 않고, 유기계 실리콘 원료를 이용하여 형성한 경우에서도 웨이퍼 면내에서의 막 두께의 균일성, 막 중의 불순물 농도가 양호한 것이 됨을 확인하였다. 그리고 이에 의해 유기계의 원료를 이용한 경우에서도 ONO 적층 구조의 절연막의 웨이퍼(200) 면내에서의 막 두께의 균일성, 막 중의 불순물 농도가 양호한 것을 확인하였다.
또한 본 실시 형태의 성막 시퀀스에 의해 실리콘 질화막을 형성하면, 웨이퍼(200) 면내에서의 막 두께의 균일성은 일반적인 CVD법에 의해 실리콘 질화막을 형성하는 경우보다도 양호한 것을 확인하였다. 그리고 이에 의해 ONO 적층 구조의 절연막의 웨이퍼(200) 면내에서의 막 두께의 균일성이 양호한 것이 됨을 확인하였다. 이는 본 실시 형태의 실리콘 질화막은 HCDS가스를 공급하는 공정과, NH3가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해 형성되기 때문이다(스텝1b∼4b). 또한 일반적인 CVD법이란 무기 원료인 DCS와 NH3을 동시에 공급하여 CVD법에 의해 실리콘 질화막을 형성하는 방법을 가리킨다.
또한 본 실시 형태의 성막 시퀀스에 의해 형성한 실리콘 질화막은 일반적인 CVD법에 의해 형성한 실리콘 질화막(CVD-SiN막)보다도 막 중 수소 농도가 적고 지극히 양질의 막이 됨을 확인하였다. 이는 본 실시 형태의 실리콘 질화막의 성막 시퀀스에서는 가열된 감압 분위기 하에서 NH3가스를 활성화 또는 열분해하여 얻은 질화종을 이용하여, 실리콘 함유층을 실리콘 질화층으로 변화시키기 때문이다(스텝3b). 질화종이 가지는 에너지가 Si-H 결합뿐만 아니라 Si-H 결합보다도 높은 결합 에너지를 가지는 N-H 결합도 괴리시키는 것에 의해, 실리콘 질화층 중으로부터 H(수소)를 제거할 수 있다. 제거된 H는 H2 등으로서 배출된다. 수소와의 결합이 분리된 Si나 N은 각각 N, Si와 결부되어 새로운 Si-N 결합을 형성한다. 이와 같이 하여 실리콘 질화막을 지극히 양질의 막으로 할 수 있다. 그리고 이에 의해 지극히 양질의 ONO 적층 구조의 절연막을 얻을 수 있다.
또한 본 실시 형태에 따른 실리콘 질화막은 무기계 실리콘 원료를 이용하여 형성한 경우에 한정되지 않고, 유기계 실리콘 원료를 이용하여 형성한 경우에서도 웨이퍼 면내에서의 막 두께의 균일성, 막 중의 불순물 농도가 양호한 것을 확인하였다. 그리고 이에 의해 유기계의 원료를 이용한 경우에서도 ONO 적층 구조의 절연막의 웨이퍼(200) 면내에서의 막 두께의 균일성, 막 중의 불순물 농도가 양호한 것이 됨을 확인하였다.
또한 본 실시 형태의 성막 시퀀스에 의하면, 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막을 성막할 때의 생산성, 즉 ONO 적층 구조의 절연막을 형성할 때의 생산성을 대폭 향상할 수 있음을 확인하였다. 이는 본 실시 형태의 성막 시퀀스에서는 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막을 동일한 처리 용기 내에서(인시츄로), 동일한 온도대로 연속적으로 성막하기 위해서이다. 또한 일반적인 CVD법에 의해 ONO 적층 구조의 절연막을 형성하는 경우에는 최적의 성막 레이트를 얻기 위한 성막 온도가 맞지 않아, 실리콘 산화막과 실리콘 질화막을 동일한 온도대로 성막하는 것이 어려웠다. 본 실시 형태의 성막 시퀀스에서는 제1 실리콘 산화막 형성 공정과 실리콘 질화막 형성 공정 사이 및 실리콘 질화막 형성 공정과 제2 실리콘 산화막 형성 공정 사이에서 각각 온도 조정, 즉 기판 온도의 승강(昇降)을 수행할 필요가 없어지는 것에 의해 생산성을 크게 향상시킬 수 있다. 또한 다른 장치 사이에서의 웨이퍼(200)의 반송이나, 반송 후의 압력 조정 등을 수행할 필요가 없어지는 것에 의해서도 생산성을 크게 향상시킬 수 있다. 또한 실리콘 산화막의 형성 시에는 HCDS가스 등의 흡착성이 높은 원료 가스 즉 반응성이 높은 원료 가스; 및 O2가스를 플라즈마로 활성화하는 것에 의해 얻어진 산화종;을 이용하고, 실리콘 질화막의 형성 시에는 HCDS가스 등의 흡착성이 높은 원료 가스, 즉 반응성이 높은 원료 가스; 및 NH3가스를 활성화 또는 열분해하여 얻어진 질화종;을 이용하여 성막을 수행하여 각각의 성막 속도를 높일 수 있고, 생산성을 한층 더 향상시킬 수 있다. 또한 실리콘 질화막을 실리콘 산화막 상에 형성할 때, 통상은 인큐베이션 타임이 발생하여 실리콘 질화막이 형성되기 시작할 때까지 시간이 걸리지만, 본 실시 형태에서는 HCDS가스 등의 흡착성이 높은 원료 가스, 즉 반응성이 높은 원료 가스를 이용하는 것에 의해 인큐베이션 타임을 단축하거나 또는 발생하지 않도록 할 수도 있어 생산성을 더욱 향상시킬 수 있다.
<본 발명의 제2 실시 형태>
전술한 제1 실시 형태에서는 원료 가스를 공급하는 공정과, 질소 함유 가스를 공급하는 공정과, 산소 함유 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 제1 산화막 및 제2 산화막을 형성하지만, 본 발명은 이러한 형태에 한정되지 않는다.
예컨대 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서는, 원료 가스를 공급하는 공정과, 질소 함유 가스를 공급하는 공정을 1세트로 하여 이 세트를 복수 회 반복하는 공정; 및 산소 함유 가스를 공급하는 공정;을 1사이클로 하여 이 사이클을 복수 회 반복해도 좋다. 또한 이러한 성막 시퀀스는 제1 산화막을 형성하는 공정에서만 수행해도 좋으며, 제2 산화막을 형성하는 공정에서만 수행해도 좋으며, 또한 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방(兩方)에서 수행해도 좋다.
도 5는 본 발명의 제2 실시 형태에 따른 성막 플로우를 도시하는 도면이다. 도 10은 본 발명의 제2 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면이다. 이 도면들은 전술한 성막 시퀀스를, 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방에서 수행하는 예를 도시한다. 또한 도 10은 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정에서 원료 가스를 공급하는 공정과, 질소 함유 가스를 공급하는 공정을 1세트로 하여 이 세트를 각각 두번씩 수행하는 예를 도시한다. 또한 제2 실시 형태와 제1 실시 형태의 차이점은 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서 전술한 세트를 반복하는 점뿐이며, 그 외는 제1 실시 형태와 마찬가지이다. 이하, 제1 산화막(제1 실리콘 산화막)을 형성하는 공정과, 제2 산화막(제2 실리콘 산화막)을 형성하는 공정에 대하여 설명한다.
본 실시 형태에 따른 제1 실리콘 산화막의 성막 시퀀스에서는 제1 실시 형태의 스텝1a∼4a와 마찬가지의 스텝1a∼4a를 1세트로 하여 이 세트를 복수 회 반복하는 것에 의해, 웨이퍼(200) 상에 소정 두께의 실리콘 질화층을 형성한다. 그리고 소정 두께의 실리콘 질화층이 형성되면, 제1 실시 형태의 스텝5a, 6a와 마찬가지의 스텝(플라즈마에 의해 활성화시킨 O2가스의 공급 및 퍼지)을 실시하는 것에 의해, 소정 두께의 실리콘 질화층을 산화시켜서 실리콘 산화층으로 변화시킨다. 그리고 소정 두께의 실리콘 질화층을 형성하는 공정과, 소정 두께의 실리콘 질화층을 실리콘 산화층으로 변화시키는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해 제1 실리콘 산화막을 형성한다.
또한 마찬가지로, 본 실시 형태에 따른 제2 실리콘 산화막의 성막 시퀀스에서는 제1 실시 형태의 스텝1c∼4c와 마찬가지의 스텝1c∼4c를 1세트로 하여 이 세트를 복수 회 반복하는 것에 의해, 실리콘 질화막 상에 소정 두께의 실리콘 질화층을 형성한다. 그리고 소정 두께의 실리콘 질화층이 형성되면, 제1 실시 형태의 스텝5c, 6c와 마찬가지의 스텝(플라즈마에 의해 활성화시킨 O2가스의 공급 및 퍼지)을 실시하는 것에 의해, 소정 두께의 실리콘 질화층을 산화시켜서 실리콘 산화층으로 변화시킨다. 그리고 소정 두께의 실리콘 질화층을 형성하는 공정과, 소정 두께의 실리콘 질화층을 실리콘 산화층으로 변화시키는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해 제2 실리콘 산화막을 형성한다.
본 실시 형태에서도 전술한 제1 실시 형태와 마찬가지의 효과를 갖을 수 있다. 또한 스텝1a∼4a나 스텝1c∼4c로 구성되는 각 세트의 반복 횟수를 각각 늘리는 것에 의해, 제1 실리콘 산화막을 형성하는 공정이나 제2 실리콘 산화막을 형성하는 공정에서 1사이클당 형성하는 실리콘 산화층의 두께를 각각 증가시킬 수 있고, 사이클 레이트(단위 사이클당 형성되는 실리콘 산화층의 두께)를 각각 향상시키는 것이 가능하다. 이에 의해 성막 레이트(단위 시간당 형성되는 실리콘 산화막의 막 두께)를 각각 향상시킬 수도 있다.
<본 발명의 제3 실시 형태>
전술한 제1 실시 형태에서는, 원료 가스를 공급하는 공정과, 질소 함유 가스를 공급하는 공정과, 산소 함유 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 제1 산화막 및 제2 산화막을 형성하지만, 본 발명은 이러한 형태에 한정되지 않는다. 예컨대 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서는 질소 함유 가스를 공급하는 공정을 생략하고, 산소 함유 가스를 공급하는 공정에서 산소 함유 가스에 더해서 수소 함유 가스를 공급해도 좋다.
즉 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서는 원료 가스를 공급하는 공정과, 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복해도 좋다. 이 성막 시퀀스에서는 제1 실시 형태와는 달리, 플라즈마를 이용하지 않고 열에 의해 산소 함유 가스 및 수소 함유 가스를 활성화시켜서 공급한다. 또한 이러한 성막 시퀀스는 제1 산화막을 형성하는 공정에서만 수행해도 좋으며, 제2 산화막을 형성하는 공정에서만 수행해도 좋으며, 또한 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방에서 수행해도 좋다.
도 6은 본 발명의 제3 실시 형태에 따른 성막 플로우를 도시하는 도면이다. 도 11은 본 발명의 제3 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면이다. 이 도면들은 전술한 성막 시퀀스를, 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방에서 수행하는 예를 도시한다. 또한 수소 함유 가스로서 H2가스를 이용하는 예를 도시한다. 또한 제3 실시 형태와 제1 실시 형태의 차이점은 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서 질소 함유 가스를 공급하는 공정을 생략한 점과, 산소 함유 가스를 공급하는 공정에서 산소 함유 가스에 더해서 수소 함유 가스도 공급하도록 한 점뿐이며, 그 외는 제1 실시 형태와 마찬가지이다. 이하, 제1 산화막(제1 실리콘 산화막)을 형성하는 공정과, 제2 산화막(제2 실리콘 산화막)을 형성하는 공정에 대하여 설명한다.
(제1 실리콘막 형성 공정)
도 6 및 도 11에 도시하는 바와 같이, 본 실시 형태에 따른 제1 실리콘 산화막의 성막 시퀀스에서는 우선 제1 실시 형태의 스텝1a, 2a와 마찬가지의 스텝1a, 2a를 수행하여 웨이퍼(200) 상에 실리콘 함유층을 형성한다. 그 후, 후술하는 스텝3a, 4a를 수행하여 실리콘 함유층을 직접 산화시켜 실리콘 산화층으로 변화(개질)시킨 후, 처리실(201) 내의 잔류 가스를 제거한다. 그리고 스텝1a∼4a를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 웨이퍼(200) 상에 소정 막 두께의 제1 실리콘 산화막을 성막한다. 이하, 제1 실시 형태와는 다른 스텝3a, 4a에 대하여 설명한다.
[스텝3a]
처리실(201) 내의 잔류 가스를 제거한 후, 제3 가스 공급관(232c)의 밸브(243c)를 열어 제3 가스 공급관(232c)에 O2가스를 흘린다. O2가스는 제3 가스 공급관(232c)으로부터 흘러, 매스 플로우 컨트롤러(241c)에 의해 유량 조정된다. 유량 조정된 O2가스는 제2 가스 공급관(232b)을 경유하여 제2 노즐(233b)의 가스 공급공(248b)으로부터 가열된 감압 상태의 버퍼실(237) 내에 공급된다. 이 때 동시에 제4 가스 공급관(232d)의 밸브(243d)를 열어 제4 가스 공급관(232d)에 H2가스를 흘린다. H2가스는 제4 가스 공급관(232d)으로부터 흘러, 매스 플로우 컨트롤러(241d)에 의해 유량 조정된다. 유량 조정된 H2가스는 제2 가스 공급관(232b)을 경유하여 제2 노즐(233b)의 가스 공급공(248b)으로부터 가열된 감압 상태의 버퍼실(237) 내에 공급된다. 또한 H2가스는 제2 가스 공급관(232b)을 경유할 때, 제2 가스 공급관(232b) 내에서 O2가스와 혼합된다. 즉 제2 노즐(233b)로부터는 O2가스와 H2가스의 혼합 가스가 공급된다. 버퍼실(237) 내에 공급된 O2가스와 H2가스의 혼합 가스는 버퍼실(237)의 가스 공급공(248c)으로부터 가열된 감압 상태의 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다(O2가스+H2가스 공급).
이 때, 제3 불활성 가스 공급관(232g)의 밸브(243g)를 열어, 제3 불활성 가스 공급관(232g)으로부터 불활성 가스로서 N2가스를 공급해도 좋다. N2가스는 매스 플로우 컨트롤러(241g)에 의해 유량 조정되어 제3 가스 공급관(232c) 내에 공급된다. 또한 제4 불활성 가스 공급관(232h)의 밸브(243h)를 열어, 제4 불활성 가스 공급관(232h)으로부터 불활성 가스로서 N2가스를 공급해도 좋다. N2가스는 매스 플로우 컨트롤러(241h)에 의해 유량 조정되어 제4 가스 공급관(232d) 내에 공급된다. 이 경우, 제2 노즐(233b)로부터는 O2가스와 H2가스와 N2가스의 혼합 가스가 공급된다. 또한 불활성 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다. 또한 이 때, 제1 노즐(233a) 내나 제2 가스 공급관(232b)의 상류측으로의 O2가스와 H2가스의 침입을 방지하기 위하여, 밸브(243e, 243f)를 열어 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제1 노즐(233a), 제2 노즐(233b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때, APC 밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 대기압 미만, 예컨대 1∼1,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(241c)에 의해 제어되는 O2가스의 공급 유량은 예컨대 1,000∼10,000sccm(1∼10slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241d)에 의해 제어되는 H2가스의 공급 유량은 예컨대 1,000∼10,000sccm(1∼10slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241g, 241h, 241e, 241f)에 의해 제어되는 N2가스의 공급 유량은 각각 예컨대 100∼2,000sccm(0.1∼2slm)의 범위 내의 유량으로 한다. 또한 O2가스 및 H2가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1∼120초의 범위 내의 시간으로 한다. 웨이퍼(200)의 온도가 스텝1a의 HCDS가스의 공급 시와 마찬가지의 온도대 즉 350∼700℃의 범위 내의 온도가 되도록, 히터(207)의 온도는 설정된다. 또한 이 범위 내의 온도라면 감압 분위기 하에서의 O2가스로의 H2가스 첨가에 의한 산화력 향상의 효과(후술)를 얻을 수 있음을 확인하였다. 또한 웨이퍼(200)의 온도가 지나치게 낮으면 산화력 향상의 효과를 얻을 수 없다는 것도 확인하였다. 스루풋을 고려하면, 이와 같이 스텝1a∼3a로 처리실(201) 내의 온도를 마찬가지의 온도대로 보지하도록 히터(207)의 온도를 설정하는 것이 바람직하다. 또한 스텝1a∼스텝4a(후술)에 걸쳐서 처리실(201) 내의 온도를 마찬가지의 온도대로 보지하도록 히터(207)의 온도를 설정하는 것이 더욱 바람직하다는 것은 제1 실시 형태와 마찬가지이다.
전술한 조건으로 O2가스 및 H2가스를 처리실(201) 내에 공급하는 것에 의해, O2가스 및 H2가스는 가열된 감압 분위기 하에서 논 플라즈마로 열적으로 활성화되어 반응하고, 이로 인해 원자상 산소(atomic oxygen, O) 등의 산소를 포함하는 수분(H2O) 비함유의 산화종이 생성된다. 그리고 주로 이 산화종에 의해, 스텝1a에서 웨이퍼(200) 상에 형성된 실리콘 함유층에 대하여 산화 처리가 수행된다. 그리고 이 산화 처리에 의해, 실리콘 함유층은 실리콘 산화층(SiO2층, 이하, 단순히 SiO층이라고도 부른다)으로 변화한다(개질된다). 이와 같이 이 산화 처리에 의하면, O2가스를 단독으로 공급하는 경우에 비해 산화력을 대폭 향상시킬 수 있다. 즉 감압 분위기 하에서 O2가스에 H2가스를 첨가하는 것에 의해, O2가스 단독 공급의 경우에 비해 대폭적인 산화력 향상 효과를 얻을 수 있다.
또한 이 때 O2가스와 H2가스 중 적어도 어느 일방 또는 양방을 플라즈마로 활성화시켜서 흘릴 수도 있다. O2가스 및/또는 H2가스를 플라즈마로 활성화시켜서 흘리는 것에 의해, 보다 에너지가 높은 활성종을 포함하는 산화종을 생성할 수 있고, 이 산화종에 의해 산화 처리를 수행하는 것에 의해, 디바이스 특성이 향상하는 등의 효과도 고려된다. 예컨대 O2가스와 H2가스의 양방을 플라즈마로 활성화시킬 경우, 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전원(273)으로부터 정합기(272)를 개재하여 고주파 전력을 인가하는 것에 의해, 버퍼실(237) 내에 공급된 O2가스와 H2가스의 혼합 가스는 플라즈마로 활성화되어, O2 *(산소의 활성종)이나 H2 *(수소의 활성종)을 포함하는 가스(산화종)로서 가스 공급공(248c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때, 고주파 전원(273)으로부터 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 인가하는 고주파 전력은 예컨대 50∼1,000W의 범위 내의 전력이 되도록 설정한다. 그 외의 처리 조건은 전술한 처리 조건과 마찬가지이다. 또한 전술한 온도대에서는 O2가스와 H2가스와는 열로 활성화되어 충분히 반응하고, 충분한 양의 원자상 산소(O) 등의 산화종이 생성된다. 따라서 O2가스와 H2가스를 논 플라즈마로 열적으로 활성화시켜도 충분한 산화력을 얻을 수 있다. 또한 O2가스와 H2가스는 열로 활성화시켜서 공급하는 것이 소프트한 반응을 일으킬 수 있어 전술한 산화 처리를 소프트하게 수행할 수 있다.
산소 함유 가스, 즉 산화성 가스로서는 산소(O2)가스 외에, 오존(O3)가스 등을 이용해도 좋다. 또한 전술한 온도대에서 일산화질소(NO)가스나 아산화질소(N2O)가스로의 수소 함유 가스 첨가 효과를 시험해 본 결과, NO가스 단독 공급이나 N2O가스 단독 공급에 비해 산화력 향상의 효과를 얻을 수 없음을 확인하였다. 즉 산소 함유 가스로서는 질소 비함유의 산소 함유 가스(질소를 포함하지 않고 산소를 포함하는 가스)를 이용하는 것이 바람직하다. 수소 함유 가스, 즉 환원성 가스로서는 수소(H2)가스 외에 중수소(D2)가스 등을 이용해도 좋다. 또한 암모니아(NH3)가스나 메탄(CH4)가스 등을 이용하면, 질소(N) 불순물이나 탄소(C) 불순물의 막 중으로의 혼입이 염려된다. 즉 수소 함유 가스로서는 타 원소 비함유의 수소 함유 가스(타 원소를 포함하지 않고 수소 또는 중수소를 포함하는 가스)를 이용하는 것이 바람직하다. 즉 산소 함유 가스로서는 O2가스 및 O3가스로부터 이루어지는 군(群)으로부터 선택되는 적어도 하나의 가스를 이용할 수 있고, 수소 함유 가스로서는 H2가스 및 D2가스로부터 이루어지는 군으로부터 선택되는 적어도 하나의 가스를 이용할 수 있다.
[스텝4a]
실리콘 함유층을 실리콘 산화층으로 변화시킨 후, 제3 가스 공급관(232c)의 밸브(243c)를 닫고, O2가스의 공급을 정지한다. 또한 제4 가스 공급관(232d)의 밸브(243d)를 닫고, H2가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(244)는 연 채로 하고 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 산화층 형성에 기여한 후의 O2가스나 H2가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 밸브(243g, 243h, 243e, 243f)는 연 채로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 실리콘 산화층 형성에 기여한 후의 O2가스나 H2가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 더욱 높일 수 있다(잔류 가스 제거).
또한 이 때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋으며, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝1a에서 악영향이 일어나지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없으며, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해, 스텝1a에서 악영향이 일어나지 않는 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해, 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능하다.
이 때의 히터(207)의 온도는 웨이퍼(200)의 온도가 O2가스 및 H2가스의 공급 시와 마찬가지로 350∼700℃의 범위 내의 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100∼2,000sccm(0.1∼2slm)의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
전술한 스텝1a∼4a를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 웨이퍼(200) 상에 소정 막 두께의 제1 실리콘 산화막을 성막할 수 있다.
(제2 실리콘막 형성 공정)
마찬가지로, 제2 실리콘 산화막도 본 실시 형태에 따른 스텝1a∼4a와 마찬가지의 스텝1c∼4c를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해 성막할 수 있다.
본 실시 형태의 성막 시퀀스에 의해 형성한 제1 실리콘 산화막 및 제2 실리콘 산화막은 일반적인 CVD법에 의해 형성한 실리콘 산화막(CVD-SiO막)보다도 막 중의 질소나 수소나 염소 등의 불순물 농도가 지극히 낮고, Si/O 비율이 화학량론 조성인 0.5에 지극히 가까운 양질의 막이 됨을 확인하였다. 이는 본 실시 형태의 실리콘 산화막의 성막 시퀀스에서는 가열된 감압 분위기 하에서 O2가스와 H2가스를 반응시켜서 원자상 산소(O)를 포함하는 산화종을 생성하고, 이 산화종을 이용하여 실리콘 함유층을 실리콘 산화층으로 변화시키기 때문이다(스텝3a, 3c). 이 원자상 산소(O)를 포함하는 산화종을 실리콘 함유층에 공급하는 것에 의해, 주로 원자상 산소가 지니는 에너지에 의해, 실리콘 함유층 중에 포함되는 Si-N, Si-Cl, Si-H, Si-C 결합을 분리할 수 있다. Si-O 결합을 형성하기 위한 에너지는 Si-N, Si-Cl, Si-H, Si-C의 결합 에너지보다도 높기 때문에, Si-O 결합 형성에 필요한 에너지를 산화종으로부터 실리콘 함유층에 부여하는 것에 의해, 실리콘 함유층 중의 Si-N, Si-Cl, Si-H, Si-C 결합을 분리할 수 있다. Si와의 결합이 분리된 N, H, Cl, C는 막 중으로부터 제거되고, N2, H2, Cl2, HCl, CO2 등으로서 배출된다. N, H, Cl, C와의 결합이 분리되는 것에 의해 남은 Si의 결합수는 산화종에 포함되는 O와 결부된다. 또한 이 때 실리콘 산화층은 치밀화된다. 이와 같이 하여 제1 실리콘 산화막 및 제2 실리콘 산화막을 지극히 양질의 실리콘 산화막으로 할 수 있다. 그리고 이에 의해 지극히 양질의 ONO 적층 구조의 절연막을 얻을 수 있다.
본 실시 형태에서도 전술한 제1 실시 형태와 마찬가지의 효과를 갖을 수 있다. 또한 제1 실리콘 산화막 형성 공정 및 제2 실리콘 산화막 형성 공정에서 질소 함유 가스를 공급하는 공정을 생략하는 것에 의해, 제1 실시 형태에 비해 1사이클당의 소요 시간을 단축시킬 수 있고, 성막 레이트를 향상시킬 수 있다. 또한 산소 함유 가스를 공급하는 공정에서 O2가스와 H2가스를 열로 활성화시켜서 공급하기 때문에 플라즈마 데미지를 부여하지 않고도 소프트한 반응을 일으킬 수 있어 전술한 산화 처리를 소프트하게 수행할 수 있다.
<본 발명의 제4 실시 형태>
전술한 제3 실시 형태에서는 원료 가스를 공급하는 공정과, 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해 제1 산화막 및 제2 산화막을 형성하지만, 본 발명은 이러한 형태에 한정되지 않는다.
예컨대 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서는 원료 가스를 공급하는 공정과, 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하고, 그 후 다시 산소 함유 가스 및 수소 함유 가스를 공급하는 공정(개질 공정)을 수행해도 좋다. 이 성막 시퀀스에서도 제3 실시 형태와 마찬가지로 플라즈마를 이용하지 않고 열에 의해 산소 함유 가스 및 수소 함유 가스를 활성화시켜서 공급한다. 또한 이러한 성막 시퀀스는 제1 산화막을 형성하는 공정에서만 수행해도 좋으며, 제2 산화막을 형성하는 공정에서만 수행해도 좋으며, 또한 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방에서 수행해도 좋다.
도 7은 본 발명의 제4 실시 형태에 따른 성막 플로우를 도시하는 도면이다. 도 12는 본 발명의 제4 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면이다. 이 도면들은 전술한 성막 시퀀스를 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방에서 수행하는 예를 도시한다. 또한 수소 함유 가스로서 H2가스를 이용하는 예를 도시한다. 또한 제4 실시 형태와 제3 실시 형태의 차이점은 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서 원료 가스를 공급하는 공정과, 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복한 후에 개질 공정으로서 다시 산소 함유 가스 및 수소 함유 가스를 공급하는 공정을 수행하는 점뿐이며, 그 외는 제3 실시 형태와 마찬가지이다. 이하, 제1 산화막(제1 실리콘 산화막)을 형성하는 공정과, 제2 산화막(제2 실리콘 산화막)을 형성하는 공정에 대하여 설명한다.
본 실시 형태에 따른 제1 실리콘 산화막의 성막 시퀀스에서는 제3 실시 형태의 스텝1a∼4a와 마찬가지의 스텝1a∼4a를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 웨이퍼(200) 상에 소정 막 두께의 실리콘 산화막을 형성한다. 그 후 다시, 본 실시 형태의 스텝3a, 4a와 마찬가지의 스텝을 실시하는 것에 의해, 전술한 실리콘 산화막으로부터 막 중 불순물을 제거하여 막질을 개선하는 개질 공정을 수행한다. 이 일련의 처리들에 의해 제1 실리콘 산화막이 형성된다.
또한 마찬가지로, 본 실시 형태에 따른 제2 실리콘 산화막의 성막 시퀀스에서는 제3 실시 형태의 스텝1c∼4c와 마찬가지의 스텝1c∼4c를 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해, 실리콘 질화막 상에 소정 막 두께의 실리콘 산화막을 형성한다. 그 후 다시, 본 실시 형태의 스텝3c, 4c와 마찬가지의 스텝을 실시하는 것에 의해, 전술한 실리콘 산화막으로부터 막 중 불순물을 제거하여 막질을 개선하는 개질 공정을 수행한다. 이 일련의 처리들에 의해 제2 실리콘 산화막이 형성된다.
또한 개질 공정의 처리 조건은 스텝3a, 4a, 3c, 4c와 대략 마찬가지이다. 단, 웨이퍼(200)의 온도는 예컨대 350∼1,200℃의 범위 내의 온도가 되도록 스텝3a, 4a, 3c, 4c보다도 높게 설정해도 좋다. 또한 O2가스 및 H2가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1∼600분의 범위 내의 시간이 되도록 길게 설정해도 좋다. 도 12는 개질 공정에서 O2가스 및 H2가스를 웨이퍼(200)에 대하여 공급하는 시간을 스텝3a, 3c에서 O2가스 및 H2가스를 웨이퍼(200)에 대하여 공급하는 시간보다도 길게 하는 모습을 도시한다.
본 실시 형태의 성막 시퀀스에 의해 형성한 제1 실리콘 산화막 및 제2 실리콘 산화막은 일반적인 CVD법에 의해 형성한 실리콘 산화막(CVD-SiO막)보다도 막 중의 질소나 수소나 염소 등의 불순물 농도가 지극히 낮고, Si/O 비율이 화학량론 조성인 0.5에 지극히 가까운 양질의 막이 됨을 확인하였다. 이는 본 실시 형태의 실리콘 산화막의 성막 시퀀스에서는 가열된 감압 분위기 하에서 O2가스와 H2가스를 반응시켜서 원자상 산소(O)를 포함하는 산화종을 생성하고, 이 산화종을 이용하여 실리콘 산화막(SiO2막)을 개질하기 때문이다(개질 공정). 이 원자상 산소(O)를 포함하는 산화종을 실리콘 산화막에 공급하는 것에 의해, 주로 원자상 산소가 지니는 에너지로 인해, 실리콘 산화막 중에 포함되는 Si-N, Si-Cl, Si-H, Si-C 결합을 분리할 수 있다. Si-O 결합을 형성하기 위한 에너지는 Si-N, Si-Cl, Si-H, Si-C의 결합 에너지보다도 높기 때문에, Si-O 결합 형성에 필요한 에너지를 산화종으로부터 실리콘 산화막에 부여하는 것에 의해, 실리콘 산화막 중의 Si-N, Si-Cl, Si-H, Si-C 결합을 분리할 수 있다. Si와의 결합이 분리된 N, H, Cl, C는 막 중으로부터 제거되고, N2, H2, Cl2, HCl, CO2 등으로서 배출된다. N, H, Cl, C와의 결합이 분리되는 것에 의해 남은 Si의 결합수는 산화종에 포함되는 O와 결부된다. 또한 이 때, 실리콘 산화막은 치밀화된다. 이와 같이 하여 제1 실리콘 산화막 및 제2 실리콘 산화막을 지극히 양질의 실리콘 산화막으로 할 수 있다. 그리고 이에 의해 지극히 양질의 ONO 적층 구조의 절연막을 얻을 수 있다.
<본 발명의 제5 실시 형태>
전술한 제1 실시 형태에서는 원료 가스를 공급하는 공정과, 질소 함유 가스를 공급하는 공정과, 산소 함유 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하는 것에 의해 제1 산화막 및 제2 산화막을 형성하지만, 본 발명은 이러한 형태에 한정되지 않는다.
예컨대 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서는 원료 가스를 공급하는 공정 및 질소 함유 가스를 공급하는 공정을 생략하고, 산소 함유 가스를 공급하는 공정에서 산소 함유 가스에 더해서 수소 함유 가스도 공급하고, 산소 함유 가스 및 수소 함유 가스를 연속적으로 공급해도 좋다. 또한 이러한 성막 시퀀스는 제1 산화막을 형성하는 공정에서만 수행해도 좋으며, 제2 산화막을 형성하는 공정에서만 수행해도 좋으며, 또한 제1 산화막을 형성하는 공정 및 제2 산화막을 형성하는 공정의 양방에서 수행해도 좋다.
도 8은 본 발명의 제5 실시 형태에 따른 성막 플로우를 도시하는 도면이다. 도 13은 본 발명의 제5 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면이다. 이 도면들은 전술한 성막 시퀀스를 제2 실리콘 산화막을 형성하는 공정에서만 수행하고, 제1 실리콘 산화막은 제3 실시 형태에서 도시한 성막 시퀀스에 의해 형성하는 예를 도시한다. 또한 제5 실시 형태와 제1 실시 형태의 차이점은 제1 산화막을 형성하는 공정 및/또는 제2 산화막을 형성하는 공정에서 원료 가스를 공급하는 공정 및 질소 함유 가스를 공급하는 공정을 생략한 점과, 산소 함유 가스를 공급하는 공정에서 산소 함유 가스에 더해서 수소 함유 가스도 공급하고, 산소 함유 가스 및 수소 함유 가스를 연속적으로 공급하도록 한 점 뿐이며, 그 외는 제1 실시 형태와 마찬가지이다. 이하, 제1 산화막(제1 실리콘 산화막)을 형성하는 공정과, 제2 산화막(제2 실리콘 산화막)을 형성하는 공정에 대하여 설명한다.
본 실시 형태에 따른 제2 실리콘 산화막의 성막 시퀀스에서는 제1 실리콘 산화막을 하지로 하여서 실리콘 질화막을 형성한 후, HCDS가스를 공급하는 스텝이나, NH3가스를 공급하는 스텝을 수행하지 않고, 제3 실시 형태의 스텝3a, 4a와 마찬가지의 스텝을 수행하는 것에 의해, 즉 열에 의해 활성화된 O2가스 및 H2가스를 연속적으로 공급하고, 그 후 퍼지하는 것에 의해 하지의 실리콘 질화막을 산화시켜서 제2 실리콘 산화막을 형성한다. 즉 이 경우, 전술한 실시 형태와 같이 실리콘 질화막 상에 제2 실리콘 산화막을 퇴적시키는 것이 아니라, 실리콘 질화막의 표면을 산화시키는 것에 의해 제2 실리콘 산화막을 형성한다. 따라서 이 경우, 제1 실리콘 산화막 상에 형성하는 실리콘 질화막의 막 두께를 본래 필요한 막 두께보다도 두껍게 형성하고, 두껍게 형성한 부분을 산화시키는 것에 의해 제2 실리콘 산화막을 형성한다.
또한 제1 실리콘 산화막을 전술한 성막 시퀀스에 의해 형성하는 경우, 즉 열에 의해 활성화된 O2가스 및 H2가스를 연속적으로 공급하고 그 후 퍼지하는 것에 의해 형성하는 경우, 웨이퍼(200) 상에 미리 형성된 하지의 실리콘막을 산화시키는 것에 의해 제1 실리콘 산화막을 형성한다. 즉 이 경우 전술한 실시 형태와 같이 웨이퍼(200) 상의 실리콘막 상에 제1 실리콘 산화막을 퇴적시키는 것이 아니라, 미리 형성된 실리콘막의 표면을 산화시키는 것에 의해 제1 실리콘 산화막을 형성한다. 따라서 이 경우, 웨이퍼(200) 상의 실리콘막은 본래 필요한 막 두께보다도 두껍게 형성하고, 두껍게 형성한 부분을 산화시키는 것에 의해 제1 실리콘 산화막을 형성한다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였지만, 본 발명은 전술한 실시 형태에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 각가지 변경이 가능하다.
예컨대 전술한 제1 산화막과 제2 산화막은 동일한 방법에 의해 형성하는 경우에 한정되지 않고, 다른 방법에 의해 형성해도 좋다.
예컨대 제1 산화막을 제1 실시 형태의 성막 시퀀스(도 4 참조)로 형성하고, 제2 산화막을 제2 실시 형태의 성막 시퀀스(도 5 참조)로 형성해도 좋다. 또한 예컨대 제1 산화막을 제3 실시 형태의 성막 시퀀스(도 6 참조)로 형성하고, 제2 산화막을 제4 실시 형태의 성막 시퀀스(도 7 참조)로 형성해도 좋다. 물론 제1 산화막 및 제2 산화막의 성막 시퀀스를 상기와 반대로 해도 좋다.
또한 예컨대 제1 산화막을 제1 실시 형태의 성막 시퀀스(도 4 참조)로 형성하고, 제2 산화막을 제5 실시 형태의 성막 시퀀스(도 8 참조)로 형성해도 좋다. 또한 예컨대 제1 산화막을 제1 실시 형태의 성막 시퀀스(도 4 참조)로 형성하고, 제2 산화막을 제3 실시 형태의 성막 시퀀스(도 6 참조)로 형성해도 좋다. 물론, 제1 산화막 및 제2 산화막의 성막 시퀀스를 상기와 반대로 해도 좋다.
즉 제1 산화막의 형성 및 제2 산화막의 형성에서는 전술한 제1 실시 형태로부터 제5 실시 형태에 기재된 성막 시퀀스를 적절히 조합시켜서 이용해도 좋다.
또한 예컨대 전술한 질화막은 원료 가스(HCDS가스)를 공급하는 공정과, 질화 가스(NH3가스)를 공급하는 공정을 교호적으로 수행하여 형성하는 경우에 한정되지 않고, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정을 동시에 수행하여 형성해도 좋다. 전술한 각 실시 형태와 같이, 원료 가스로서 클로로실란계 원료 가스인 HCDS가스 등의 흡착성이 높은 원료 가스, 즉 반응성이 높은 원료 가스를 사용할 경우, 전술한 각 실시 형태에서의 처리 조건 하에서는 원료 가스와 질화 가스를 동시에 공급해도, 기판의 온도를 마찬가지의 온도로 보지하면서 제1 산화막과, 질화막과, 제2 산화막을 성막하는 것이 가능하다. 이 경우, 원료 가스와 질화 가스를 교호적으로 공급하는 경우보다도 성막 레이트를 높게 할 수 있고, 제1 산화막, 질화막, 제2 산화막을 형성할 때의 생산성을 보다 향상시킬 수 있다.
또한 전술한 실시 형태에서는 SiO/SiN/SiO의 적층 구조(ONO 적층 구조)를 가지는 적층막의 형성예에 대하여 설명하였지만, 본 발명은 이러한 경우에 한정되지 않는다. 예컨대 본 발명은 SiO/SiN/SiO/SiN/SiO의 적층 구조(ONONO 적층 구조)를 가지는 적층막이나, SiN/SiO/SiN의 적층 구조(NON 적층 구조)를 가지는 적층막이나, SiO/SiN의 적층 구조(ON 적층 구조)를 가지는 적층막이나, SiN/SiO의 적층 구조(NO 적층 구조)를 가지는 적층막을 형성하는 경우에도 바람직하게 적용할 수 있다.
본 실시 형태의 성막 시퀀스는 웨이퍼 상에 형성된 다른 막 상에 ONO 적층 구조(또는 ONONO, NON, ON, NO 적층 구조 등)의 절연막을 형성하는 경우(즉 스택 구조를 형성하는 경우)에 한정되지 않고, 웨이퍼 표면에 형성된 트렌치 구조 상에 ONO 적층 구조의 절연막을 형성하는 경우(즉 트렌치 구조를 형성하는 경우)에도 바람직하게 적용이 가능하다.
또한 ONO, ONONO, NON, ON, NO 적층 구조 등의 적층막을 형성할 때에 질화막 상에 산화막을 형성하는 경우, 산화막 형성 시의 하지가 되는 질화막은 적층막을 구성하는 데 필요한 질화막의 막 두께보다도 두껍게 형성해도 좋다. 질화막 상에 전술한 각 실시 형태에서의 성막 시퀀스에서 산화막을 형성하는 경우, 산화막을 형성하는 과정에서 하지가 되는 질화막의 표면이 산화(소비)되어, 질화막의 막 두께가 적층막을 구성하는 데 필요한 질화막의 막 두께보다도 얇아지는 경우가 있다. 특히 제3 실시 형태의 성막 시퀀스(도 6 참조)에서 산화막을 형성하는 경우에 이 경향이 현저해진다. 이러한 경우에는 미리 질화막 상에 산화막을 형성할 때에 산화(소비)되는 질화막의 막 두께를 측정해 두고, 질화막을 형성할 때에 그 분량만큼 두껍게 질화막을 형성하는 것에 의해, 적층막에서 필요한 질화막의 막 두께를 확보하는 것이 가능하다.
또한 전술한 산화막을 형성하는 공정에서는 산화막 중에 질소(N)를 첨가하는 공정을 더 수행해도 좋다. 이 경우, 산화막을 형성하는 공정에서 또한 처리 용기 내의 기판에 대하여 질화 가스를 공급하는 공정을 설치하면 좋다. 이 때의 처리 조건이나 사용하는 질화 가스는 예컨대 제1 실시 형태의 성막 시퀀스에서의 스텝3a와 마찬가지의 처리 조건, 질화 가스로 할 수 있다. 또한 산화막 중에 질소를 미량 첨가할 경우에는 논 플라즈마의 조건으로 하는 것이 바람직하다. 또한 산화막 중에 질소를 첨가하는 공정은 처리 용기 내의 기판에 대하여 산화 가스를 공급하는 공정과 동시에 수행해도 좋고, 그 공정 전에 수행해도 좋고, 그 공정 후에 수행해도 좋다.
예컨대 제1 실시 형태의 성막 시퀀스(도 4 참조)에서는 산화막 중에 질소를 첨가하는 공정을, 스텝5a와 동시에 수행해도 좋고, 스텝5a 전에 수행해도 좋고, 스텝5a 후에 수행해도 좋다. 산화막 중에 질소를 첨가하는 공정을 스텝5a 전에 수행할 경우에는 스텝3a를 산화막 중에 질소를 첨가하는 공정으로 할 수도 있다. 이 경우, 스텝3a에서의 실리콘 함유층의 질화량을 늘리거나, 스텝5a에서의 실리콘 질화층의 산화량을 억제하는 것에 의해 질소가 첨가된 실리콘 산화막을 형성하는 것이 가능하다. 이 경우, 스텝5a는 논 플라즈마의 조건으로 하는 것이 바람직하다.
또한 예컨대 제3 실시 형태의 성막 시퀀스(도 6 참조)에서는 산화막 중에 질소를 첨가하는 공정을 스텝3a와 동시에 수행해도 좋고, 스텝3a 전에 수행해도 좋고, 스텝3a 후에 수행해도 좋다.
이와 같이 산화막을 형성하는 공정에서 또한 산화막 중에 질소를 첨가하는 공정을 설치하는 것에 의해, 질소가 첨가된 산화막을 형성하는 것도 가능하다.
또한 전술한 질화막을 형성하는 공정에서는 질화막 중에 산소(O)를 첨가하는 공정을 더 수행해도 좋다. 이 경우, 질화막을 형성하는 공정에서 처리 용기 내의 기판에 대하여 산화 가스를 공급하는 공정을 더 설치하면 좋다. 이 때의 처리 조건이나 사용하는 산화 가스는 예컨대 제1 실시 형태의 성막 시퀀스에서의 스텝5a와 마찬가지의 처리 조건, 산화 가스로 할 수 있다. 단 질화막 중에 산소를 미량 첨가할 경우에는 논 플라즈마의 조건으로 하는 것이 바람직하다. 또한 질화막 중에 산소를 첨가하는 공정은 처리 용기 내의 기판에 대하여 질화 가스를 공급하는 공정과 동시에 수행해도 좋고, 그 공정 전에 수행해도 좋고, 그 공정 후에 수행해도 좋다.
예컨대 제1 실시 형태의 성막 시퀀스(도 4 참조)에서는 질화막 중에 산소를 첨가하는 공정을 스텝3b와 동시에 수행해도 좋고, 스텝3b 전에 수행해도 좋고, 스텝3b 후에 수행해도 좋다. 또한 예컨대 제3 실시 형태의 성막 시퀀스(도 6 참조)에서는 질화막 중에 산소를 첨가하는 공정을 스텝3b와 동시에 수행해도 좋고, 스텝3b 전에 수행해도 좋고, 스텝3b 후에 수행해도 좋다.
이와 같이 질화막을 형성하는 공정에서 또한 질화막 중에 산소를 첨가하는 공정을 설치하는 것에 의해 산소가 첨가된 질화막을 형성하는 것도 가능하다.
또한 전술한 실시 형태에서는 SiO/SiN/SiO의 적층 구조를 가지는 적층막의 형성예에 대하여 설명하였지만, 본 발명은 이러한 경우에 한정되지 않는다. 예컨대 SiON/SiN/SiO의 적층 구조를 가지는 적층막을 형성하는 경우에도 바람직하게 적용할 수 있다. 그 외에 SiO/SiON/SiO의 적층 구조를 가지는 적층막이나, SiO/SiN/SiON의 적층 구조를 가지는 적층막이나, SiON/SiN/SiON의 적층 구조를 가지는 적층막 등, 산화막(SiO막), 질화막(SiN막) 및 산질화막(SiON막) 중 적어도 어느 하나를 포함하는 적층 구조를 가지는 적층막을 형성하는 경우에도 바람직하게 적용할 수 있다.
예컨대 기판 상에 산화막, 질화막, 산질화막이 순서대로 적층되어 이루어지는 적층 구조의 절연막을 형성하는 성막 시퀀스의 예를 도 14, 도 15에 도시한다. 도 14는 본 발명의 다른 실시 형태에 따른 성막 플로우를 도시하는 도면이다. 도 15는 본 발명의 다른 실시 형태에 따른 가스 공급의 타이밍을 도시하는 도면이다.
도 14, 도 15에서의 산화막을 형성하는 공정이나 질화막을 형성하는 공정은 전술한 제1 실시 형태의 성막 시퀀스(도 4 참조)에서의 제1 실리콘 산화막 형성 공정이나 실리콘 질화막 형성 공정과 마찬가지로 수행할 수 있다. 도 14, 도 15에서의 산질화막을 형성하는 공정은, 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정과, 산화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여 실행된다. 이 경우의 처리 조건이나 사용하는 가스는 예컨대 제1 실시 형태의 성막 시퀀스(도 4 참조)에서의 제2 실리콘 산화막 형성 공정과 마찬가지의 처리 조건, 가스로 할 수 있다. 단, 스텝5c에서는 논 플라즈마의 분위기 하에서 즉 실리콘 질화층의 산화 반응이 불포화가 되는 조건 하에서 실리콘 질화층을 산화하여 실리콘 질화층을 실리콘 산질화층(SiON층)으로 변화(개질)시킬 필요가 있다. 즉 스텝5c에서는 실리콘 질화층의 산화를 억제하면서 실리콘 질화층을 산화할 필요가 있다.
또한 전술한 각 실시 형태와 같이 원료 가스로서 클로로실란계 원료 가스인 HCDS가스 등의 흡착성이 높은 원료 가스, 즉 반응성이 높은 원료 가스를 사용할 경우, 전술한 각 실시 형태에서의 처리 조건 하에서는 기판의 온도를 마찬가지의 온도로 보지하면서, 산화막과, 질화막과, 산질화막을 성막하는 것이 가능하다.
또한 전술한 실시 형태에서는 박막으로서, 반도체 원소인 실리콘을 포함하는 실리콘계 절연막의 적층막을 형성하는 예에 대하여 설명하였지만, 본 발명은 이러한 경우에 한정되지 않는다. 예컨대 본 발명은 예컨대 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈(Ta), 알루미늄(Al), 몰리브덴(Mo) 등의 금속 원소를 포함하는 금속계 박막의 적층막을 형성하는 경우에도 바람직하게 적용할 수 있다.
예컨대 본 발명은 티타늄계 박막인 티타늄 산화막(TiO막)과 티타늄 질화막(TiN막)의 적층 구조를 가지는 적층막을 형성하는 경우나, 지르코늄계 박막인 지르코늄 산화막(ZrO막)과 지르코늄 질화막(ZrN막)의 적층 구조를 가지는 적층막을 형성하는 경우나, 하프늄계 박막인 하프늄 산화막(HfO막)과 하프늄 질화막(HfN막)의 적층 구조를 가지는 적층막을 형성하는 경우나, 탄탈계 박막인 탄탈 산화막(TaO막)과 탄탈 질화막(TaN막)의 적층 구조를 가지는 적층막을 형성하는 경우나, 알루미늄계 박막인 알루미늄 산화막(AlO막)과 알루미늄 질화막(AlN막)의 적층 구조를 가지는 적층막을 형성하는 경우나, 몰리브덴계 박막인 몰리브덴 산화막(MoO막)과 몰리브덴 질화막(MoN막)의 적층 구조를 가지는 적층막을 형성하는 경우에도 바람직하게 적용할 수 있다.
이 경우, 원료 가스로서 금속 원소를 포함하는 원료를 이용하여, 전술한 실시 형태와 마찬가지의 성막 시퀀스에 의해 성막을 수행할 수 있다. 또한 질소 함유 가스, 산소 함유 가스 및 수소 함유 가스는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 처리 조건도 전술한 실시 형태와 마찬가지의 처리 조건을 이용할 수 있다.
예컨대 티타늄계 박막을 형성하는 경우에는 원료로서 티타늄테트라클로라이드(TiCl4), 테트라키스에틸메틸아미노티타늄(Ti[N(C2H5)(CH3)]4, 약칭: TEMAT), 테트라키스디메틸아미노티타늄(Ti[N(CH3)2]4, 약칭: TDMAT), 테트라키스디에틸아미노티타늄(Ti[N(C2H5)2]4, 약칭: TDEAT) 등을 이용할 수 있다.
또한 예컨대 지르코늄계 박막을 형성하는 경우에는 원료로서 지르코늄테트라클로라이드(ZrCl4), 테트라키스에틸메틸아미노지르코늄(Zr[N(C2H5)(CH3)]4, 약칭: TEMAZ), 테트라키스디메틸아미노지르코늄(Zr[N(CH3)2]4, 약칭: TDMAZ), 테트라키스디에틸아미노지르코늄(Zr[N(C2H5)2]4, 약칭: TDEAZ) 등을 이용할 수 있다.
또한 예컨대 하프늄계 박막을 형성하는 경우에는 원료로서 하프늄테트라클로라이드(HfCl4), 테트라키스에틸메틸아미노하프늄(Hf[N(C2H5)(CH3)]4, 약칭: TEMAH), 테트라키스디메틸아미노하프늄(Hf[N(CH3)2]4, 약칭: TDMAH), 테트라키스디에틸아미노하프늄(Hf[N(C2H5)2]4, 약칭: TDEAH) 등을 이용할 수 있다.
이와 같이 본 발명은 실리콘계 박막의 적층막뿐만 아니라, 금속계 박막의 적층막의 성막에도 적용할 수 있고, 이 경우에서도 전술한 실시 형태와 마찬가지의 작용 효과를 얻을 수 있다. 즉 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 박막을 형성하는 경우에 바람직하게 적용할 수 있다.
또한 전술한 실시 형태에서는 한번에 복수 매의 기판을 처리하는 뱃치식의 기판 처리 장치를 이용하여 박막을 성막하는 예에 대하여 설명하였지만, 본 발명은 이에 한정되지 않고, 한번에 1장 또는 수매(數枚)의 기판을 처리하는 매엽식의 기판 처리 장치를 이용하여 박막을 성막할 경우에도 바람직하게 적용할 수 있다.
또한 전술한 각 실시 형태나 각 변형예나 각 응용예 등은 적절히 조합시켜서 이용할 수 있다.
또한 본 발명은 예컨대 기존의 기판 처리 장치의 프로세스 레시피를 변경하는 것에 의해서도 실현할 수 있다. 프로세스 레시피를 변경할 경우에는 본 발명에 따른 프로세스 레시피를 전기 통신 회선이나 상기 프로세스 레시피를 기록한 기록 매체를 개재하여 기존의 기판 처리 장치에 인스톨하거나, 또한 기존의 기판 처리 장치의 입출력 장치를 조작하여 그 프로세스 레시피 자체를 본 발명에 따른 프로세스 레시피로 변경하는 것도 가능하다.
<실시예>
(실시예1)
본 실시예에서는 전술한 제1 실시 형태와 마찬가지의 수법을 이용하여, 동일한 처리 용기 내에서(인시츄로) 또한 동일한 온도로, 실리콘 웨이퍼 상에 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막이 순서대로 적층되어 이루어지는 ONO 적층 구조의 절연막을 형성하고, 그 처리의 스루풋(T.P.), 즉 1시간당의 웨이퍼 처리 매수[wfs/hr]를 측정하였다. 또한 비교예에서는 종래의 CVD법을 이용하여, 다른 처리 용기 내에서 또한 다른 온도로, 실리콘 웨이퍼 상에 실리콘 산화막, 실리콘 질화막, 실리콘 산화막이 순서대로 적층되어 이루어지는 ONO 적층 구조의 절연막을 형성하고, 그 처리의 스루풋[wfs/hr]을 측정하였다.
그 결과, 본 실시예에서는 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막의 막 두께를 각각 5nm으로 하였을 때, 각 공정에서의 처리 시간은 승온이 60분, 제1 실리콘 산화막의 성막이 11.5분, 실리콘 질화막의 성막이 13.3분, 제2 실리콘 산화막의 성막이 11.5분, 강온이 60분이 되고, 스루풋(T.P.)은 아래와 같이 되었다.
T.P.(실시예)=125[wfs]/((60+11.5+13.3+11.5+60)[min]/60[min])
=48.0[wfs/hr]
비교예에서는 실리콘 산화막, 실리콘 질화막, 실리콘 산화막의 막 두께를 모두 실시예와 마찬가지의 5nm으로 하였다. 그 결과, 스루풋(T.P.)은 성막 속도가 느린 실리콘 산화막의 성막 시간, 성막 공정 사이에서의 온도 조정 시간, 웨이퍼의 반송 시간 등에 율속(律速)되어 아래와 같이 되었다.
T.P.(비교예)=75[wfs]/6.6[hr]
=11.2[wfs/hr]
따라서 본 실시예에서 이용한 성막 시퀀스에 의하면, 비교예에서 이용한 종래의 CVD법에 비해 단위 시간당의 웨이퍼 처리 매수를 4배까지 높일 수 있음을 알았다. 즉 ONO 적층 구조의 절연막을 형성할 때의 생산성을 현저하게 향상할 수 있음을 알았다.
(실시예2)
본 실시예에서는 전술한 실시 형태와 마찬가지의 수법을 이용하여, 동일한 처리 용기 내에서(인시츄로) 또한 동일한 온도로, 실리콘 웨이퍼 상에 ONO 적층 구조를 형성하여 단면 TEM 화상을 관찰하였다. 그 결과, 웨이퍼 상에는 제1 실리콘 산화막, 실리콘 질화막, 제2 실리콘 산화막이 순서대로 적층됨을 확인할 수 있었다. 또한 어떠한 막도 균일한 두께로 형성되고, ONO 적층 구조의 절연막 전체에서 보아도 균일한 두께로 형성됨을 확인할 수 있었다.
(실시예3)
본 실시예에서는 전술한 실시 형태와 마찬가지의 수법을 이용하여, 동일한 처리 용기 내에서(인시츄로) 또한 동일한 온도로, 지름 300mm의 실리콘 웨이퍼 상에 ONO 적층 구조의 절연막을 형성하고, 그 면내 막 두께 분포를 측정하였다. 또한 ONO 적층 구조의 절연막은 3층(層)이 1개의 절연막으로서 동작하기 때문에, ONO 적층 구조의 절연막을 단막(單膜)이라고 가정하여 그 면내 막 두께 분포를 측정하였다. 또한 비교예1로서 지름 300mm의 실리콘 웨이퍼 상에 SiH4가스와 N2O가스의 반응에 의해 얻어지는 HTO단막을 형성하고, 그 면내 막 두께 분포를 측정하였다. 또한 비교예2로서 지름 300mm의 실리콘 웨이퍼 상에 DCS가스와 NH3가스의 반응에 의해 얻어지는 CVD-SiN단막을 형성하고, 그 면내 막 두께 분포를 측정하였다.
그 결과, 본 실시예의 ONO 적층 구조의 절연막의 면내 막 두께의 균일성은 0.5% 정도이었고, 비교예1, 2의 HTO단막, CVD-SiN단막의 면내 막 두께의 균일성은 2∼4% 정도이었다. 즉 본 실시예의 ONO 적층 구조의 절연막은 비교예1의 HTO단막이나, 비교예2의 CVD-SiN단막보다도 높은 면내 막 두께의 균일성을 가짐을 확인할 수 있었다. 즉 본 실시예의 ONO 적층 구조의 절연막을 반도체 장치의 일부의 층으로서 이용한 경우, EOT[등가(等價)산화막 두께]의 면내 균일성을 향상할 수 있음을 알았다.
(실시예4)
본 실시예에서는 도 14, 도 15의 성막 시퀀스에 의해, 동일한 처리 용기 내에서(인시츄로), 또한 동일한 온도로 실리콘 웨이퍼 상에 SiON/SiN/SiO의 적층 구조를 가지는 적층막을 형성하여 단면 TEM 화상을 관찰하였다. 그 결과, 웨이퍼 상에는 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막이 순서대로 적층됨을 확인할 수 있었다. 또한 어떠한 막도 균일한 두께로 형성되고, SiON/SiN/SiO의 적층 구조의 절연막 전체에서 보아도 균일한 두께로 형성됨을 확인할 수 있었다.
<본 발명이 바람직한 형태>
이하, 본 발명이 바람직한 형태를 부기(附記)한다.
(부기1)
본 발명의 일 형태에 의하면,
처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정, 질화 가스를 공급하는 공정 및 산화 가스를 공급하는 공정을 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 공정; 및 상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정;을 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고, 상기 산화막을 형성하는 공정 및 상기 질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 반도체 장치의 제조 방법이 제공된다.
(부기2)
부기1의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 사이클을 복수 회 반복한다.
(부기3)
부기1의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 사이클은, 상기 원료 가스를 공급하는 상기 공정과, 상기 질화 가스를 공급하는 상기 공정을 하나의 세트로 하여 상기 세트를 복수 회 반복하는 공정; 및 상기 산화 가스를 공급하는 상기 공정;을 포함하고, 상기 제1 사이클을 복수 회 반복한다.
(부기4)
부기1의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 산화막을 형성하는 공정은 상기 질화 가스를 공급하는 공정을 생략하고, 상기 산화 가스를 공급하는 공정은 상기 산화 가스에 더해 환원 가스도 공급한다..
(부기5)
부기1의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 산화막을 형성하는 공정은 상기 질화 가스를 공급하는 공정을 생략하고, 상기 산화 가스를 공급하는 공정은 상기 산화 가스에 더해 환원 가스도 공급하고,
상기 원료 가스를 공급하는 공정; 및 상기 산화 가스 및 상기 환원 가스를 공급하는 공정;을 1사이클로 하여 이 사이클을 복수 회 반복한다.
(부기6)
부기1의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 산화막을 형성하는 공정은 상기 질화 가스를 공급하는 공정을 생략하고, 상기 산화 가스를 공급하는 공정은 상기 산화 가스에 더해 환원 가스도 공급하고,
상기 원료 가스를 공급하는 공정; 및 상기 산화 가스 및 상기 환원 가스를 공급하는 공정;을 1사이클로 하여 이 사이클을 복수 회 반복하고, 그 후 다시 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 수행한다.
(부기7)
부기1의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 산화막을 형성하는 공정은 상기 원료 가스를 공급하는 공정 및 상기 질화 가스를 공급하는 공정을 생략하고, 상기 산화 가스를 공급하는 공정은 상기 산화 가스에 더해 환원 가스도 공급하고, 상기 산화 가스 및 상기 환원 가스를 연속적으로 공급한다.
(부기8)
부기1 내지 7 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 질화막을 형성하는 공정은 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정을 동시에 수행한다.
(부기9)
부기1 내지 8 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 적층막을 형성하는 공정은 상기 질화막 상에 상기 산화막을 형성하는 공정을 포함하고, 상기 질화막을 형성하는 공정은 상기 적층막에서 필요한 막 두께보다도 두꺼운 막 두께의 상기 질화막을 형성한다.
(부기10)
부기1 내지 9 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 산화막을 형성하는 공정은 상기 산화막에 질소를 첨가하는 공정을 더 포함한다.
(부기11)
부기1 내지 10 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 질화막을 형성하는 공정은 상기 질화막에 산소를 첨가하는 공정을 더 포함한다.
(부기12)
부기1 내지 11 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정과, 상기 산화 가스를 공급하는 공정을 포함하는 제3 사이클을 소정 횟수 수행하여 산질화막을 형성하는 공정을 더 포함하고,
상기 적층막을 형성하는 공정은 상기 산화막을 형성하는 상기 공정과, 상기 질화막을 형성하는 상기 공정과, 상기 산질화막을 형성하는 상기 공정을 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막과 상기 산질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고,
상기 산화막을 형성하는 공정, 상기 질화막을 형성하는 공정 및 상기 산질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행된다.
(부기13)
본 발명의 다른 형태에 의하면,
처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정과, 산화 가스를 공급하는 공정을 포함하는 제1 사이클을 소정 횟수 수행하여 상기 기판 상에 제1 산화막을 형성하는 공정;
상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정을 포함하는 제2 사이클을 소정 횟수 수행하여 상기 제1 산화막 상에 질화막을 형성하는 공정; 및
상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정과, 상기 산화 가스를 공급하는 공정을 포함하는 제3 사이클을 소정 횟수 수행하여 상기 질화막 상에 제2 산화막을 형성하는 공정;
을 포함하고,
상기 제1 산화막을 형성하는 공정, 상기 질화막을 형성하는 공정 및 상기 제2 산화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 반도체 장치의 제조 방법이 제공된다.
(부기14)
부기13의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 사이클 및 상기 제3 사이클 중 적어도 하나를 복수 회 반복한다.
(부기15)
부기13의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 사이클 및 상기 제3 사이클 중 적어도 하나는, 상기 원료 가스를 공급하는 상기 공정과, 상기 질화 가스를 공급하는 상기 공정을 1세트로 하여 상기 세트를 복수 회 반복하는 공정; 및 상기 산화 가스를 공급하는 상기 공정;을 포함하고, 상기 제1 사이클 및 상기 제3 사이클 중 적어도 하나를 복수 회 반복한다.
(부기16)
부기13의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 산화막을 형성하는 공정 및 상기 제2 산화막을 형성하는 공정 중 적어도 어느 하나는 상기 질화 가스를 공급하는 상기 공정을 생략하고, 상기 산화 가스를 공급하는 공정은 상기 산화 가스에 더해 환원 가스도 공급한다.
(부기17)
부기13의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 산화막을 형성하는 공정 및 상기 제2 산화막을 형성하는 공정 중 적어도 어느 하나는 상기 질화 가스를 공급하는 공정을 생략하고, 상기 산화 가스를 공급하는 공정은 상기 산화 가스에 더해 환원 가스도 공급하고,
상기 제1 사이클 및 상기 제3 사이클 중 적어도 하나는, 상기 원료 가스를 공급하는 공정; 및 상기 산화 가스 및 상기 환원 가스를 공급하는 공정;을 포함하고, 상기 제1 사이클 및 상기 제3 사이클 중 적어도 하나를 복수 회 반복한다.
(부기18)
부기13의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 산화막을 형성하는 공정 및 상기 제2 산화막을 형성하는 공정 중 적어도 어느 하나는 상기 질화 가스를 공급하는 상기 공정을 생략하고, 상기 산화 가스를 공급하는 공정은 산화 가스에 더해 환원 가스도 공급하고,
상기 제1 사이클 및 상기 제3 사이클 중 적어도 하나는, 상기 원료 가스를 공급하는 상기 공정; 및 상기 산화 가스 및 상기 환원 가스를 공급하는 상기 공정;을 포함하고,
상기 제1 산화막을 형성하는 공정 및 상기 제2 산화막을 형성하는 공정 중 적어도 어느 하나는 상기 제1 사이클 및 상기 제3 사이클 중 적어도 하나를 복수 회 반복하고, 그 후 다시 상기 산화 가스 및 상기 환원 가스를 공급하는 상기 공정을 수행한다.
(부기19)
부기13의 반도체 장치의 제조 방법으로서, 바람직하게는,
상기 제1 산화막을 형성하는 공정 및 상기 제2 산화막을 형성하는 공정 중 적어도 어느 하나는 상기 원료 가스를 공급하는 상기 공정 및 상기 질화 가스를 공급하는 상기 공정을 생략하고, 상기 산화 가스를 공급하는 공정은 상기 산화 가스에 더해 환원 가스도 공급하고, 상기 산화 가스 및 상기 환원 가스를 연속적으로 공급한다.
(부기20)
본 발명의 또 다른 형태에 의하면,
처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정; 및 상기 처리 용기 내의 상기 기판에 대하여, 질화 가스를 공급하는 공정;을 포함하는 사이클을 복수 회 반복하는 공정을 포함하고,
상기 사이클을 복수 회 반복하는 공정에서의 소정 기간으로서, 상기 소정 기간의 각 사이클에서의 상기 질화 가스를 공급하는 공정 후, 상기 원료 가스를 공급하는 공정 전에 상기 처리 용기 내의 상기 기판에 대하여, 산화 가스를 공급하는 공정을 수행하여, 상기 기판 상에 산화막과 질화막이 적층되어 이루어지는 적층막을 형성하고,
상기 각 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 반도체 장치의 제조 방법이 제공된다.
(부기21)
본 발명의 또 다른 형태에 의하면,
처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정과, 산화 가스를 공급하는 공정을 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 공정; 및 상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정을 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정;을 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고,
상기 산화막을 형성하는 공정 및 상기 질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 기판 처리 방법이 제공된다.
(부기22)
본 발명의 또 다른 형태에 의하면,
기판을 수용하는 처리 용기;
상기 처리 용기 내의 기판을 가열하는 히터;
상기 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 원료 가스 공급계;
상기 처리 용기 내의 기판에 대하여, 질화 가스를 공급하는 질화 가스 공급계;
상기 처리 용기 내의 기판에 대하여, 산화 가스를 공급하는 산화 가스 공급계; 및
상기 처리 용기 내의 기판에 대하여, 상기 원료 가스를 공급하는 처리와, 상기 질화 가스를 공급하는 처리와, 상기 산화 가스를 공급하는 처리를 포함하는 제1 사이클을 소정 횟수 수행하여, 산화막을 형성하는 처리; 및 상기 처리 용기 내의 상기 기판에, 상기 원료 가스를 공급하는 처리와, 상기 질화 가스를 공급하는 처리를 포함하는 제2 사이클을 소정 횟수 수행하여, 질화막을 형성하는 처리;를 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 처리를 수행하고, 상기 산화막을 형성하는 처리 및 상기 질화막을 형성하는 처리가 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되도록, 상기 히터, 상기 원료 가스 공급계, 상기 질화 가스 공급계 및 상기 산화 가스 공급계를 제어하는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
(부기23)
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 순서와, 질화 가스를 공급하는 순서와, 산화 가스를 공급하는 순서를 포함하는 제1 사이클을 소정 횟수 수행하여, 산화막을 형성하는 순서; 및
상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 순서와, 상기 질화 가스를 공급하는 순서를 포함하는 제2 사이클을 소정 횟수 수행하여, 질화막을 형성하는 순서;
를 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 순서를 컴퓨터에 실행시키고,
상기 산화막을 형성하는 순서 및 상기 질화막을 형성하는 순서가 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 프로그램이 제공된다.
(부기24)
본 발명의 또 다른 형태에 의하면,
기판 처리 장치의 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 순서와, 질화 가스를 공급하는 순서와, 산화 가스를 공급하는 순서를 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 순서; 및
상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 순서와, 상기 질화 가스를 공급하는 순서를 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 순서;
를 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 순서를 컴퓨터에 실행시키고,
상기 산화막을 형성하는 순서 및 상기 질화막을 형성하는 순서가 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121: 컨트롤러(제어부) 200: 웨이퍼(기판)
201: 처리실 202: 처리로
203: 반응관 207: 히터
231: 배기관 244: APC 밸브(압력 조정부)

Claims (20)

  1. 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정과, 산화 가스를 공급하는 공정을 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 공정; 및
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정을 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정;
    을 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고,
    상기 산화막을 형성하는 공정 및 상기 질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 제1 사이클을 복수 회 반복하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서, 상기 제1 사이클은,
    상기 원료 가스를 공급하는 상기 공정과, 상기 질화 가스를 공급하는 상기 공정을 하나의 세트로 하여 상기 세트를 복수 회 반복하는 공정; 및
    상기 산화 가스를 공급하는 상기 공정;
    을 포함하고,
    상기 제1 사이클을 복수 회 반복하는 반도체 장치의 제조 방법.
  4. 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 산화 가스 및 환원 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하고, 그 후 재차 상기 산화 가스 및 상기 환원 가스를 공급하여 산화막을 형성하는 공정; 및
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정을 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정;
    을 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고,
    상기 산화막을 형성하는 공정 및 상기 질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 반도체 장치의 제조 방법.
  5. 제4항에 있어서, 상기 산화막을 형성하는 공정에서는, 상기 사이클을 복수 회 반복한 후에 재차 상기 산화 가스 및 상기 환원 가스를 공급하는 시간을, 상기 사이클을 복수 회 반복할 때에 1사이클 당 상기 산화 가스 및 상기 환원 가스를 공급하는 시간보다도 길게 하는 반도체 장치의 제조 방법.
  6. 삭제
  7. 제1항에 있어서, 상기 질화막을 형성하는 공정에서는 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정을 동시에 수행하는 반도체 장치의 제조 방법.
  8. 제1항에 있어서, 상기 적층막을 형성하는 공정은 상기 질화막 상에 상기 산화막을 형성하는 공정을 포함하고,
    상기 질화막을 형성하는 공정에서는 상기 적층막에서 필요한 막 두께보다도 두꺼운 막 두께의 상기 질화막을 형성하는 반도체 장치의 제조 방법.
  9. 제1항에 있어서, 상기 산화막을 형성하는 공정은 상기 산화막 중에 질소를 첨가하는 공정을 더 포함하는 반도체 장치의 제조 방법.
  10. 제1항에 있어서, 상기 질화막을 형성하는 공정은 상기 질화막 중에 산소를 첨가하는 공정을 더 포함하는 반도체 장치의 제조 방법.
  11. 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정과, 산화 가스를 공급하는 공정을 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 공정;
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정을 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정; 및
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정과, 상기 산화 가스를 공급하는 공정을 포함하는 제3 사이클을 소정 횟수 수행하여 산질화막을 형성하는 공정;
    을 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막과 상기 산질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고,
    상기 산화막을 형성하는 공정, 상기 질화막을 형성하는 공정 및 상기 산질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 반도체 장치의 제조 방법.
  12. 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정과, 산화 가스를 공급하는 공정을 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 공정; 및
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정을 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정;
    을 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고,
    상기 산화막을 형성하는 공정 및 상기 질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 기판 처리 방법.
  13. 기판을 수용하는 처리 용기;
    상기 처리 용기 내의 기판을 가열하는 히터;
    상기 처리 용기 내의 기판에 대하여 원료 가스를 공급하는 원료 가스 공급계;
    상기 처리 용기 내의 기판에 대하여 질화 가스를 공급하는 질화 가스 공급계;
    상기 처리 용기 내의 기판에 대하여 산화 가스를 공급하는 산화 가스 공급계; 및
    상기 처리 용기 내의 기판에 대하여, 상기 원료 가스를 공급하는 처리와, 상기 질화 가스를 공급하는 처리와, 상기 산화 가스를 공급하는 처리를 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 처리;및 상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 처리와, 상기 질화 가스를 공급하는 처리를 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 처리;를 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 처리를 수행하고, 상기 산화막을 형성하는 처리 및 상기 질화막을 형성하는 처리가 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되도록, 상기 히터, 상기 원료 가스 공급계, 상기 질화 가스 공급계 및 상기 산화 가스 공급계를 제어하는 제어부;
    를 포함하는 기판 처리 장치.
  14. 기판 처리 장치의 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 순서와, 질화 가스를 공급하는 순서와, 산화 가스를 공급하는 순서를 포함하는 제1 사이클을 소정 횟수 수행하여 산화막을 형성하는 순서; 및
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 순서와, 상기 질화 가스를 공급하는 순서를 포함하는 제2 사이클을 소정 횟수 수행하여 질화막을 형성하는 순서;
    를 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 순서를 컴퓨터에 실행시키고,
    상기 산화막을 형성하는 순서 및 상기 질화막을 형성하는 순서가 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
  15. 처리 용기 내의 기판에 대하여, 산화 가스 및 환원 가스를 연속적으로 공급하여 산화막을 형성하는 공정; 및
    상기 처리 용기 내의 상기 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정;
     을 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고,
     상기 산화막을 형성하는 공정 및 상기 질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행하는 반도체 장치의 제조 방법.
  16. 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정과, 산화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여 상기 기판 상에 제1 산화막을 형성하는 공정;
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여 상기 제1 산화막 상에 질화막을 형성하는 공정; 및
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 질화 가스를 공급하는 공정과, 상기 산화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여 상기 질화막 상에 제2 산화막을 형성하는 공정;
    을 포함하고,
    상기 제1 산화막을 형성하는 공정, 상기 질화막을 형성하는 공정 및 상기 제2 산화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행하는 반도체 장치의 제조 방법.
  17. 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 산화 가스 및 환원 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하고, 그 후 재차 상기 산화 가스 및 상기 환원 가스를 공급하여 상기 기판 상에 제1 산화막을 형성하는 공정;
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여 상기 제1 산화막 상에 질화막을 형성하는 공정; 및
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 상기 산화 가스 및 상기 환원 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하고, 그 후 재차 상기 산화 가스 및 상기 환원 가스를 공급하여 상기 질화막 상에 제2 산화막을 형성하는 공정;
    을 포함하고,
    상기 제1 산화막을 형성하는 공정, 상기 질화막을 형성하는 공정 및 상기 제2 산화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행하는 반도체 장치의 제조 방법.
  18. 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 공정과, 산화 가스 및 환원 가스를 공급하는 공정을 1사이클로 하여 이 사이클을 복수 회 반복하고, 그 후 재차 상기 산화 가스 및 상기 환원 가스를 공급하여 산화막을 형성하는 공정; 및
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 공정과, 질화 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 수행하여 질화막을 형성하는 공정;
    을 교호적으로 소정 횟수 수행하여 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 공정을 포함하고,
    상기 산화막을 형성하는 공정 및 상기 질화막을 형성하는 공정은 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행하는 기판 처리 방법.
  19. 기판을 수용하는 처리 용기;
    상기 처리 용기 내의 기판을 가열하는 히터;
    상기 처리 용기 내의 기판에 대하여 원료 가스를 공급하는 원료 가스 공급계;
    상기 처리 용기 내의 기판에 대하여 질화 가스를 공급하는 질화 가스 공급계;
    상기 처리 용기 내의 기판에 대하여 산화 가스를 공급하는 산화 가스 공급계;
    상기 처리 용기 내의 기판에 대하여 환원 가스를 공급하는 환원 가스 공급계; 및
    상기 처리 용기 내의 기판에 대하여, 상기 원료 가스를 공급하는 처리와, 상기 산화 가스 및 상기 환원 가스를 공급하는 처리를 1사이클로 하여 이 사이클을 복수 회 반복하고, 그 후 재차 상기 산화 가스 및 상기 환원 가스를 공급하여 산화막을 형성하는 처리; 및 상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 처리와, 상기 질화 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 수행하여 질화막을 형성하는 처리;를 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 처리를 수행하고, 상기 산화막을 형성하는 처리 및 상기 질화막을 형성하는 처리가 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되도록, 상기 히터, 상기 원료 가스 공급계, 상기 질화 가스 공급계, 상기 산화 가스 공급계 및 상기 환원 가스 공급계를 제어하는 제어부;
    를 포함하는 기판 처리 장치.
  20. 기판 처리 장치의 처리 용기 내의 기판에 대하여, 원료 가스를 공급하는 순서와, 산화 가스 및 환원 가스를 공급하는 순서를 1사이클로 하여 이 사이클을 복수 회 반복하고, 그 후 재차 상기 산화 가스 및 상기 환원 가스를 공급하여 산화막을 형성하는 순서; 및
    상기 처리 용기 내의 상기 기판에 대하여, 상기 원료 가스를 공급하는 순서와, 질화 가스를 공급하는 순서를 포함하는 사이클을 소정 횟수 수행하여 질화막을 형성하는 순서;
    를 교호적으로 소정 횟수 수행하여, 상기 기판 상에 상기 산화막과 상기 질화막이 적층되어 이루어지는 적층막을 형성하는 순서를 컴퓨터에 실행시키고,
    상기 산화막을 형성하는 순서 및 상기 질화막을 형성하는 순서가 상기 기판의 온도를 동일한 온도로 보지하면서 연속적으로 수행되는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020120101365A 2011-09-16 2012-09-13 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체 KR101396243B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2011203799 2011-09-16
JPJP-P-2011-203799 2011-09-16
JPJP-P-2012-166405 2012-07-26
JP2012166405A JP2013077805A (ja) 2011-09-16 2012-07-26 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
KR20130030213A KR20130030213A (ko) 2013-03-26
KR101396243B1 true KR101396243B1 (ko) 2014-05-16

Family

ID=47881057

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120101365A KR101396243B1 (ko) 2011-09-16 2012-09-13 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체

Country Status (4)

Country Link
US (1) US8901014B2 (ko)
JP (1) JP2013077805A (ko)
KR (1) KR101396243B1 (ko)
TW (1) TWI536457B (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5869923B2 (ja) * 2012-03-09 2016-02-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5922542B2 (ja) * 2012-09-19 2016-05-24 東京エレクトロン株式会社 積層膜の形成方法およびその形成装置
JP2015015384A (ja) * 2013-07-05 2015-01-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP6124724B2 (ja) * 2013-07-25 2017-05-10 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP5852147B2 (ja) 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP2015198185A (ja) * 2014-04-02 2015-11-09 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2016038660A1 (ja) * 2014-09-08 2016-03-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US20160268299A1 (en) 2015-03-13 2016-09-15 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
LU92795B1 (en) * 2015-08-10 2017-02-14 Luxembourg Inst Science & Tech List SIO2 thin film produced by atomic layer deposition at room temperature
SG10201607880PA (en) * 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
JP6436886B2 (ja) * 2015-09-28 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法及びプログラム
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6523186B2 (ja) * 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6462161B2 (ja) * 2016-02-09 2019-01-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US20190032197A1 (en) * 2016-02-17 2019-01-31 Innohance Co., Ltd. Cathode for plasma treatment apparatus
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
JP6755164B2 (ja) 2016-11-14 2020-09-16 東京エレクトロン株式会社 TiN系膜およびその形成方法
JP6890476B2 (ja) * 2016-11-24 2021-06-18 東京エレクトロン株式会社 シリコン含有膜の形成方法
CN107665829B (zh) 2017-08-24 2019-12-17 长江存储科技有限责任公司 晶圆混合键合中提高金属引线制程安全性的方法
DE102020005907A1 (de) 2020-09-28 2022-03-31 Cellcentric Gmbh & Co. Kg Faserablegerolle für einen Endlosfaserwickelprozess, Wickelvorrichtung und Wickelverfahren
KR20240044860A (ko) * 2022-09-29 2024-04-05 (주)이큐테크플러스 고밀도 라디컬을 이용하여 계면을 질화하는 기법이 적용된 박막 생성 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040001285A (ko) * 2002-06-27 2004-01-07 동부전자 주식회사 반도체 소자의 제조 방법
KR100629457B1 (ko) * 1998-11-27 2006-09-28 동경 엘렉트론 주식회사 피처리체를 열처리하는 열처리장치 및 그 세정방법
JP2010268007A (ja) * 2007-12-26 2010-11-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
KR20110139179A (ko) * 2009-09-30 2011-12-28 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6117777A (en) * 1997-07-30 2000-09-12 Chartered Semiconductor Manufacturing Co. Chemical mechanical polish (CMP) endpoint detection by colorimetry
JP3667535B2 (ja) 1998-09-17 2005-07-06 東京エレクトロン株式会社 成膜方法
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
JP2005536055A (ja) * 2002-08-18 2005-11-24 アヴィザ テクノロジー インコーポレイテッド 酸化シリコン及び酸窒化シリコンの低温堆積
US6780708B1 (en) * 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
JP4694209B2 (ja) 2005-01-05 2011-06-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR101100428B1 (ko) * 2005-09-23 2011-12-30 삼성전자주식회사 SRO(Silicon Rich Oxide) 및 이를적용한 반도체 소자의 제조방법
JP4916257B2 (ja) * 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
US20080157181A1 (en) * 2006-12-28 2008-07-03 Hynix Semiconductor Inc. Non-volatile memory device and fabrication method thereof
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2009295621A (ja) * 2008-06-02 2009-12-17 Panasonic Corp 半導体装置及びその製造方法
US8465591B2 (en) * 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
JP5190307B2 (ja) * 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5155070B2 (ja) 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
KR101583608B1 (ko) * 2009-03-24 2016-01-08 삼성전자 주식회사 무기계 실리콘 전구체를 이용한 실리콘 산화막의 형성 방법및 이를 이용한 반도체 장치의 제조 방법
JP2011066345A (ja) * 2009-09-18 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理システム
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5571770B2 (ja) * 2010-03-08 2014-08-13 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8518486B2 (en) * 2010-05-12 2013-08-27 Micron Technology, Inc. Methods of forming and utilizing rutile-type titanium oxide

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100629457B1 (ko) * 1998-11-27 2006-09-28 동경 엘렉트론 주식회사 피처리체를 열처리하는 열처리장치 및 그 세정방법
KR20040001285A (ko) * 2002-06-27 2004-01-07 동부전자 주식회사 반도체 소자의 제조 방법
JP2010268007A (ja) * 2007-12-26 2010-11-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
KR20110139179A (ko) * 2009-09-30 2011-12-28 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
TWI536457B (zh) 2016-06-01
JP2013077805A (ja) 2013-04-25
KR20130030213A (ko) 2013-03-26
US20130072027A1 (en) 2013-03-21
US8901014B2 (en) 2014-12-02
TW201322336A (zh) 2013-06-01

Similar Documents

Publication Publication Date Title
KR101396243B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101396253B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101570318B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101469379B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101097726B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR101074684B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
JP5562434B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101149380B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR101540534B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
TWI585857B (zh) 半導體裝置之製造方法、基板處理方法、及電腦可讀取記錄媒體
KR101628211B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP6022276B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20110114446A (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR101464209B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독 가능한 기록 매체
JP2012221978A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170421

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180418

Year of fee payment: 5