TWI536457B - 半導體裝置之製造方法、基板處理裝置及記錄媒體 - Google Patents

半導體裝置之製造方法、基板處理裝置及記錄媒體 Download PDF

Info

Publication number
TWI536457B
TWI536457B TW101129326A TW101129326A TWI536457B TW I536457 B TWI536457 B TW I536457B TW 101129326 A TW101129326 A TW 101129326A TW 101129326 A TW101129326 A TW 101129326A TW I536457 B TWI536457 B TW I536457B
Authority
TW
Taiwan
Prior art keywords
gas
film
forming
supplying
oxide film
Prior art date
Application number
TW101129326A
Other languages
English (en)
Other versions
TW201322336A (zh
Inventor
太田陽介
赤江尚德
廣瀨義朗
笹島亮太
Original Assignee
日立國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日立國際電氣股份有限公司 filed Critical 日立國際電氣股份有限公司
Publication of TW201322336A publication Critical patent/TW201322336A/zh
Application granted granted Critical
Publication of TWI536457B publication Critical patent/TWI536457B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

半導體裝置之製造方法、基板處理裝置及記錄媒體
本發明係關於包含於基板上形成薄膜之步驟的半導體裝置之製造方法及基板處理方法,以及該步驟中適合使用之基板處理裝置及記錄媒體。
作為半導體裝置之製造步驟中之一步驟,已有進行在基板上形成氧化膜與氮化膜交互積層而成之ONO積層構造之絕緣膜的步驟。此時,半導體裝置之特性係視ONO積層構造之絕緣膜的電性膜厚而變動。由於此變動成為半導體裝置之動作的偏差,故對構成ONO積層構造之絕緣膜的氧化膜及氮化膜,係要求高膜厚均勻性。
作為構成ONO積層構造之絕緣膜的氧化膜,係使用例如藉由矽烷(SiH4)氣體與氧化亞氮(N2O)氣體之反應所得的矽氧化膜(SiO膜)、亦即HTO(High Temperature Oxide)膜,或藉由TEOS(Si(OC2H5)4)氣體與氧(O2)氣體之反應所得的矽氧化膜(SiO膜)、亦即TEOS膜等。又,作為氮化膜,係使用例如藉由二氯矽烷(SiH2Cl2)氣體與氨(NH3)氣體之反應所得的矽氮化膜(SiN膜)等。
習知,此等膜係藉由所謂LP-CVD(Low Pressure-Chemical Vapor Deposition)法所成膜。然而,在使用習知之LP-CVD法形成氧化膜或氮化膜時,有於膜厚發生例如2~4%之偏差的情形。
另外,習知之LP-CVD法中,在氧化膜與氮化膜的成膜溫度相異、進行連續成膜的情況,必須於成膜步驟之間進行溫度調整。因此,缺乏將此等膜於同一裝置連續地進行成膜的優點。因此,習知係使用不同裝置,依不同之溫度帶、非連續地形成此等膜。然而。此情況下,除了成膜步驟間之溫度調整、亦即基板溫度之升降之外,尚發生必須進行不同裝置間之基板搬送或搬送後之壓力調整等的情形,故使生產性大幅降低。
本發明之目的在於提供一種可使具有氧化膜與氮化膜之積層構造的絕緣膜的膜厚均勻性提升、提升成膜時之生產性的半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體。
根據本發明之一態樣,提供:一種半導體裝置之製造方法,其具有藉由交替地進行既定次數之下述步驟,而於基板上形成氧化膜與氮化膜積層而成之積層膜的步驟:藉由對處理容器內之基板進行既定次數之含有供給原料氣體之步驟、供給氮化氣體之步驟與供給氧化氣體之步驟的 周期,而形成氧化膜的步驟;與藉由對上述處理容器內之上述基板,進行既定次數之含有上述供給原料氣體之步驟與上述供給氮化氣體之步驟的周期,而形成氮化膜的步驟;於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之步驟及上述形成氮化膜之步驟連續地進行。
根據本發明之其他態樣,提供:一種基板處理方法,其具有藉由交替地進行既定次數之下述步驟,而於基板上形成氧化膜與氮化膜積層而成之積層膜的步驟:藉由對處理容器內之基板進行既定次數之含有供給原料氣體之步驟、供給氮化氣體之步驟與供給氧化氣體之步驟的周期,而形成氧化膜的步驟;與藉由對上述處理容器內之上述基板進行既定次數之含有上述供給原料氣體之步驟與上述供給氮化氣體之步驟的周期,而形成氮化膜的步驟;於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之步驟及上述形成氮化膜之步驟連續地進行。
根據本發明之再其他態樣,提供:一種基板處理裝置,係具有:收容基板之處理容器;對上述處理容器內之基板進行加熱的加熱器; 對上述處理容器內之基板供給原料氣體的原料氣體供給系統;對上述處理容器內之基板供給氮化氣體的氮化氣體供給系統;對上述處理容器內之基板供給氧化氣體的氧化氣體供給系統;與控制部,係依下述方式而控制上述加熱器、上述原料氣體供給系統、上述氮化氣體供給系統及上述氧化氣體供給系統:藉由交替地進行既定次數之下述處理,而於上述基板上形成上述氧化膜與上述氮化膜積層而成之積層膜的處理:藉由對上述處理容器內之基板進行既定次數之含有上述供給原料氣體之處理、供給上述氮化氣體之處理與供給上述氧化氣體之處理的周期,而形成氧化膜的處理;與藉由對上述處理容器內之上述基板進行既定次數之含有供給上述原料氣體之處理與供給上述氮化氣體之處理的周期,而形成氮化膜的處理;於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之處理及上述形成氮化膜之處理連續地進行。
根據本發明之再其他態樣,提供:一種記錄媒體,其係記錄了下述程式之電腦可讀取者;該程式係使電腦執行交替地進行既定次數之下述手續,而於基板上形成氧化膜與氮化膜積層而成之積層膜的手續: 藉由對基板處理裝置之處理容器內之基板進行既定次數之含有供給原料氣體之手續、供給氮化氣體之手續與供給氧化氣體之手續的周期,而形成氧化膜的手續;與藉由對上述處理容器內之上述基板進行既定次數之含有上述供給原料氣體之手續與上述供給氮化氣體之手續的周期,而形成氮化膜的手續;並於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之手續及上述形成氮化膜之手續連續地進行。
根據本發明,可提供一種可使具有氧化膜與氮化膜之積層構造的絕緣膜的膜厚均勻性提升、提升成膜時之生產性的半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體。
<本發明之第1實施形態>
以下參照圖式說明本發明之第1實施形態。
(1)基板處理裝置之構成
圖1為本實施形態所適合使用之基板處理裝置之縱型處理爐之概略構成圖,以縱剖面圖表示處理爐202部分;圖2為本實施形態所適合使用之縱型處理爐之概略構成圖,以圖1之A-A線剖面圖表示處理爐202部分。又,本發明並不限定於本實施形態之基板處理裝置,亦可適合應用於具有葉片 式、熱壁(Hot Wall)型、冷壁(Cold Wall)型之處理爐的基板處理裝置中。
如圖1所示,處理爐202具有作為加熱手段(加熱機構)的加熱器207。加熱器207為圓筒形狀,藉由作為支撐板之加熱器基底(未圖示)所支撐而垂直地裝配。又,加熱器207亦具有如後述般藉由熱使氣體活性化的活性化機構的功能。
於加熱器207內側,與加熱器207呈同心圓狀地配設有構成反應容器(處理容器)的反應管203。反應管203係由例如石英(SiO2)或碳化矽(SiC)等之耐熱性材料所構成,形成為上端封閉、下端開口的圓筒形狀。於反應管203之筒中空部形成有處理室201,構成為可將作為基板之晶圓200藉後述板217依水平姿勢朝垂直方向多段整列的狀態進行收容。
處理室201內,使作為第1氣體導入部之第1噴嘴233a、作為第2氣體導入部之第2噴嘴233b設置成貫通反應管203下部側壁。於第1噴嘴233a連接著第1氣體供給管232a。又,於第2噴嘴233b連接著第2氣體供給管232b、第3氣體供給管232c及第4氣體供給管232d。如此,於反應管203設有2根之噴嘴233a、233b與4根之氣體供給管232a、232b、232c、232d,構成為可對處理室201內供給複數種、此處為4種氣體。
尚且,於反應管203下方,設置支撐反應管203的金屬製多岐管,亦可將各噴嘴設置成貫通該金屬製多岐管的側壁。 此時,於該金屬製多岐管亦可進一步設置後述之排氣管231。又,即使是此情況,排氣管231可不設於金屬製多岐管,而設於反應管203下部。如此,使處理爐202之爐口部成為金屬製,亦可於該金屬製爐口部安裝噴嘴等。
於第1氣體供給管232a,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241a、及屬於開關閥之閥243a。又,在第1氣體供給管232a之較閥243a更下游側,連接有第1惰性氣體供給管232e。於該第1惰性氣體供給管232e,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241e、及屬於開關閥之閥243e。又,於第1氣體供給管232a之前端部,連接有上述第1噴嘴233a。第1噴嘴233a係於反應管203之內壁與晶圓200之間的圓弧狀空間,由反應管203內壁之下部起朝上部,設置成朝晶圓200之積載方向上方立起。亦即,第1噴嘴233a係在晶圓200所配列之晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。第1噴嘴233a係構成為L字型的長噴嘴,其水平部設置成貫通反應管203下部側壁,其垂直部設置成至少由晶圓配列區域之一端側朝另一端側立起。於第1噴嘴233a側面設有供給氣體之氣體供給孔248a。氣體供給孔248a係朝向反應管203中心開口,可朝晶圓200供給氣體。該氣體供給孔248a係涵括反應管203下部至上部而複數設置,分別具有 相同之開口面積,進而依相同開口間距設置。
主要由第1氣體供給管232a、質量流率控制器241a、閥243a構成第1氣體供給系統。又,亦可認為第1噴嘴233a包含於第1氣體供給系統中。又,主要由第1惰性氣體供給管232e、質量流率控制器241e、閥243e構成第1惰性氣體供給系統。第1惰性氣體供給系統亦作為迫淨氣體供給系統而作用。
於第2氣體供給管232b,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241b、及屬於開關閥之閥243b。又,在第2氣體供給管232b之較閥243b更下游側,連接有第2惰性氣體供給管232f。於該第2惰性氣體供給管232f,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241f、及屬於開關閥之閥243f。又,於第2氣體供給管232b之前端部,連接有上述第2噴嘴233b。第2噴嘴233b係設於屬於氣體分散空間的緩衝室237內。
緩衝室237係於反應管203之內壁與晶圓200之間的圓弧狀空間,由反應管203內壁之下部起朝上部,沿著晶圓200之積載方向設置。亦即,緩衝室237係在晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。於緩衝室237之與晶圓200鄰接之壁的端部,設有供給氣體之氣體供給孔248c。氣體供給孔248c係朝向反應管 203中心開口,可朝晶圓200供給氣體。該氣體供給孔248c係涵括反應管203下部至上部而複數設置,分別具有相同之開口面積,進而依相同開口間距設置。
第2噴嘴233b係於緩衝室237之設有氣體供給孔248c的端部相反側的端部,沿著反應管203內壁之下部起朝上部,設置成朝晶圓200之積載方向上方立起。亦即,第2噴嘴233b係在晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。第2噴嘴233b係構成為L字型的長噴嘴,其水平部設置成貫通反應管203下部側壁,其垂直部設置成至少由晶圓配列區域之一端側朝另一端側立起。於第2噴嘴233b側面設有供給氣體之氣體供給孔248b。氣體供給孔248b係朝向緩衝室237中心開口。該氣體供給孔248b係與緩衝室237之氣體供給孔248c同樣地,涵括反應管203下部至上部而複數設置。該複數之氣體供給孔248b之各自的開口面積,係在緩衝室237內與處理室201內之差壓較小時,由上游側(下部)起至下游側(上部),分別設為相同之開口面積且相同之開口間距;但在差壓較大時,係由上游側起至下游側,可分別增大開口面積且減小開口間距。
本實施形態中,係藉由將第2噴嘴233b之氣體供給孔248b之各個開口面積或開口間距,涵括上游側至下游側如上述般進行調節,藉此,首先,雖然分別來自氣體供給孔 248b之流速有差別,但使其噴出流率為幾乎相同的氣體。然後,將分別由該氣體供給孔248b所噴出之氣體,暫時導入緩衝室237內,於緩衝室237入進行氣體之流速差的均勻化。亦即,使分別由第2噴嘴233b之氣體供給孔248b噴出至緩衝室237內的氣體,於緩衝室237內緩和各氣體之粒子速度後,再由緩衝室237之氣體供給孔248c噴出至處理室201內。藉此,分別由第2噴嘴233b之氣體供給孔248b噴出至緩衝室237內的氣體,係在分別由緩衝室237之氣體供給孔248c噴出至處理室201內時,成為具有均勻之流率與流速的氣體。
主要由第2氣體供給管232b、質量流率控制器241b、閥243b構成第2氣體供給系統。又,亦可認為第2噴嘴233b及緩衝室237包括於第2氣體供給系統中。又,主要由第2惰性氣體供給管232f、質量流率控制器241f、閥243f構成第2惰性氣體供給系統。第2惰性氣體供給系統亦作為迫淨氣體供給系統而作用。
於第3氣體供給管232c,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241c、及屬於開關閥之閥243c。又,在第3氣體供給管232c之較閥243c更下游側,連接有第3惰性氣體供給管232g。於該第3惰性氣體供給管232g,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241g、及屬於開關閥之閥 243g。又,於第3氣體供給管232c之前端部,係連接於第2氣體供給管232b之較閥243b更下游側。
主要由第3氣體供給管232c、質量流率控制器241c、閥243c構成第3氣體供給系統。又,亦可認為在第2氣體供給管232b之較與第3氣體供給管232c的連接部更下游側,第2噴嘴233b及緩衝室237包含於第3氣體供給系統中。又,主要由第3惰性氣體供給管232g、質量流率控制器241g、閥243g構成第3惰性氣體供給系統。第3惰性氣體供給系統亦作為迫淨氣體供給系統而作用。
於第4氣體供給管232d,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器(MFC)241d、及屬於開關閥之閥243d。又,在第4氣體供給管232d之較閥243d更下游側,連接有第4惰性氣體供給管232h。於該第4惰性氣體供給管232h,由上游方向起依序設置屬於流率控制器(流率控制部)之質量流率控制器241h、及屬於開關閥之閥243h。又,於第4氣體供給管232d之前端部,係連接於第2氣體供給管232b之較閥243b更下游側。
主要由第4氣體供給管232d、質量流率控制器241d、閥243d構成第4氣體供給系統。又,亦可認為在第2氣體供給管232b之較與第4氣體供給管232d之連接部更下游側,第2噴嘴233b及緩衝室237包含於第4氣體供給系統中。又,主要由第4惰性氣體供給管232h、質量流率控制器 241h、閥243h構成第4惰性氣體供給系統。第4惰性氣體供給系統亦作為迫淨氣體供給系統而作用。
如此,本實施形態中之氣體供給的方法,係經由配置在由反應管203內壁與所積載之複數片晶圓200之端部所定義的圓弧狀縱長空間內的噴嘴233a、233b及緩衝室237而搬送氣體,由分別開口於噴嘴233a、233b及緩衝室237的氣體供給孔248a、248b、248c,於晶圓200附近初次使氣體噴出至反應管203內,使反應管203內之氣體的主要流動成為與晶圓200表面呈平行的方向、亦即水平方向。藉由此種構成,可對各晶圓200均勻地供給氣體,具有可使形成於各晶圓200之薄膜的膜厚均勻的效果。又,反應後之殘留氣體係朝排氣口、亦即後述之排氣管231的方向流動,但該殘留氣體之流動方向係藉排氣口位置而適當特定,並不限於垂直方向。
由第1氣體供給管232a,作為含有既定元素之原料氣體、亦即含有作為既定元素之矽(Si)的原料氣體(含矽氣體),使例如六氯矽烷(Si2Cl6,簡稱HCDS)氣體,經由質量流率控制器241a、閥243a、第1噴嘴233a供給至處理室201內。亦即,第1氣體供給系統係構成為原料氣體供給系統(含矽氣體供給系統)。又,在使用如HCDS般於常溫常壓下呈液體狀態的液體原料時,係將液體原料藉氣化器或起泡器等之氣化系統予以氣化,作為原料氣體而供給。在此同時,由第1 惰性氣體供給管232e,使惰性氣體經由質量流率控制器241e、閥243e供給至第1氣體供給管232a內。
由第2氣體供給管232b,作為含有氮之氣體(含氮氣體)、亦即氮化氣體,使例如氨(NH3)氣體,經由質量流率控制器241b、閥243b、第2噴嘴233b、衝緩室237供給至處理室201內。亦即,第2氣體供給系統係構成為含氮氣體供給系統(氮化氣體供給系統)。在此同時,由第2惰性氣體供給管232f,使惰性氣體經由質量流率控制器241f、閥243f供給至第2氣體供給管232b內。
由第3氣體供給管232c,作為含有氧之氣體(含氧氣體)、亦即氧化氣體,使例如氧(O2)氣體,經由質量流率控制器241c、閥243c、第2氣體供給管232b、第2噴嘴233b、緩衝室237供給至處理室201內。亦即,第3氣體供給系統係構成為含氧氣體供給系統(氧化氣體供給系統)。在此同時,由第3惰性氣體供給管232g,使惰性氣體經由質量流率控制器241g、閥243g供給至第3氣體供給管232c內。
由第4氣體供給管232d,作為含有氫之氣體(含氫氣體)、亦即還原氣體,使例如氫(H2)氣體,經由質量流率控制器241d、閥243d、第2氣體供給管232b、第2噴嘴233b、緩衝室237供給至處理室201內。亦即,第4氣體供給系統係構成為含氫氣體供給系統(還原氣體供給系統)。在此同時,由第4惰性氣體供給管232h,使惰性氣體經由質量流率控 制器241h、閥243h供給至第4氣體供給管232d內。
尚且,本實施形態中,雖使NH3氣體與O2氣體與H2氣體由相同噴嘴供給至處理室201內(緩衝室237內),但亦可分別經由不同的噴嘴供給至處理室201內,亦可僅將H2氣由另外之噴嘴供給至處理室201內。其中,在複數種氣體共用噴嘴的情況,有可減少噴嘴根數,可減低裝置成本,維護亦容易等的優點。又,亦可使H2氣體與HCDS氣體由相同噴嘴供給至處理室201內。於後述之成膜溫度帶後,H2氣體與HCDS氣體雖不進行反應,但一般認為NH3氣體與HCDS氣體及O2氣體與HCDS氣體分別進行反應,故最好將供給NH3氣體或O2氣體之噴嘴、與供給HCDS氣體的噴嘴分開。
緩衝室237內,如圖2所示,使具有細長構造之屬於第1電極之第1棒狀電極269及屬於第2電極之第2棒狀電極270,涵括反應管203之下部至上部沿著晶圓200之積層方向配設。第1棒狀電極269及第2棒狀電極270分別與第2噴嘴233b平行設置。第1棒狀電極269及第2棒狀電極270分別涵括上部至下部,由保護各電極之屬於保護管的電極保護管275所被覆而受到保護。該第1棒狀電極269或第2棒狀電極270之任一者,係經由整合器272連接於高頻電源273,另一者則連接至屬於基準電位的地線。經由整合器272由高頻電源273對第1棒狀電極269及第2棒狀電極270 間施加高頻電力,藉此於第1棒狀電極269及第2棒狀電極270之間的電漿生成區域224生成電漿。主要由第1棒狀電極269、第2棒狀電極270、電極保護管275構成作為電漿產生器(電漿產生部)的電漿源。又,亦可認為整合器272、高頻電源273包含於電漿源中。又,電漿源係如後述般作為藉電漿使氣體活性化的活性化機構而作用。
電極保護管275係具有可依使第1棒狀電極269及第2棒狀電極270分別與緩衝室237之環境隔離的狀態,插入至緩衝室237內的構造。於此,若電極保護管275之內部之氧濃度與外氣(大氣)氧濃度為相同程度,則分別插入至電極保護管275之第1棒狀電極269及第2棒狀電極270將因加熱器207所造成之熱而被氧化。因此,藉由事先將電極保護管275內部以氮氣等之惰性氣體填充,或將電極保護管275內部使用惰性氣體迫淨機構藉氮氣等之惰性氣體進行迫淨,則構成為可減低電極保護管275內部的氧濃度,並可防止第1棒狀電極269或第2棒狀電極270的氧化。
於反應管203,設有將處理室201內之環境氣體進行排氣的排氣管231。於排氣管231,經由作為檢測處理室201內壓力之壓力檢測器(壓力檢測部)的壓力感應器245及作為壓力調整器(壓力調整部)的APC(Auto Pressure Controller)閥244,連接著作為真空排氣裝置的真空泵246。又,APC閥244係構成為藉由在使真空泵246作動的狀態下開關閥,則 可進行處理室201內之真空排氣及真空排氣停止,進而,藉由在使真空泵246作動的狀態下調節閥開度,則可調整處理室201內的壓力。主要由排氣管231、APC閥244、壓力感應器245構成排氣系統。又,亦可認為真空泵246包含於排氣系統中。排氣系統係構成一邊使真空泵246作動,一邊根據由壓力感應器245所檢測出之壓力資訊調節APC閥244之閥開度,藉此可使處理室201內之壓力進行真空排氣至既定壓力(真空度)。
於反應管203下方,設有作為可使反應管203之下端開口氣密性封閉之爐口蓋體的密封蓋219。密封蓋219係由反應管之垂直方向下側抵接於反應管203下端。密封蓋219例如由不銹鋼等金屬所構成,形成為圓盤狀。於密封蓋219上面設有與反應管203下端抵接之作為密封構件的O型環220。在密封蓋219之與處理室201呈相反側,設置後述之作為基板保持具之使板217旋轉的旋轉機構267。旋轉機構267之旋轉軸255係貫通密封蓋219,連接至後述之板217。旋轉機構267係構成為藉由使板217旋轉而使晶圓200旋轉。密封蓋219係構成為藉由於反應管203外部垂直設置之作為升降機構的板升降器115而於垂直方向上進行升降。板升降器115係構成為藉由使密封蓋219升降,而可將板217對處理室201內外進行搬入搬出。亦即,板升降器115係構成為將板217、亦即晶圓200於處理室201內外進行搬送的搬送裝 置(搬送機構)。
作為基板支撐具的板217,係例如由石英或碳化矽等之耐熱性材料所構成,構成為使複數片晶圓200呈水平姿勢且依彼此中心齊合的狀態整列而予以多段性支撐。又,於板217下部,設有例如由石英或碳化矽等之耐熱性材料所構成的隔熱構件218。構成為使來自加熱器207之熱不易傳達至密封蓋219側。又,隔熱構件218亦可由含有石英或碳化矽等之耐熱性材料的複數片之隔熱板、與將其等依水平姿勢多段性地支撐的隔熱板固定器所構成。
反應管203內設置有作為溫度檢測器的溫度感應器263,根據由溫度感應器263所檢測出之溫度資訊調整對加熱器207的通電程度,藉此構成為使處理室201內之溫度成為所需之溫度分佈。溫度感應器263係與第1噴嘴233a及第2噴嘴233b同樣地構成為L字型,沿著反應管203內壁設置。
如圖3所示般,屬於控制部(控制手段)之控制器121係構成為具備CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶裝置121c、I/O埠121d的電腦。RAM121b、記憶裝置121c、I/O埠121d係經由內部匯流排121e,構成為可與CPU121a進行資料交換。於控制器121連接有例如構成為觸控面板等的輸出入裝置122。
記憶裝置121c係由例如快閃記憶體、HDD(Hard Disk Drive)等所構成。於記憶裝置121c內,可讀取性地儲存著 控制基板處理裝置之動作的控制程式、或記載了後述基板處理之手續或條件等之製程內容。又,製程內容係組合成使控制部121執行後述基板處理步驟中之各手續,而可得到既定結果者,發揮作為程式的機能。以下,有時將該製程內容或控制程式等整合簡稱為程式。又,本說明書中使用所謂程式的情況,係指僅包括製程內容單體的情況、僅包括控制製程單體的情況、或包括其兩者的情況。又,RAM121b係構成為暫時性保存由CPU121a所讀取之程式或資料等的記憶體區域(工作區域)。
I/O埠121d係連接於上述之質量流率控制器241a、241b、241c、241d、241e、241f、241g、241h、閥243a、243b、243c、243d、243e、243f、243g、243h、壓力感應器245、APC閥244、真空泵246、加熱器207、溫度感應器263、旋轉機構267、板升降器115、高頻電源273、整合器272等。
CPU121a係構成為由記憶裝置121c讀取控制程式而執行,並配合來自輸出入裝置122之操作指令之輸入等而由記憶裝置121c讀取製程內容。然後,CPU121a係構成為沿著所讀取之製程內容,控制由質量流率控制器241a、241b、241c、241d、241e、241f、241g、241h所進行之各種氣體的流率調整動作,閥243a、243b、243c、243d、243e、243f、243g、243h之閉關動作,APC閥244之開關動作及由APC閥244所進行之根據壓力感應器245的壓力調整動作,根據 溫度感應器263之加熱器207的溫度調整動作,真空泵246之起動及停止,由旋轉機構267所進行之板217之旋轉及旋轉速度調節動作,板升降器115所進行之板217的升降動作,高頻電源273之電力供給,整合器272之阻抗調整動作等。
尚且,控制器121並不限於構成為專用電腦的情形,亦可構成為通用電腦。例如,準備儲存了上述程式之外部記憶裝置(例如磁帶、軟碟或硬碟等之磁性碟、CD或DVD等之光碟、MO等之光磁性碟、USB記憶體或記憶卡等之半導體記憶體)123,使用此種外部記憶裝置123對通用電腦安裝程式等,藉此可構成本實施形態之控制器121。又,用於對電腦供給程式的手段,並不限定於經由外部記憶裝置123供給的情形。亦可例如使用網路或專用迴路等之通信手段,不經由外部記憶裝置123而供給程式。又,記憶裝置121c或外部記憶裝置123係構成電腦可讀取的記錄媒體。以下,有時將此等整合簡稱為記錄媒體。又,本說明書中,在使用所謂記錄媒體的用語時,係指僅包括記憶裝置121c單體的情形、僅包括外部記憶裝置123單體的情形、或包括其兩者的情形。
(2)基板處理步驟
接著,作為使用上述基板處理裝置之處理爐的半導體裝置(device)之製造步驟的一步驟,針對於基板上形成使第1氧 化膜、氮化膜、第2氧化膜依序積層而成之ONO積層構造之絕緣膜的例子,使用圖4、圖9進行說明。圖4為表示本實施形態之成膜流程的圖,圖9為表示本實施形態之氣體供給時機的圖。又,以下說明中,構成基板處理裝置之各部動作係由控制器121所控制。
本實施形態中,係具有:藉由對處理容器內之基板,進行既定次數之含有供給原料氣體之步驟、供給氮化氣體(含氮氣體)之步驟與供給氧化氣體(含氧氣體)之步驟的周期,而於基板上形成第1氧化膜的步驟;藉由對處理容器內之上述基板,進行既定次數之含有供給原料氣體之步驟與供給氮化氣體(含氮氣體)之步驟的周期,而於第1氧化膜上形成氮化膜的步驟;藉由對處理容器內之基板,進行既定次數之含有供給原料氣體之步驟、供給氮化氣體(含氮氣體)之步驟與供給氧化氣體(含氧氣體)之步驟的周期,而於氮化膜上形成第2氧化膜的步驟;並於使基板溫度保持為同樣溫度之下,將形成第1氧化膜之步驟、形成氮化膜之步驟及形成第2氧化膜之步驟連續地進行。
亦即,本實施形態中,係實施:藉由對未滿大氣壓之壓力下的處理容器內之基板,交替重 複進行供給原料氣體之步驟、供給含氮氣體之步驟與供給含氧氣體之步驟,而於基板上形成第1氧化膜的步驟;藉由對未滿大氣壓之壓力下的處理容器內之基板,交替重複進行供給原料氣體之步驟與供給含氮氣體之步驟,而於第1氧化膜上形成氮化膜的步驟;藉由對未滿大氣壓之壓力下的處理容器內之基板,交替重複進行供給原料氣體之步驟、供給含氮氣體之步驟與供給含氧氣體之步驟,而於氮化膜上形成第2氧化膜的步驟。
於此,於使基板溫度保持為同樣溫度帶之下,將形成第1氧化膜之步驟、形成氮化膜之步驟及形成第2氧化膜之步驟連續地進行。
尚且,本實施形態之形成第1氧化膜之步驟及形成第2氧化膜之步驟中,係分別以供給原料氣體之步驟、供給含氮氣體之步驟與供給含氧氣體之步驟作為1周期並重複該周期複數次。於此,在形成第1氧化膜之步驟及形成第2氧化膜之步驟中,係將含氧氣體藉電漿進行活性化而供給。
另外,本實施形態之形成氮化膜之步驟,係以供給原料氣體之步驟與供給含氮氣體之步驟作為1周期並重複該周期複數次。於此,形成氮化膜之步驟中,係將含氮氣體藉熱或電漿進行活性化而供給。
以下,具體說明本實施形態之成膜程序。於此,使用HCDS氣體作為原料氣體,使用NH3氣體作為含氮氣體,使用O2 氣體作為含氧氣體,使用N2氣體作為迫淨氣體,於作為基板之晶圓200上形成矽氧化膜(SiO2膜,以下有時稱為第1矽氧化膜或第1 SiO膜)作為第1氧化膜。其後,使用HCDS氣體作為原料氣體,使用NH3氣體作為含氮氣體,使用N2氣體作為迫淨氣體,於作為基底膜之第1矽氧化膜上形成矽氮化膜(Si3N4膜,以下有時稱為SiN膜)作為氮化膜。其後,使用HCDS氣體作為原料氣體,使用NH3氣體作為含氮氣體,使用O2氣體作為含氧氣體,使用N2氣體作為迫淨氣體,於作為基底膜之矽氮化膜上形成矽氧化膜(SiO2膜,以下有時稱為第2矽氧化膜或第2 SiO膜)作為第2氧化膜。藉此,於晶圓200上,形成使第1矽氧化膜、矽氮化膜、第2矽氧化膜依序積層而成的ONO積層構造的絕緣膜。又,如後述般,第1矽氧化膜形成步驟、矽氮化膜形成步驟、第2矽氧化膜形成步驟,係於將晶圓200溫度保持為同樣溫度帶之下,於同一處理容器內(in-situ)連續地進行。
尚且,本說明書中於使用「晶圓」之用語的情況,係包括意指「晶圓本身」之情形,或意指「晶圓與形成於其表面之既定層或膜等的積層體(集合體)」之情形(亦即,包含形成於表面之既定層或膜而稱為晶圓的情形)。又,本說明書中於使用「晶圓表面」之用語的情況,係包括意指「晶圓本身之表面(露出面)」之情形,或意指「形成於晶圓上之既定層或膜等的表面,亦即作為積層體之晶圓的最表面」之情形。
因此,本說明書中在記載為「對晶圓供給既定氣體」時,係包括意指「對晶圓本身之表面(露出面)直接供給既定氣體」的情形,或意指「對形成於晶圓上之層或膜等、亦即作為積層體之晶圓的最表面,供給既定氣體」的情形。又,本說明書中,在記載為「於晶圓上形成既定層(或膜)」時,係包括意指「於晶圓本身之表面(露出面)上直接形成既定層(或膜)」的情形,或意指「在形成於晶圓上之層或膜等上、亦即作為積層體之晶圓的最表面上,形成既定層(或膜)」的情形。
尚且,本說明書中,在使用「基板」之用語時,亦與使用「晶圓」之用語的情況相同,此時,可於上述說明中將「晶圓」置換為「基板」。
(晶圓載入及板搬入)
將複數片之晶圓200載入於板217(晶圓載入)時,如圖1所示般,支撐複數片晶圓200之板217藉板升降器115被上舉並搬入至處理室201內(板搬入)。於此狀態下,密封蓋219成為經由O型環220而將反應管203下端密封的狀態。
(壓力調整及溫度調整)
依處理室201內成為所需壓力(真空度)之方式藉真空泵246進行真空排氣。此時,處理室201內之壓力係藉壓力感應器245所測定,根據其測定之壓力資訊,使APC閥244進行回饋控制(壓力調整)。又,真空泵246係在至少對晶圓 200之處理結束為止的期間,維持經常作動的狀態。又,依使處理室201內成為所需溫度的方式,藉加熱器207進行加熱。此時,依處理室201內成為所需溫度分佈之方式,根據由溫度感應器263所檢測之溫度資訊對加熱器207之通電程度進行回饋控制(溫度調整)。又,由加熱器207所進行之處理室201內的加熱,係至少在對晶圓200之處理結束為止的期間內持續進行。接著,使由旋轉機構267所進行之板217及晶圓200的旋轉開始。又,由旋轉機構267所進行之板217及晶圓200的旋轉,係在至少對晶圓200之處理結束為止的期間內持續進行。
(第1矽氧化膜形成步驟)
其後,藉由以以下之步驟1a~6a作為1周期並重複該周期複數次,而於晶圓200上,形成既定膜厚之第1矽氧化膜。
[步驟1a]
打開第1氣體供給管232a之閥243a,於第1氣體供給管232a流通HCDS氣體。於第1氣體供給管232a內流通之HCDS氣體,係藉由質量流率控制器241a進行流率調整。經流率調整之HCDS氣體係由第1噴嘴233a之氣體供給孔248a供給至經加熱之減壓狀態的處理室201內,並由排氣管231被排氣(HCDS氣體供給)。
此時,亦可打開第1惰性氣體供給管232e之閥243e,由第1惰性氣體供給管232e供給N2氣體作為惰性氣體。N2 氣體係藉質量流率控制器241e進行流率調整,供給至第1氣體供給管232a內。經流率調整之N2氣體係於第1氣體供給管232a內,與經流率調整之HCDS氣體混合,由第1噴嘴233a之氣體供給孔248a,供給至經加熱之減壓狀態的處理室201內,並由排氣管231被排氣。又,此時,為了防止HCDS氣體侵入至緩衝室237內、或第2噴嘴233b內,而打開閥243f、243g、243h,於第2惰性氣體供給管232f、第3惰性氣體供給管232g、第4惰性氣體供給管232h內流通N2氣體。N2氣體係經由第2氣體供給管232b、第3氣體供給管232c、第4氣體供給管232d、第2噴嘴233b、緩衝室237而供給至處理室201內,並由排氣管231被排氣。
此時,適當調整APC閥244而使處理室201內之壓力成為未滿大氣壓,維持例如10~1000Pa範圍內的壓力。藉由質量流率控制器241a所控制之HCDS氣體的供給流率,設為例如10~1000sccm(0.01~1slm)之範圍內的流率。藉質量流率控制器241e、241f、241g、241h所控制之N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。將HCDS氣體對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),設為例如1~120秒範圍內的時間。加熱器207之溫度係設定為在上述壓力帶下於處理室201內不發生CVD反應的溫度。亦即,依使晶圓200之溫度成為例如350~700℃範圍內之溫度的方式,設定加熱器207的溫度。 又,若晶圓200之溫度未滿350℃,則於晶圓200上HCDS氣體不易分解、吸附。又,若晶圓200溫度超過700℃,則CVD反應變強,而容易使膜厚均勻性惡化。因此,較佳係將晶圓200溫度設為350~700℃。
藉由於上述條件、亦即產生CVD反應之條件下將HCDS氣體供給至處理室201內,於晶圓200(表面之基底膜)上,形成例如未滿1原子層至數原子層左右之厚度的含矽層。含矽層可為HCDS氣體之吸附層,亦可為矽層(Si層),亦可包括其兩者。其中,含矽層較佳係含有矽(Si)及氯(Cl)的層。
於此,所謂矽層,係除了由矽(Si)所構成之連續層之外,亦包括不連續之層、或將此等重疊而成的矽薄膜的總稱。又,有時亦將由Si所構成之連續層稱為矽薄膜。又,構成矽層之Si亦包括與Cl之鍵結未完全切斷者。
又,HCDS氣體之吸附層係除了HCDS氣體之氣體分子之連續性化學吸附層之外,亦包括不連續的化學吸附層。亦即,HCDS氣體之吸附層係包括由HCDS分子所構成之1分子層或未滿1分子層之厚度的化學吸附層。又,構成HCDS氣體之吸附層的HCDS(Si2Cl6)分子,亦包括Si與Cl之鍵結一部分切斷者(SixCly分子)。亦即,HCDS之吸附層係包括Si2Cl6分子及/或SixCly分子的連續化學吸附層或不連續化學吸附層。又,所謂未滿1原子層之厚度之層,係指不連續地形成的原子層,所謂1原子層之厚度之層,係指連續形成 之原子層。又,所謂未滿1分子層之厚度之層,係指不連續形成之分子層,所謂1分子層之厚度之層,係指連續形成之分子量。
在HCDS氣體進行自己分解(熱分解)的條件下、亦即發生HCDS之熱分解反應的條件下,藉由於晶圓200上堆積Si而形成矽層。在HCDS氣體不進行自己分解(熱分解)的條件下、亦即不發生HCDS之熱分解反應的條件下,於晶圓200上吸附HCDS氣體而形成HCDS氣體之吸附層。又,相較於在晶圓200上形成HCDS氣體之吸附層,於晶圓200上形成矽層者可增加成膜速率,故較佳。
若形成於晶圓200上之含矽層之厚度超過數原子層,則有於後述之步驟3a及步驟5a中之改質作用未能到達含矽層整體的情形。又,可形成於晶圓200上之含矽層的厚度最小值為未滿1原子層。因此,含矽層之厚度較佳為未滿1原子層至數原子層左右。又,藉由將含矽層之厚度設為1原子層以下、亦即1原子層或未滿1原子層,則可相對地提高後述步驟3a及步驟5a中之改質反應的作用,可縮短步驟3a及步驟5a中之改質反應所需的時間。亦可縮短步驟1a之含矽層形成所需的時間。結果,可縮短每1周期之處理時間,亦可縮短總合的處理時間。亦即,亦可提高成膜速率。又,藉由將含矽層之厚度設為1原子層以下,亦可提高膜厚均勻性的控制性。
作為原料氣體(含矽氣體),除了六氯二矽烷(Si2Cl6,簡稱:HCDS)氣體之外,亦可使用四氯矽烷、亦即四氯化矽(SiCl4,簡稱:STC)氣體、三氯矽烷(SiHCl3,簡稱:TCS)氣體、二氯矽烷(SiH2Cl2,簡稱:DCS)氣體、單氯矽烷(SiH3Cl,簡稱:MCS)氣體、單矽烷(SiH4)氣體等之無機原料,胺基矽烷系之肆二甲基胺基矽烷(Si[N(CH3)2]4,簡稱:4DMAS)氣體、參二甲基胺基矽烷(Si[N(CH3)2]3H,簡稱:3DMAS)氣體、雙二乙基胺基矽烷(Si[N(C2H5)2]2H2,簡稱:2DEAS)氣體、雙第三丁基胺基矽烷(SiH2[NH(C4H9)]2,簡稱:BTBAS)氣體等之有機原料。作為惰性氣體,除了N2氣體以外,亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等之稀有氣體。
[步驟2a]
於晶圓200上形成含矽層後,關閉第1氣體供給管232a之閥243a,停止HCDS氣體的供給。此時,使排氣管231之APC閥244維持打開,藉真空泵246對處理室201內進行真空排氣,使殘留於處理室201內之未反應或貢獻至含矽層形成後之HCDS氣體由處理室201內被排除。又,使閥243e、243f、243g、243h維持打開,並維持作為惰性氣體之N2氣體對處理室201內的供給。N2氣體作用為迫淨氣體,藉此,可提高使處理室201內殘留之未反應或貢獻至含矽層形成後之HCDS氣體由處理室201內被排除的效果(殘留氣體去除)。
又,此時,亦可不使殘留於處理室201內之氣體完全排除,亦可不對處理室201內進行完全迫淨。殘留於處理室201內之氣體若為微量,則不致於後述進行之步驟3a中造成不良影響。此時,供給至處理室201內之N2氣體的流率亦不需設為大流率,例如藉由供給與反應管203(處理室201)容積相同程度之量,則可進行於步驟3a中不發生不良影響之程度的迫淨。如此,藉由不對處理室201內進行完全迫淨,則可縮短迫淨時間、提升產率。又,N2氣體之消耗亦可抑制為所需最小限。
此時加熱器207之溫度,係設定為使晶圓200之溫度與HCDS氣體供給時相同的350~700℃範圍內的溫度。由各惰性氣體供給系統所供給之作為迫淨氣體的N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。作為迫淨氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
[步驟3a]
去除處理室201內的殘留氣體後,打開第2氣體供給管232b之閥243b,於第2氣體供給管232b流通NH3氣體。於第2氣體供給管232b內流通之NH3氣體,係藉由質量流率控制器241b進行流率調整。經流率調整之NH3氣體係經由第2氣體供給管232b,由第2噴嘴233b之氣體供給孔248b供給至經加熱之減壓狀態的緩衝室237內。此時,當對第1 棒狀電極269及第2棒狀電極270間施加高頻電力時,供給至緩衝室237內之NH3氣體被電漿所活性化。若於第1棒狀電極269及第2棒狀電極270間不施加高頻電力,則使供給至緩衝室237內之NH3氣體被熱活性化。本實施形態中,藉由不對第1棒狀電極269及第2棒狀電極270間施加高頻電力,則使供給至緩衝室237內之NH3氣體被熱活性化。藉此,供給至緩衝室237內之NH3氣體被熱活性化,由緩衝室237之氣體供給孔248c被供給至經加熱之減壓狀態的處理室201內,並由排氣管231被排氣(NH3氣體供給)。又,亦可使NH3氣體被電漿所活性化而供給,但藉熱活性化而供給者,可產生軟性反應,可使後述氮化軟性地進行。
此時,亦可打開第2惰性氣體供給管232f之閥243f,由第2惰性氣體供給管232f供給作為惰性氣體之N2氣體。N2氣體係藉質量流率控制器241f進行流率調整,供給至第2氣體供給管232b內。經流率調整之N2氣體係於第2氣體供給管232b內,與經流率調整之NH3氣體混合,由第2噴嘴233b之氣體供給孔248b,供給至經加熱之減壓狀態的緩衝室237內,並由緩衝室237之氣體供給孔248c供給至經加熱之減壓狀態的處理室201內,由排氣管231被排氣。又,此時,為了防止NH3氣體侵入至第1噴嘴233a內、第3氣體供給管232c內或第4氣體供給管232d內,而打開閥243e、243g、243h,於第1惰性氣體供給管232e、第3惰 性氣體供給管232g、第4惰性氣體供給管232h內流通N2氣體。N2氣體係經由第1氣體供給管232a、第3氣體供給管232c、第4氣體供給管232d、第1噴嘴233a、第2噴嘴233b、緩衝室237而供給至處理室201內,並由排氣管231被排氣。
此時,適當調整APC閥244而使處理室201內之壓力成為未滿大氣壓,維持例如1~3000Pa範圍內的壓力。藉由質量流率控制器241b所控制之NH3氣體的供給流率,設為例如100~10000sccm(0.1~10slm)之範圍內的流率。藉質量流率控制器241f、241e、241g、241h所控制之N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。將NH3氣體對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),設為例如1~120秒範圍內的時間。加熱器207之溫度係設定為使晶圓200溫度成為與步驟1a之HCDS氣體之供給時相同的溫度帶、亦即350~700℃範圍內之溫度。又,若為此範圍內之溫度,則可確認到於減壓環境下之NH3氣體所造成的氮化效果、亦即含矽層之氮化反應。又,若晶圓200之溫度降低,則亦確認到無法得到氮化效果。若考慮到產率,較佳係如此依於步驟1a~步驟3a中將處理室201內之溫度保持為同樣之溫度帶之方式,設定加熱器207的溫度。再者,較佳係依於步驟1a~步驟6a(後述)中將處理室201內溫度保持為同樣之溫度帶之方式,設定加熱器207的溫 度。此時,依於步驟1a~步驟6a(後述)中處理室201內溫度成為350~700℃範圍內之一定溫度的方式,設定加熱器207的溫度。
藉由依上述條件將NH3氣體供給至處理室201內,使NH3氣體於經加熱之減壓環境下、無電漿性地被熱活性化,或進行熱分解,而生成含有氮的氮化種。此時,由於在處理室201內未流動HCDS氣體,故NH3氣體不發生氣相反應,使NH3氣體被熱活性化、或熱分解而獲得之氮化種將於步驟1a中與形成於晶圓200上之含矽層之至少一部分進行反應。因此,對含矽層進行氮化處理,藉由此氮化處理,含矽層變化為矽氮化層(Si3N4層,以下有時簡稱為SiN層)(被改質)。
尚且,此時,亦可如上述般使NH3氣體被電漿活性化而流通。藉由使NH3氣體被電漿活性化而流通,則可生成含有更高能量之活性種的氮化種,藉由以該氮化種進行氮化處理,認為亦有提升裝置特性等的效果。在使NH3氣體被電漿活性化的情況,係藉由於第1棒狀電極269及第2棒狀電極270間由高頻電源273經由整合器272施加高頻電力,而使供給至緩衝室237內之NH3氣體被電漿活性化(電漿激發),作成含有NH3 (氨之活性種)之氣體(氮化種)而由氣體供給孔248c供給至處理室201內並由排氣管231進行排氣。此時,由高頻電源273對第1棒狀電極269及第2棒狀 電極270間施加的高頻電力,係設定為例如成為50~1000W範圍內的電力。其他處理條件設為與上述處理條件相同。又,於上述溫度帶下,使NH3氣體被充分熱活性化,生成充分量的氮化種。因此,使NH3氣體依無電漿性地被熱活性化,亦可得到充分的氮化力。又,如上述般使NH3氣體被熱活性化而供給者,可產生軟性之反應,並可軟性地進行上述氮化處理。
作為含氮氣體,除了NH3氣體之外,亦可使用二氮烯(N2H2)氣體、聯胺(N2H4)氣體、N3H8氣體,或胺系之氣體等。
[步驟4a]
使含矽層變化為矽氮化層後,關閉第2氣體供給管232b之閥243b,停止NH3氣體的供給。此時,使排氣管231之APC閥244維持打開,藉真空泵246對處理室201內進行真空排氣,使殘留於處理室201內之未反應或貢獻至矽氮化層形成後之NH3氣體或反應副產物由處理室201內被排除。又,使閥243f、243e、243g、243h維持打開,並維持作為惰性氣體之N2氣體對處理室201內的供給。N2氣體作用為迫淨氣體,藉此,可提高使處理室201內殘留之未反應或貢獻至矽氮化層形成後之NH3氣體或反應副產物由處理室201內被排除的效果(殘留氣體去除)。
又,此時,亦可不使殘留於處理室201內之氣體完全排除,亦可不對處理室201內進行完全迫淨。殘留於處理室 201內之氣體若為微量,則不致於後述進行之步驟5a中造成不良影響。此時,供給至處理室201內之N2氣體的流率亦不需設為大流率,例如藉由供給與反應管203(處理室201)容積相同程度之量,則可進行於步驟5a中不發生不良影響之程度的迫淨。如此,藉由不對處理室201內進行完全迫淨,則可縮短迫淨時間、提升產率。又,N2氣體之消耗亦可抑制為所需最小限。
此時加熱器207之溫度,係設定為使晶圓200之溫度與NH3氣體供給時相同的350~700℃範圍內的溫度。由各惰性氣體供給系統所供給之作為迫淨氣體的N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。作為迫淨氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
[步驟5a]
去除處理室201內之殘留氣體後,打開第3氣體供給管232c之閥243c,於第3氣體供給管232c流通O2氣體。於第3氣體供給管232c內流通之O2氣體,係藉由質量流率控制器241c進行流率調整。經流率調整之O2氣體係經由第2氣體供給管232b,由第2噴嘴233b之氣體供給孔248b供給至經加熱之減壓狀態的緩衝室237內。此時,在第1棒狀電極269及第2棒狀電極270間施加高頻電力。藉此,供給至緩衝室237之O2氣體,被電漿活性化(電漿激發),作成 含有活性種之氣體、亦即含有O2 (氧之活性種)之氣體(氧化種)由氣體供給孔248c供給至處理室201內,並由排氣管231被排氣(O2 氣體供給)。
此時,亦可打開第3惰性氣體供給管232g之閥243g,由第3惰性氣體供給管232g供給N2氣體作為惰性氣體。N2氣體係藉質量流率控制器241g進行流率調整,供給至第3氣體供給管232c內。經流率調整之N2氣體係於第3氣體供給管232c內,與經流率調整之O2氣體混合,由第2噴嘴233b之氣體供給孔248b,供給至經加熱之減壓狀態的緩衝室237內,並由緩衝室237之氣體供給孔248c供給至經加熱之減壓狀態的處理室201內,由排氣管231被排氣。又,此時,為了防止NH3氣體侵入至第1噴嘴233a內、第2氣體供給管232b之上游側或第4氣體供給管232d內,而打開閥243e、243f、243h,於第1惰性氣體供給管232e、第2惰性氣體供給管232f、第4惰性氣體供給管232h內流通N2氣體。N2氣體係經由第1氣體供給管232a、第2氣體供給管232b、第4氣體供給管232d、第1噴嘴233a、第2噴嘴233b、緩衝室237而供給至處理室201內,並由排氣管231被排氣。
此時,適當調整APC閥244而使處理室201內之壓力成為未滿大氣壓,維持例如1~1000Pa範圍內的壓力。藉由質量流率控制器241c所控制之O2氣體的供給流率,設為例如 100~10000sccm(0.1~10slm)之範圍內的流率。藉質量流率控制器241g、241e、241f、241h所控制之N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。由高頻電源273對第1棒狀電極269及第2棒狀電極270間施加的高頻電力,設定為例如50~1000W範圍內之電力。又,將O2氣體藉電漿進行活性化而獲得之氧化種對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),設為例如1~120秒範圍內的時間。加熱器207之溫度係設定為使晶圓200溫度成為與步驟1a之HCDS氣體之供給時或步驟3a之NH3氣體供給時相同的溫度帶、亦即350~700℃範圍內之溫度。若考慮到產率,較佳係如此依於步驟1a~5a中將處理室201內之溫度保持為同樣之溫度帶之方式,設定加熱器207的溫度。再者,更佳係如上述般,依於步驟1a~步驟6a(後述)中將處理室201內溫度保持為同樣之溫度帶之方式,設定加熱器207的溫度。
藉由依上述條件將氧化種、亦即含有O2 之氣體供給至處理室201內,主要藉由O2 之作用,對於步驟3a中形成於晶圓200上之矽氮化層進行氧化處理。又,由於此時處理室201內並未流通HCDS氣體或NH3氣體,故不發生氣相反應。於此氧化處理中,係一邊對矽氮化層加成O成分,主要藉O2 之能量由矽氮化層使N成分脫離。然後,增加矽氮化層中之Si-O鍵結,另一方面減少Si-N鍵結及Si-Si鍵結, 矽氮化層中之N成分的比例及Si成分的比例減少。尤其是N成分,係因其大部分脫離而減少至雜質程度,或實質上消滅。然後,藉由該氧化處理,使矽氮化層變化為矽氧化層(SiO2層,以下有時簡稱為SiO層)(被改質)。
作為含氧氣體,除了O2氣體之外,亦可使用氧化亞氮(N2O)氣體、一氧化氮(NO)氣體、二氧化氮(NO2)氣體、臭氧(O3)氣體、氫(H2)氣體+氧(O2)氣體、H2氣體+O3氣體、水蒸氣(H2O)氣體、一氧化碳(CO)氣體、二氧化碳(CO2)氣體等。
[步驟6a]
使矽氮化層變化為矽氧化層後,關閉第3氣體供給管232c之閥243c,停止O2氣體的供給。此時,使排氣管231之APC閥244維持打開,藉真空泵246對處理室201內進行真空排氣,使殘留於處理室201內之未反應或貢獻至矽氧化層形成後之O2氣體或反應副產物由處理室201內被排除。又,使閥243g、243e、243f、243h維持打開,並維持作為惰性氣體之N2氣體對處理室201內的供給。N2氣體作用為迫淨氣體,藉此,可提高使處理室201內殘留之未反應或貢獻至矽氧化層形成後之O2氣體或反應副產物由處理室201內被排除的效果(殘留氣體去除)。
又,此時,亦可不使殘留於處理室201內之氣體完全排除,亦可不對處理室201內進行完全迫淨。殘留於處理室201內之氣體若為微量,則不致於後述進行之步驟1a中造 成不良影響。此時,供給至處理室201內之N2氣體的流率亦不需設為大流率,例如藉由供給與反應管203(處理室201)容積相同程度之量,則可進行於步驟1a中不發生不良影響之程度的迫淨。如此,藉由不對處理室201內進行完全迫淨,則可縮短迫淨時間、提升產率。又,N2氣體之消耗亦可抑制為所需最小限。
此時加熱器207之溫度,係設定為使晶圓200之溫度與O2氣體供給時相同的350~700℃範圍內的溫度。由各惰性氣體供給系統所供給之作為迫淨氣體的N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。作為迫淨氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
藉由以上述步驟1a~6a作為1周期,重複該周期複數次,則可於晶圓200上形成既定膜厚之第1矽氧化膜。第1矽氧化膜係成為後述步驟中所形成之矽氮化膜的基底膜。
尚且,在重複上述周期時之第2周期以後的各步驟中,記載為「對晶圓200供給既定氣體」的部分,係意味「對形成於晶圓200上之層、亦即對作為積層體之晶圓200的最表面,供給既定氣體」,記載為「於晶圓200上形成既定層」的部分,係意味「在形成於晶圓200上之層上、亦即在作為積層體之晶圓200的最表面上,形成既定層」。此點係如上述。又,此點在後述矽氮化膜形成步驟及第2矽氧化膜形成 步驟中亦相同,進而於其他實施形態中亦相同。
(矽氮化膜形成步驟)
接著,藉由以下步驟1b~4b為1周期並重複該周期複數次,而在作為基底膜之第1矽氧化膜上,形成既定膜厚之矽氮化膜。又,矽氮化膜的形成係將晶圓200溫度保持為與上述第1矽氧化膜形成步驟中之晶圓200溫度相同之溫度帶而進行。
[步驟1b]
依與上述第1矽氧化膜形成步驟之步驟1a相同的手續及相同的條件,對經加熱之減壓狀態的處理室201內供給HCDS氣體(或HCDS氣體與N2氣體的混合氣體)並排氣(HCDS氣體供給)。此步驟1b之處理條件、產生之反應、形成之層等,係與上述第1矽氧化膜形成步驟中之步驟1a的其等相同。亦即,此步驟係藉由對處理室201內供給HCDS氣體,而於第1矽氧化膜上形成含矽層。
[步驟2b]
在第1矽氧化膜上形成含矽層後,依與上述第1矽氧化膜形成步驟之步驟2a相同的手續及相同的條件,將HCDS氣體由處理室201內排除,同時對處理室201內藉N2氣體進行迫淨(殘留氣體去除)。
[步驟3b]
將處理室201內之殘留氣體去除後,依與上述第1矽氧化 膜形成步驟之步驟3a相同的手續及相同的條件,對經加熱之減壓狀態的處理室201內供給NH3氣體(或NH3氣體與N2氣體的混合氣體)並排氣(NH3氣體供給)。此步驟3b之處理條件、產生之反應、形成之層等,係與上述第1矽氧化膜形成步驟中之步驟3a的其等相同。亦即,此步驟係藉由對處理室201內供給NH3氣體,而使於步驟1b所形成之含矽層變化(改質)為矽氮化層。
[步驟4b]
在含矽層變化為矽氮化層後,依與上述第1矽氧化膜形成步驟之步驟4a相同的手續及相同的條件,將NH3氣體或反應副產物由處理室201內排除,同時對處理室201內藉N2氣體進行迫淨(殘留氣體去除)。
藉由以上述步驟1b~4b為1周期並重複該周期複數次,可在作為基底膜之第1矽氧化膜上,形成既定膜厚之矽氮化膜。矽氮化膜係成為後述步驟所形成之第2矽氧化膜的基底膜。
(第2矽氧化膜形成步驟)
接著,藉由以下步驟1c~6c為1周期並重複該周期複數次,而在作為基底膜之矽氮化膜上,形成既定膜厚之第2矽氧化膜。
步驟1c~6c係依與上述第1矽氧化膜形成步驟之步驟1a~6a相同的手續及相同的條件進行。亦即,第2矽氧化膜 之形成係將晶圓200之溫度保持為分別與上述第1矽氧化膜形成步驟中之晶圓200溫度、及矽氮化膜形成步驟中之晶圓200溫度相同的溫度帶而進行。
然後,藉由以此步驟1c~6c作為1周期並重複該周期複數次,則可於矽氮化膜上形成既定膜厚之第2矽氧化膜。其結果,於晶圓200上,形成依序使第1矽氧化膜、矽氮化膜、第2矽氧化膜積層而成之ONO積層構造的絕緣膜。
(迫淨及大氣壓回復)
在形成ONO積層構造之絕緣膜時,打開閥243e、243f、243g、243h,分別由第1惰性氣體供給管232e、第2惰性氣體供給管232f、第3惰性氣體供給管232g、第4惰性氣體供給管232h將作為惰性氣體之N2氣體供給至處理室201內並由排氣管231予以排氣。N2氣體作為用迫淨氣體,藉此,使處理室201內被惰性氣體所迫淨,由處理室201內去除殘留於處理室201內之氣體或反應副產物(迫淨)。其後,使處理室201內之環境置換為惰性氣體,並使處理室201內之壓力回復為常壓(大氣壓回復)。
(板搬出及晶圓卸載)
其後,藉板升降器115使密封蓋219下降,在反應管203下端呈開口的同時,使處理完成的晶圓200依被板217支撐的狀態由反應管203下端被搬出至反應管203外部(板搬出)。其後,由板217取出處理完成晶圓200(晶圓卸載)。
(3)本實施形態之效果
根據本實施形態,可發揮以下所示之一個或複數個效果。
若藉本實施形態之成膜程序形成第1矽氧化膜及第2矽氧化膜,則確認到晶圓200面內之膜厚均勻性較藉由一般之CVD法形成矽氧化膜的情況更良好。而且,因此,確認到在ONO積層構造之絕緣膜的晶圓200面內之膜厚均勻性良好。此係由於本實施形態之第1矽氧化膜及第2矽氧化膜為藉由以供給HCDS氣體之步驟、供給NH3氣體之步驟、供給O2氣體之步驟作為1周期並重複該周期複數次而形成者(步驟1a~6a、步驟1c~6c)。又,所謂一般之CVD法,係指將屬於無機原料之DCS與N2O同樣供給而藉CVD法形成矽氧化膜(HTO膜)的方法。
另外,相較於由一般CVD法所形成之矽氧化膜(CVD-SiO膜),藉由本實施形態之成膜程序所形成的第1矽氧化膜及第2矽氧化膜係膜中之氮或氯等之雜質濃度極低,Si/O比率極接近化學計量組成的0.5,確認成為良質的膜。此係由於在本實施形態之矽氧化膜的成膜程序中,使用含有將O2氣體藉電漿進行活性化而得之O2 之氧化種,使矽氮化層變化為矽氧化層所致(步驟5a、5c)。藉由將該含有O2 之氧化種供給至矽氮化層,主要藉由O2 所具有之能量,可將矽氮化層中所含之Si-N、Si-Cl、Si-H、Si-C鍵結切斷。用於形成Si-O鍵結之能量由於較Si-N、Si-Cl、Si-H、Si-C鍵結能 量高,故藉由從氧化種對矽氮化層賦予Si-O鍵結形成所需的能量,則可將矽氮化層中之Si-N、Si-Cl、Si-H、Si-C鍵結切斷。被切斷了與Si間之鍵結的N、H、Cl、C係由膜中被去除,成為N2、H2、Cl2、HCl、CO2等而排出。因與N、H、Cl、C間之鍵結被切斷而剩餘的Si之鍵結鍵將與氧化種所含之O鍵結。如此,可將第1矽氧化膜及第2矽氧化膜作成極良質的矽氧化膜。而且藉此,可得到極良質之ONO積層構造的絕緣膜。
另外,本實施形態所使用之第1矽氧化膜及第2矽氧化膜,並不限定於使用無機系矽原料所形成的情況,在使用有機系矽原料形成的情形,亦確認到晶圓面內之膜厚均勻性、膜中之雜質濃度良好。而且,藉此,即使在使用有機系之原料的情況,仍可確認到ONO積層構造之絕緣膜的晶圓200面內之膜厚均勻性、膜中之雜質濃度良好。
另外,若藉由本實施形態之成膜程序形成矽氮化膜,則相較於藉一般CVD法形成矽氮化膜的情況,其晶圓200面內之膜厚均勻性更加良好。而且,藉此,ONO積層構造之絕緣膜的晶圓200面內之膜厚均勻性良好。此係由於,本實施形態之矽氮化膜係藉由以供給HCDS氣體之步驟、與供給NH3氣體之步驟作為1周期並重複該周期複數次所形成(步驟1b~4b)。又,所謂一般之CVD法,係指將屬於無機原料之DCS與NH3同樣進行供給而藉CVD法形成矽氮化膜的 方法。
另外,藉本實施形態之成膜程序所形成的矽氮化膜,係相較於藉一般CVD法所形成之矽氮化膜(CVD-SiN膜),其膜中氫濃度較少、確認到成為極良質的膜。此係由於本實施形態之矽氮化膜的成膜程序中,係使用於經加熱之減壓環境下將NH3氣體活性化或熱分解而獲得的氮化種,將含矽層變化為矽氮化層(步驟3b)。氮化種所具有的能量,不僅使Si-H鍵結分離,亦使具有較Si-H鍵結更高鍵結能量的N-H鍵結分離,故可由矽氮化層中去除H(氫)。被去除的H以H2等形式排出。與氫間之鍵結被切斷的Si或N,係分別與N、Si結合,形成新的Si-N鍵結。如此,可將矽氮化膜作成極良質的膜。而且,藉此可得到極良質之ONO積層構造的絕緣膜。
另外,本實施形態之矽氮化膜,並不限定於使用無機系矽原料所形成的情況,在使用有機系矽原料形成的情況,亦確認到晶圓面內之膜厚均勻性、膜中之雜質濃度良好。而且,藉此,即使在使用有機系原料的情況,仍確認到ONO積層構造之絕緣膜的晶圓200面內之膜厚均勻性、膜中之雜質濃度良好。
另外,根據本實施形態之成膜程序,確認到可使第1矽氧化膜、矽氮化膜、第2矽氧化膜進行成膜時的生產性、亦即形成ONO積層構造之絕緣膜時之生產性大幅提升。此係由 於在本實施形態之成膜程序中,將第1矽氧化膜、矽氮化膜、第2矽氧化膜於同一處理容器內(in-situ)、同一溫度帶下連續地進行成膜。又,在藉一般之CVD法形成ONO積層構造之絕緣膜時,難以配合獲得最佳成膜速率之成膜溫度、而難以將矽氧化膜與矽氮化膜於同一溫度帶下進行成膜。本實施形態之成膜程序中,係在第1矽氧化膜形成步驟與矽氮化膜形成步驟之間,及矽氮化膜形成步驟與第2矽氧化膜形成步驟之間,分別不需要進行溫度調整、亦即基板溫度之升降,故可大幅提升生產性。又,由於亦不需進行不同裝置間之晶圓200的搬送、或搬送後之壓力調整等,故可大幅提升生產性。又,在矽氧化膜之形成時,係使用將HCDS氣體等高吸附性之原料氣體、亦即高反應性之原料氣體與O2氣體藉電漿進行活性化而得的氧化種,在矽氮化膜形成時,使用使HCDS氣體等高吸附性之原料氣體、亦即高反應性之原料氣體與NH3氣體被活性化或熱分解而得的氮化種進行成膜,故可提升各自的成膜速度,可使生產性更加提升。又,於矽氧化膜上形成矽氮化膜時,通常產生潛伏期,而在矽氮化膜開始形成前需耗費時間,但在本實施形態中,由於使用HCDS氣體等之高吸附性的原料氣體、亦即高反應性的原料氣體,故可使潛伏期縮短或不產生,而可更加提升生產性。
<本發明之第2實施形態>
上述第1實施形態中,係藉由以供給原料氣體之步驟、供給含氮氣體之步驟、供給含氧氣體之步驟為1周期並重複進行該周期複數次,而形成第1氧化膜及第2氧化膜,但本發明並不限定於此形態。
例如,在形成第1氧化膜之步驟及/或在形成第2氧化膜之步驟中,亦可以將供給原料氣體之步驟與供給含氮氣體之步驟作為1組並重複進行該組複數次的步驟、與供給含氧氣體之步驟為1周期並重複進行該周期複數次。又,此成膜程序亦可僅進行形成第1氧化膜的步驟,或僅進行形成第2氧化膜的步驟,或進行形成第1氧化膜之步驟及形成第2氧化膜之步驟的兩者。
圖5為表示本發明之第2實施形態之成膜流程的圖。圖10為表示本發明第2實施形態之氣體供給時機的圖。此等圖表示了將上述成膜程序依形成第1氧化膜之步驟及形成第2氧化膜之步驟的兩者進行的例子。又,圖10係例示了在形成第1氧化膜之步驟及形成第2氧化膜之步驟中,以供給原料氣體之步驟、與供給含氮氣體之步驟為1組,將該組分別進行各2次的例子。又,第2實施形態與第1實施形態的相異處在於,其在形成第1氧化膜之步驟及/或形成第2氧化膜之步驟中重複上述組,其他則與第1實施形態均相同。以下針對形成第1氧化膜(第1矽氧化膜)之步驟及形成第2氧化膜(第2矽氧化膜)之步驟進行說明。
本實施形態之第1矽氧化膜的成膜程序,係以與第1實施形態之步驟1a~4a相同的步驟1a~4a作為1組,重複該組複數次,藉此於晶圓200上形成既定厚度的矽氮化層。然後,形成既定厚度之矽氮化層後,實施與第1實施形態之步驟5a、6a相同之步驟(藉電漿所活性化之O2氣體的供給及迫淨),而使既定厚度之矽氮化層被氧化而變化為矽氧化層。然後,以形成既定厚度之矽氮化層的步驟、與使既定厚度之矽氮化層變化為矽氧化層的步驟作為1周期並重複進行該周期複數次,而形成第1矽氧化膜。
另外,同樣地,本實施形態之第2矽氧化膜的成膜程序,係以與第1實施形態之步驟1c~4c相同的步驟1c~4c作為1組,重複該組複數次,藉此於矽氮化膜上形成既定厚度的矽氮化層。然後,形成既定厚度之矽氮化層後,實施與第1實施形態之步驟5c、6c相同之步驟(藉電漿所活性化之O2氣體的供給及迫淨),而使既定厚度之矽氮化層被氧化而變化為矽氧化層。然後,以形成既定厚度之矽氮化層的步驟、與使既定厚度之矽氮化層變化為矽氧化層的步驟作為1周期並重複進行該周期複數次,而形成第2矽氧化膜。
本實施形態中,亦可發揮與上述第1實施形態相同的效果。又,藉由將由步驟1a~4a或步驟1c~4c所構成之各組的重複次數分別增加,則在形成第1矽氧化膜之步驟及形成第2矽氧化膜之步驟中,可使每1周期所形成之矽氧化層之厚 度分別增加,而可分別提升周期速率(每單位周期所形成之矽氧化層的厚度)。藉此,可分別提升成膜速率(每單位時間所形成之矽氧化膜的膜厚)。
<本發明之第3實施形態>
上述第1實施形態中,係藉由以供給原料氣體之步驟、供給含氮氣體之步驟、供給含氧氣體之步驟為1周期並重複進行該周期複數次,而形成第1氧化膜及第2氧化膜,但本發明並不限定於此形態。例如,亦可在形成第1氧化膜之步驟及/或在形成第2氧化膜之步驟中,省略供給含氮氣體之步驟,而在供給含氧氣體之步驟中,除了含氧氣體之外,亦供給含氫氣體。
亦即,亦可在形成第1氧化膜之步驟及/或形成第2氧化膜之步驟中,以供給原料氣體之步驟、與供給含氧氣體及含氫氣體之步驟作為1周期並重複進行該周期複數次。此成膜程序係與第1實施形態相異,不使用電漿而藉熱使含氧氣體及含氫氣體活性化再供給。又,此成膜程序中,亦可僅進行形成第1氧化膜的步驟,或僅進行形成第2氧化膜的步驟,或進行形成第1氧化膜之步驟及形成第2氧化膜之步驟的兩者。
圖6為表示本發明之第3實施形態之成膜流程的圖。圖11為表示本發明第3實施形態之氣體供給時機的圖。此等圖表示了將上述成膜程序依形成第1氧化膜之步驟及形成 第2氧化膜之步驟的兩者進行的例子。又,表示了使用H2氣體作為含氫氣體的例子。尚且,第3實施形態與第1實施形態的相異處在於,其在形成第1氧化膜之步驟及/或形成第2氧化膜之步驟中,省略了供給含氮氣體之步驟,與在供給含氧氣體之步驟中,除了含氧氣體之外亦供給含氫氣體,其他則與第1實施形態均相同。以下針對形成第1氧化膜(第1矽氧化膜)之步驟及形成第2氧化膜(第2矽氧化膜)之步驟進行說明。
(第1矽膜形成步驟)
如圖6及圖11所示,本實施形態之第1矽氧化膜之成膜程序,首先,進行與第1實施形態之步驟1a、2a相同的步驟1a、2a,於晶圓200上形成含矽層。其後,進行後述之步驟3a、4a,使含矽層直接氧化而變化(改質)成矽氧化層後,去除處理室201內的殘留氣體。然後,以步驟1a~4a作為1周期,藉由重複該周期複數次,而於晶圓200上形成既定膜厚之第1矽氧化膜。以下針對與第1實施形態相異之步驟3a、4a進行說明。
[步驟3a]
去除處理室201內的殘留氣體後,打開第3氣體供給管232c之閥243c,於第3氣體供給管232c流通O2氣體。於第3氣體供給管232c內流通之O2氣體,係藉由質量流率控制器241c進行流率調整。經流率調整之O2氣體係經由第2 氣體供給管232b,由第2噴嘴233b之氣體供給孔248b供給至經加熱之減壓狀態的緩衝室237內。在此同時,打開第4氣體供給管232d之閥243d,於第4氣體供給管232d流通H2氣體。於第4氣體供給管232d內流通之H2氣體,係藉由質量流率控制器241d進行流率調整。經流率調整之H2氣體係經由第2氣體供給管232b,由第2噴嘴233b之氣體供給孔248b供給至經加熱之減壓狀態的緩衝室237內。尚且,H2氣體係在經由第2氣體供給管232b時,於第2氣體供給管232b內與O2氣體混合。亦即,由第2噴嘴233b供給O2氣體與H2氣體的混合氣體。被供給至緩衝室237內之O2氣體與H2氣體的混合氣體,係由緩衝室237之氣體供給孔248c,供給至經加熱之減壓狀態的處理室201內,被排氣管231所排氣(O2氣體+H2氣體供給)。
此時,亦可打開第3惰性氣體供給管232g之閥243g,由第3惰性氣體供給管232g供給作為惰性氣體之N2氣體。N2氣體係藉質量流率控制器241g進行流率調整,供給至第3氣體供給管232c內。亦可打開第4惰性氣體供給管232h之閥243h,由第4惰性氣體供給管232h供給作為惰性氣體之N2氣體。N2氣體係藉質量流率控制器241h進行流率調整,供給至第4氣體供給管232d內。此時,由第2噴嘴233b供給O2氣體與H2氣體的混合氣體。又,作為惰性氣體,除了N2氣體之外,亦可使用Ar、He、Ne、Xe等稀有氣體。 又,此時,為了防止O2氣體與H2氣體侵入至第1噴嘴233a內或第2氣體供給管232b上游側,而打開閥243e、243f,於第1惰性氣體供給管232e、第2惰性氣體供給管232f內流通N2氣體。N2氣體係經由第1氣體供給管232a、第2氣體供給管232b、第1噴嘴233a、第2噴嘴233b、緩衝室237而供給至處理室201內,並由排氣管231被排氣。
此時,適當調整APC閥244而使處理室201內之壓力成為未滿大氣壓,維持例如1~1000Pa範圍內的壓力。藉由質量流率控制器241c所控制之O2氣體的供給流率,設為例如1000~10000sccm(1~10slm)之範圍內的流率。藉質量流率控制器241d所控制之H2氣體供給流率,分別設為例如1000~10000sccm(1~10slm)範圍內的流率。將質量流率控制器241g、241h、241e、241f所控制之N2氣體供給流率例如分別設為100~2000sccm(0.1~2slm)之範圍內的流量。另外,將O2氣體及H2氣體對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),設為例如1~120秒範圍內的時間。加熱器207之溫度係設定為使晶圓200溫度成為與步驟1a之HCDS氣體之供給時相同的溫度帶、亦即350~700℃範圍內之溫度。又,若為此範圍內之溫度,則可確認到於減壓環境下之H2氣體對O2氣體之添加所造成的氧化力提升效果(後述)。又,若晶圓200之溫度過低,則確認到無法得到氧化力提升效果。若考慮到產率,較佳係如此依於步驟1a~3a 中將處理室201內之溫度保持為同樣之溫度帶之方式,設定加熱器207的溫度。再者,較佳係與第1實施形態同樣地依於步驟1a~步驟4a(後述)中將處理室201內溫度保持為同樣之溫度帶之方式,設定加熱器207的溫度。
藉由依上述條件將O2氣體與H2氣體供給至處理室201內,使O2氣體與H2氣體於經加熱之減壓環境下、無電漿性地被熱活性化而進行反應,藉此生成原子狀氧(atomic oxygen,O)等之含有氧的不含水(H2O)的氧化種。而且,主要經由該氧化種,於步驟1a中對形成於晶圓200上的含矽層進行氧化處理。然後,藉該氧化處理,使含矽層變化(改質)為矽氧化層(SiO2層,以下有時簡稱為SiO層)。如此,根據該氧化處理,相較於單獨供給O2氣體的情況,可大幅提升氧化力。亦即,藉由在減壓環境下於O2氣體添加H2氣體,相較於單獨供給O2氣體的情況,可得到氧化力大幅提升效果。
尚且,此時,亦可如上述般使O2氣體與H2氣體之任一者或兩者被電漿活性化而流通。藉由使O2氣體及/或H2氣體被電漿活性化而流通,則可生成含有更高能量之活性種的氧化種,藉由以該氧化種進行氧化處理,認為亦有提升裝置特性等的效果。在使O2氣體與H2氣體之兩者被電漿活性化的情況,係藉由於第1棒狀電極269及第2棒狀電極270間由高頻電源273經由整合器272施加高頻電力,而使供給至緩 衝室237內之O2氣體與H2氣體的混合氣體被電漿活性化,作成含有O2 (氧之活性種)或H2 (氫之活性種)之氣體(氧化種)而由氣體供給孔248c供給至處理室201內並由排氣管231進行排氣。此時,由高頻電源273對第1棒狀電極269及第2棒狀電極270間施加的高頻電力,係設定為例如成為50~1000W範圍內的電力。其他處理條件設為與上述處理條件相同。又,於上述溫度帶下,使O2氣體與H2氣體被熱所活性化並充分反應,生成充分量的原子狀氧(O)等之氧化種。因此,使O2氣體與H2氣體依無電漿性地被熱活性化,亦可得到充分的氧化力。又,如上述般使O2氣體與H2氣體被熱活性化而供給者,可產生軟性之反應,並可軟性地進行上述氧化處理。
作為含氧氣體、亦即氧化性氣體,除了氧(O2)氣之外,亦可使用臭氧(O3)氣體等,又,上述溫度帶中,雖嘗試了含氫氣體對一氧化氮(NO)氣體或氧化亞氮(N2O)氣體的添加效果,但確認到相較於單獨供給NO氣體或單獨供給N2O氣體,並未得到氧化力提升效果。亦即,較佳係使用不含氮之含氧氣體(不含氮但含氧的氣體)作為含氧氣體。作為含氫氣體、亦即還原性氣體,除了氫(H2)氣體之外,亦可使用氘(D2)氣體等。又,若使用氨(NH3)氣體或甲烷(CH4)氣體等,則認為會於膜中混入氮(N)雜質或碳(C)雜質。亦即,作為含氫氣體,較佳係使用不含其他元素之含氫氣體(不含其他元素但 含有氫或氘的氣體)。亦即,作為含氧氣體,可使用選自由O2氣體及O3氣體所組成群之至少一種氣體,作為含氫氣體,可使用選自由H2氣體及D2氣體所組成群之至少一種氣體。
[步驟4a]
使含矽層變化為矽氧化層後,關閉第3氣體供給管232c之閥243c,停止O2氣體的供給。又,關閉第4氣體供給管232d之閥243d,停止H2氣體的供給。此時,使排氣管231之APC閥244維持打開,藉真空泵246對處理室201內進行真空排氣,使殘留於處理室201內之未反應或貢獻至矽氧化層形成後之O2氣體或H2氣體或反應副產物由處理室201內被排除。又,使閥243g、243h、243e、243f維持打開,並維持作為惰性氣體之N2氣體對處理室201內的供給。N2氣體作用為迫淨氣體,藉此,可提高使處理室201內殘留之未反應或貢獻至矽氧化層形成後之O2氣體或H2氣體或反應副產物由處理室201內被排除的效果(殘留氣體去除)。
又,此時,亦可不使殘留於處理室201內之氣體完全排除,亦可不對處理室201內進行完全迫淨。殘留於處理室201內之氣體若為微量,則不致於後述進行之步驟1a中造成不良影響。此時,供給至處理室201內之N2氣體的流率亦不需設為大流率,例如藉由供給與反應管203(處理室201)容積相同程度之量,則可進行於步驟1a中不發生不良影響 之程度的迫淨。如此,藉由不對處理室201內進行完全迫淨,則可縮短迫淨時間、提升產率。又,N2氣體之消耗亦可抑制為所需最小限。
此時加熱器207之溫度,係設定為使晶圓200之溫度與O2氣體及H2氣體供給時相同的350~700℃範圍內的溫度。由各惰性氣體供給系統所供給之作為迫淨氣體的N2氣體的供給流率,分別設為例如100~2000sccm(0.1~2slm)範圍內的流率。作為迫淨氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
藉由以上述步驟1a~4a作為1周期,並重複進行該周期複數次,故可於晶圓200上形成既定膜厚之第1矽氧化膜。
(第2矽氧化膜形成步驟)
同樣地,第2矽氧化膜亦以與本實施形態之步驟1a~4a相同之步驟1c~4c作為1周期,並重複進行該周期複數次而可成膜。
相較於由一般CVD法所形成之矽氧化膜(CVD-SiO膜),藉由本實施形態之成膜程序所形成的第1矽氧化膜及第2矽氧化膜係膜中之氮或氫或氯等之雜質濃度極低,Si/O比率極接近化學計量組成的0.5,確認成為良質的膜。此係由於在本實施形態之矽氧化膜的成膜程序中,於經加熱之減壓環境下使O2氣體與H2氣體反應而生成含有原子狀氧(O)的氧化種,使用該氧化種使含矽層變化為矽氧化層所致(步驟 3a、3c)。藉由將該含有原子狀氧(O)之氧化種供給至含矽層中,主要藉由原子狀氧所具有之能量,可將含矽層中所含之Si-N、Si-Cl、Si-H、Si-C鍵結切斷。用於形成Si-O鍵結之能量由於較Si-N、Si-Cl、Si-H、Si-C鍵結能量高,故藉由從氧化種對含矽層賦予Si-O鍵結形成所需的能量,則可將含矽層中之Si-N、Si-Cl、Si-H、Si-C鍵結切斷。被切斷了與Si間之鍵結的N、H、Cl、C係由膜中被去除,成為N2、H2、Cl2、HCl、CO2等而排出。因與N、H、Cl、C間之鍵結被切斷而剩餘的Si之鍵結鍵將與氧化種所含之O鍵結。又,此時,矽氧化層變得緻密。如此,可將第1矽氧化膜及第2矽氧化膜作成極良質的矽氧化膜。而且藉此,可得到極良質之ONO積層構造的絕緣膜。
本實施形態中,亦可發揮與上述第1實施形態相同的效果。又,由於在第1矽氧化膜形成步驟及第2矽氧化膜形成步驟中,省略了供給含氮氣體的步驟,故相較於第1實施形態,可縮短每1周期之所需時間,可提升成膜速率。又,在供給含氧氣體之步驟中,由於使O2氣體與H2氣體被熱活性化而供給,故可不致造成電漿損害而使軟性反應發生,可軟性地進行上述氧化處理。
<本發明之第4實施形態>
上述第3實施形態中,係藉由以供給原料氣體之步驟、供給含氧氣體及含氫氣體之步驟為1周期並重複進行該周期 複數次,而形成第1氧化膜及第2氧化膜,但本發明並不限定於此形態。
例如,在形成第1氧化膜之步驟及/或在形成第2氧化膜之步驟中,亦可以將供給原料氣體之步驟、與供給含氧氣體及含氫氣體之步驟作為1周期並重複進行該周期複數次,其後再進行供給含氧氣體及含氫氣體之步驟(改質步驟)。此成膜程序中,亦可與第3實施形態同樣地,不使用電漿而藉由熱使含氧氣體及含氫氣體被活性化再供給。又,此成膜程序亦可僅進行形成第1氧化膜的步驟,或僅進行形成第2氧化膜的步驟,或進行形成第1氧化膜之步驟及形成第2氧化膜之步驟的兩者。
圖7為表示本發明之第4實施形態之成膜流程的圖。圖12為表示本發明第4實施形態之氣體供給時機的圖。此等圖表示了將上述成膜程序依形成第1氧化膜之步驟及形成第2氧化膜之步驟的兩者進行的例子。又,例示了使用H2氣體作為含氫氣體的例子。尚且,第4實施形態與第3實施形態的相異處僅在於,其在形成第1氧化膜之步驟及/或形成第2氧化膜之步驟中,在以供給原料氣體之步驟與供給含氧氣體及含氫氣體之步驟作為1周期並重複該周期複數次後,作為改質步驟,而再次進行供給含氧氣體及含氫氣體的步驟,其他則與第3實施形態相同。以下針對形成第1氧化膜(第1矽氧化膜)之步驟及形成第2氧化膜(第2矽氧化膜) 之步驟進行說明。
本實施形態之第1矽氧化膜的成膜程序,係以與第3實施形態之步驟1a~4a相同的步驟1a~4a作為1周期,重複該周期複數次,藉此於晶圓200上形成既定膜厚的矽氧化膜。其後,藉由實施與本實施形態之步驟3a、4a相同的步驟,而進行由上述矽氧化膜將膜中雜質去除以改善膜質的改質步驟。藉由此等一連串的處理,形成第1矽氧化膜。
另外同樣地,本實施形態之第2矽氧化膜的成膜程序,係以與第3實施形態之步驟1c~4c相同的步驟1c~4c作為1周期,重複該周期複數次,藉此於矽氮化膜上形成既定膜厚的矽氧化膜。其後,藉由實施與本實施形態之步驟3c、4c相同的步驟,而進行由上述矽氧化膜將膜中雜質去除以改善膜質的改質步驟。藉由此等一連串的處理,形成第2矽氧化膜。
尚且,改質步驟的處理條件係與步驟3a、4a、3c、4c略相同。其中,晶圓200溫度亦可依例如350~1200℃範圍內之溫度,設定為較步驟3a、4a、3c、4c高。又,O2氣體及H2氣體對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),亦可設定為較長之例如1~600分鐘範圍內的時間。圖12係表示於改質步驟中將對晶圓200供給O2氣體與H2氣體之時間,增加為較步驟3a、3c中對晶圓200供給O2氣體與H2氣體之時間更長的樣子。
相較於由一般CVD法所形成之矽氧化膜(CVD-SiO膜), 藉由本實施形態之成膜程序所形成的第1矽氧化膜及第2矽氧化膜係膜中之氮或氫或氯等之雜質濃度極低,Si/O比率極接近化學計量組成的0.5,確認成為良質的膜。此係由於在本實施形態之矽氧化膜的成膜程序中,於經加熱之減壓環境下使O2氣體與H2氣體反應而生成含有原子狀氧(O)的氧化種,使用該氧化種對矽氧化膜(SiO2膜)進行改質所致(改質步驟)。藉由將該含有原子狀氧(O)之氧化種供給至矽氧化膜中,主要藉由原子狀氧所具有之能量,可將矽氧化膜中所含之Si-N、Si-Cl、Si-H、Si-C鍵結切斷。用於形成Si-O鍵結之能量由於較Si-N、Si-Cl、Si-H、Si-C鍵結能量高,故藉由從氧化種對矽氧化膜賦予Si-O鍵結形成所需的能量,則可將矽氧化膜中之Si-N、Si-Cl、Si-H、Si-C鍵結切斷。被切斷了與Si間之鍵結的N、H、Cl、C係由膜中被去除,成為N2、H2、Cl2、HCl、CO2等而排出。因與N、H、Cl、C間之鍵結被切斷而剩餘的Si之鍵結鍵將與氧化種所含之O鍵結。又,此時,矽氧化膜變得緻密。如此,可將第1矽氧化膜及第2矽氧化膜作成極良質的矽氧化膜。而且藉此,可得到極良質之ONO積層構造的絕緣膜。
<本發明之第5實施形態>
上述第1實施形態中,係藉由以供給原料氣體之步驟、供給含氮氣體之步驟、供給含氧氣體之步驟為1周期並重複進行該周期複數次,而形成第1氧化膜及第2氧化膜,但本發 明並不限定於此形態。
例如,在形成第1氧化膜之步驟及/或在形成第2氧化膜之步驟中,亦可以將供給原料氣體之步驟與供給含氮氣體之步驟省略,在供給含氧氣體之步驟中,除了含氧氣體之外亦供給含氫氣體,並連續地供給含氧氣體及含氫氣體。又,此成膜程序亦可僅進行形成第1氧化膜的步驟,或僅進行形成第2氧化膜的步驟,或進行形成第1氧化膜之步驟及形成第2氧化膜之步驟的兩者。
圖8為表示本發明之第5實施形態之成膜流程的圖。圖13為表示本發明第5實施形態之氣體供給時機的圖。此等圖表示了將上述成膜程序依僅進行形成第2矽氧化膜之步驟、而第1矽氧化膜為由第3實施形態所示之成膜程序所形成的例子。又,第5實施形態與第1實施形態的相異處僅在於,其在形成第1氧化膜之步驟及/或形成第2氧化膜之步驟中,將供給原料氣體之步驟與供給含氮氣體之步驟省略的點,並在供給含氧氣體之步驟中,除了含氧氣體之外亦供給含氫氣體,並連續地供給含氧氣體及含氫氣體的點,其他則與第1實施形態均相同。以下針對形成第1氧化膜(第1矽氧化膜)之步驟及形成第2氧化膜(第2矽氧化膜)之步驟進行說明。
本實施形態之第2矽氧化膜的成膜程序,係在以第1矽氧化膜作為基底而形成矽氮化膜後,不進行供給HCDS氣體 之步驟或供給NH3氣體之步驟,而進行與第3實施形態之步驟3a、4a相同的步驟,亦即,連續地供給被熱所活性化的O2氣體與H2氣體,其後進行迫淨,藉此使基底之矽氮化膜被氧化而形成第2矽氧化膜。亦即,此情況下,並未如上述實施形態般在矽氮化膜上堆積第2矽氧化膜,而是藉由將矽氮化膜之表面氧化,以形成第2矽氧化膜。因此,此時,將形成於第1矽氧化膜上之矽氮化膜的膜厚形成為較原本所需的膜厚更厚,藉由使較厚地形成的部分被氧化,而形成第2矽氧化膜。
尚且,在藉上述成膜程序形成第1矽氧化膜的情況,亦即連續地供給被熱所活性化之O2氣體與H2氣體、其後進行迫淨而予以形成的情況,係藉由使事先形成於晶圓200上之基底之矽膜被氧化,而形成第1矽氧化膜。亦即,此情況下,並未如上述實施形態般在晶圓200上之矽膜上堆積第1矽氧化膜,而是藉由將事先形成之矽膜之表面氧化,以形成第1矽氧化膜。因此,此時,將形成於晶圓200上之矽膜形成為較原本所需的膜厚更厚,藉由使較厚地形成的部分被氧化,而形成第1矽氧化膜。
<本發明之其他實施形態>
以上具體說明了本發明實施形態,但本發明並不限定於上述實施形態,在不脫離其要旨之範圍內可進行各種變更。
例如,上述所謂第1氧化膜與第2氧化膜,並不侷限於由 同一方法所形成的情況,亦可藉由不同方法所形成。
例如,亦可藉第1實施形態之成膜程序(參照圖4)形成第1氧化膜,藉第2實施形態之成膜程序(參照圖5)形成第2氧化膜。又,例如亦可藉第3實施形態之成膜程序(參照圖6)形成第1氧化膜,藉第4實施形態之成膜程序(參照圖7)形成第2氧化膜。當然,第1氧化膜及第2氧化膜之成膜程序亦可與上述相反。
另外,例如亦可藉第1實施形態之成膜程序(參照圖4)形成第1氧化膜,藉第5實施形態之成膜程序(參照圖8)形成第2氧化膜。或例如亦可藉第1實施形態之成膜程序(參照圖4)形成第1氧化膜,藉第3實施形態之成膜程序(參照圖6)形成第2氧化膜。當然,第1氧化膜及第2氧化膜之成膜程序亦可與上述相反。
亦即,在第1氧化膜之形成及第2氧化膜之形成時,可適當組合使用上述第1實施形態至第5實施形態記載的成膜程序。
另外,例如上述氮化膜並不侷限於將供給原料氣體(HCDS氣體)之步驟與供給氮化氣體(NH3氣體)之步驟交替進行而予以形成的情況,亦可同時進行供給原料氣體之步驟與供給氮化氣體之步驟而予以形成。如上述各實施形態,在使用屬於氯矽烷系原料氣體之HCDS氣體等高吸附性的原料氣體、亦即高反應性之原料氣體作為原料氣體時,即使在上述 各實施形態之處理條件下,同時供給原料氣體與氮化氣體,仍可在將基板溫度保持為相同溫度之下形成第1氧化膜、氮化膜與第2氧化膜。此時,相較於交替供給原料氣體與氮化氣體的情況,可更加提升成膜速率,可使形成第1氧化膜、氮化膜、第2氧化膜時之生產性更加提升。
另外,上述實施形態中,雖針對具有SiO/SiN/SiO之積層構造(ONO積層構造)的積層膜的形成例進行了說明,但本發明並不限定於此情況。例如,本發明可適合應用於形成具有SiO/SiN/SiO/SiN/SiO之積層構造(ONONO積層構造)的積層膜、具有SiN/SiO/SiN之積層構造(NON積層構造)的積層膜、具有SiO/SiN之積層構造(ON積層構造)的積層膜、或具有SiN/SiO之積層構造(NO積層構造)的積層膜的情形。
本實施形態之成膜程序並不侷限於在形成於晶圓上之其他膜上形成ONO積層構造(或ONONO、NON、ON、NO積層構造等)之絕緣膜的情況(亦即形成堆積構造的情況),亦可適合應用於在晶圓表面所形成之溝構造上形成ONO積層構造之絕緣膜的情形(亦即形成溝構造的情形)。
然而,在形成ONO、ONONO、NON、ON、NO積層構造等之積層膜時,於氮化膜上形成氧化膜的情形,在氧化膜形成時成為基底的氮化膜亦可形成為較構成積層膜所需之氮化膜膜厚更厚。在氮化膜上藉上述各實施形態之成膜程序形成氧化膜的情況,於形成氧化膜之過程中成為基底的氮化膜 的表面被氧化(消耗),而有氮化膜之膜厚變得較構成積層膜所需之氮化膜膜厚更薄的情形。尤其是在藉第3實施形態之成膜程序(參照圖6)形成氧化膜時,此傾向特別顯著。在此種情況,可在於氮化膜上形成氧化膜時事先測定被氧化(消耗)之氮化膜膜厚,於形成氮化膜時,依該份量將氮化膜增厚形成,藉此可確保積層膜中所需之氮化膜膜厚。
另外,在上述形成氧化膜的步驟中,亦可進一步進行於氧化膜中添加氮(N)的步驟。此時,在形成氧化膜的步驟中,若進一步設置對處理容器內之基板供給氮化氣體的步驟即可。此時之處理條件或所使用的氮化氣體,例如可設為與第1實施形態之成膜程序中之步驟3a相同的處理條件、氮化氣體。又,在氧化膜中微量添加氮的情況,較佳係設為無電漿條件。又,在氧化膜中添加氮的步驟,可同時進行對處理容器內之基板供給氧化氣體的步驟,亦可於該步驟前進行,或可於該步驟後進行。
例如,在第1實施形態之成膜程序(參照圖4)中,可在步驟5a之同時進行於氧化膜中添加氮的步驟,亦可於步驟5a前進行,或可於步驟5a後進行。在於步驟5a前進行在氧化膜中添加氮之步驟的情況,亦可將步驟3a作為於氧化膜中添加氮的步驟。此時,藉由增加步驟3a中之含矽層之氮化量、或抑制步驟5a中之矽氮化層之氧化量,則可形成添加了氮之矽氧化膜。此時,步驟5a較佳係設為無電漿之條件。
另外,例如在第3實施形態之成膜程序(參照圖6)中,可在步驟3a之同時進行於氧化膜中添加氮的步驟,亦可於步驟3a前進行,或可於步驟3a後進行。
如此,在形成氧化膜的步驟中,藉由進一步設置於氧化膜中添加氮的步驟,亦可形成添加了氮的氧化膜。
另外,在上述形成氮化膜的步驟中,亦可進一步進行於氮化膜中添加氧(O)的步驟。此時,在形成氮化膜的步驟中,若進一步設置對處理容器內之基板供給氧化氣體的步驟即可。此時之處理條件或所使用的氧化氣體,例如可設為與第1實施形態之成膜程序中之步驟5a相同的處理條件、氧化氣體。又,在氮化膜中微量添加氧的情況,較佳係設為無電漿條件。又,在氮化膜中添加氧的步驟,可同時進行對處理容器內之基板供給氮化氣體的步驟,亦可於該步驟前進行,或可於該步驟後進行。
例如,在第1實施形態之成膜程序(參照圖4)中,可在步驟3b之同時進行於氮化膜中添加氧的步驟,亦可於步驟3b前進行,或可於步驟3b後進行。又,例如,在第3實施形態之成膜程序(參照圖6)中,可在步驟3b之同時進行於氮化膜中添加氧的步驟,亦可於步驟3b前進行,或可於步驟3b後進行。
如此,在形成氮化膜的步驟中,藉由進一步設置於氮化膜中添加氧的步驟,亦可形成添加了氧的氮化膜。
另外,上述實施形態中,雖針對具有SiO/SiN/SiO之積層構造的積層膜的形成例進行了說明,但本發明並不限定於此情況。例如,本發明可適合應用於形成例如具有SiON/SiN/SiO之積層構造的積層膜的情形。此外,亦可適合應用於形成具有SiO/SiON/SiO之積層構造的積層膜、具有SiO/SiN/SiON之積層構造的積層膜、具有SiON/SiN/SiON之積層構造的積層膜、或含有氧化膜(SiO膜)、氮化膜(SiN膜)及氧氮化膜(SiON膜)中之至少任一者之積層構造的積層膜的情形。
例如,圖14、圖15表示形成於基板上依序積層氧化膜、氮化膜、氧氮化膜而成之積層構造之絕緣膜的成膜程序例。圖14係表示本發明其他實施形態之成膜流程的圖。圖15係表示本發明其他實施形態之氣體供給時機的圖。
圖14、圖15中之形成氧化膜之步驟或形成氮化膜之步驟,可與上述第1實施形態之成膜程序(參照圖4)中之第1矽氧化膜形成步驟或矽氮化膜形成步驟同樣地進行。圖14、圖15中之形成氧氮化膜的步驟,係藉由對處理容器內之基板,進行既定次數之含有供給原料氣體之步驟、供給氮化氣體之步驟與供給氧化氣體之步驟的周期而執行。此時之處理條件或所使用之氣體,可設為例如第1實施形態之成膜程序(參照圖4)中之第2矽氧化膜形成步驟相同的處理條件、氣體。其中,步驟5c,必須於無電漿之環境下、亦即 矽氮化層之氧化反應呈不飽和的條件下,將矽氮化層氧化,使矽氮化層變化(改質)成矽氧氮化層(SiON層)。亦即,步驟5c中,必須於抑制矽氮化層之氧化下,對矽氮化層進行氧化。
尚且,如上述各實施形態,在使用屬於氯矽烷系原料氣體之HCDS氣體等高吸附性的原料氣體、亦即高反應性之原料氣體作為原料氣體時,即使在上述各實施形態之處理條件下,仍可在將基板溫度保持為相同溫度之下形成氧化膜、氮化膜與氧氮化膜。
另外,上述實施形態中,係針對形成含有屬於半導體元素之矽的矽系絕緣膜之積層膜作為薄膜的例子進行了說明,但本發明並不限定於此情形。例如,本發明亦可適合應用於形成含有鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鋁(Al)、鉬(Mo)等金屬元素之金屬系薄膜之積層膜的情形。
例如,本發明亦可適合應用於形成具有屬於鈦系薄膜之鈦氧化膜(TiO膜)與鈦氮化膜(TiN膜)之積層構造的積層膜的情況,或形成具有屬於鋯系薄膜之鋯氧化膜(ZrO膜)與鋯氮化膜(ZrN膜)之積層構造的積層膜的情況,形成具有屬於鉿系薄膜之鉿氧化膜(HfO膜)與鉿氮化膜(HfN膜)之積層構造的積層膜的情況,形成具有屬於鉭系薄膜之鉭氧化膜(TaO膜)與鉭氮化膜(TaN膜)之積層構造的積層膜的情況,形成具有屬於鋁系薄膜之鋁氧化膜(AlO膜)與鋁氮化膜(AlN膜)之 積層構造的積層膜的情況,形成具有屬於鉬系薄膜之鉬氧化膜(MoO膜)與鉬氮化膜(MoN膜)之積層構造的積層膜的情況。
此時,可使用含有金屬元素之原料作為原料氣體,藉與上述實施形態相同之成膜程序進行成膜。又,含氮氣體、含氧氣體及含氫氣體可使用與上述實施形態相同的氣體。處理條件亦可使用與上述實施形態相同的處理條件。
例如,在形成鈦系薄膜的情況,可使用四氯化鈦(TiCl4)、肆(乙基甲基胺基)鈦(Ti[N(C2H5)(CH3)]4,簡稱:TEMAT)、肆(二甲基胺基)鈦(Ti[N(CH3)2]4,簡稱:TDMAT)、肆(二乙基胺基)鈦(Ti[N(C2H3)2]4,簡稱:TDEAT)等作為原料。
另外,例如於形成鋯系薄膜時,可使用四氯化鋯(ZrCl4)、肆(乙基甲基胺基)鋯(Zr[N(C2H5)(CH3)]4,簡稱:TEMAZ)、肆(二甲基胺基)鋯(Zr[N(CH3)2]4,簡稱:TDMAZ)、肆(二乙基胺基)鋯(Zr[N(C2H5)2]4,簡稱:TDEAZ)等作為原料。
另外,例如於形成鉿系薄膜時,可使用四氯化鉿(HfCl4)、肆(乙基甲基胺基)鉿(Hf[N(C2H5)(CH3)]4,簡稱:TEMAH)、肆(二甲基胺基)鉿(Hf[N(CH3)2]4,簡稱:TDMAH)、肆(二乙基胺基)鉿(Hf[N(C2H5)2]4,簡稱:TDEAH)等作為原料。
如此,本發明不僅是矽系薄膜之積層膜,亦可應用於金屬系薄膜之積層膜的成膜,即使此情況下仍可得到與上述實施形態相同的作用效果。亦即,本發明可適合應用於形成含有 半導體元素或金屬元素等之既定元素之薄膜的情形。
另外,上述實施形態中,係針對使用一次處理複數片基板之批次式基板處理裝置進行薄膜成膜的例子進行了說明,但本發明並不限定於此,亦可適合應用於使用一次處理1片或數片基板之片式基板處理裝置進行薄膜成膜的情形。
另外,上述各實施形態或各變形例或各應用例等,亦可予以適當組合使用。
另外,本發明亦可例如變更既存之基板處理裝置之製程內容而實現。於變更製程內容時,可將本發明之製程內容經由電信回路或經由記錄有該製程內容之記錄媒體而安裝於既存之基板處理裝置中,或操作既存之基板處理裝置的輸出入裝置,將其製程內容本身變更為本發明之製程內容。
[實施例] (實施例1)
本實施例中,係使用與上述第1實施形態相同的手法,於同一處理容器內(in-situ)且同一溫度下,形成於矽晶圓上依序積層第1矽氧化膜、矽氮化膜、第2矽氧化膜而成的ONO積層構造的絕緣膜,測定此處理之產率(T.P.)、亦即每1小時之晶圓處理片數[wfs/hr]。另外,比較例中,係使用習知的CVD法,於相異之處理容器內且相異溫度下,形成於矽晶圓上依序積層矽氧化膜、矽氮化膜、矽氧化膜而成的ONO積層構造的絕緣膜,測定此處理之產率[wfs/hr]。
其結果,於本實施例中,在將第1矽氧化膜、矽氮化膜、第2矽氧化膜之膜厚分別設為5nm時,各步驟中之處理時間係升溫為60分鐘,第1矽氧化膜之成膜為11.5分鐘,矽氮化膜之成膜為13.3分鐘,第2矽氧化膜之成膜為11.5分鐘,降溫為60分鐘,產率(T.P.)如下。
T.P.(實施例)=125[wfs]/((60+11.5+13.3+11.5+60)[min]/60[min])=48.0[wfs/hr]
比較例中,係將矽氧化膜、矽氮化膜、矽氧化膜之膜厚均設為與實施例相同的5nm。其結果,產率(T.P.)受到成膜速度慢之矽氧化膜成膜時間、成膜步驟間之溫度調整時間、晶圓搬送時間等所侷限,成為如下。
T.P.(比較例)=75[wfs]/6.6[hr]=11.2[wfs/hr]
從而,可知根據本實施例所使用之成膜程序,相較於比較例所使用之習知CVD法,可將每單位時間之晶圓處理片數提高至4倍。亦即,可顯著提升形成ONO積層構造之絕緣膜時的生產性。
(實施例2)
本實施例中,係使用與上述實施形態相同的手法,於同一處理容器內(in-situ)且同一溫度下,於矽晶圓上形成ONO積層構造,觀察剖面TEM影像。其結果,可確認到於晶圓上依序積層了第1矽氧化膜、矽氮化膜、第2矽氧化膜。又,任一膜均依均勻厚度形成,即使觀察ONO積層構造之絕緣 膜整體,仍可確認到其由均勻厚度所形成。
(實施例3)
本實施例中,係使用與上述實施形態相同的手法,於同一處理容器內(in-situ)且同一溫度下,於直徑300mm矽晶圓上形成ONO積層構造之絕緣膜,測定其面內膜厚分佈。又,ONO積層構造之絕緣膜係以3層作為1個絕緣膜而動作,故將ONO積層構造之絕緣膜假設為單膜而測定其面內膜厚分佈。又,作為比較例1,係於直徑300mm矽晶圓上,形成藉SiH4氣體與N2O氣體間之反應所得的HTO單膜,測定其面內膜厚分佈。又,作為比較例2,係於直徑300mm矽晶圓上,形成藉DCS氣體與NH3氣體間之反應所得的CVD-SiN單膜,測定其面內膜厚分佈。
其結果,本實施例之ONO積層構造之絕緣膜的面內膜厚均勻性為0.5%左右,比較例1、2之HTO單膜、CVD-SiN單膜之面內膜厚均勻性為2~4%左右。亦即,本實施例之ONO積層構造的絕緣膜,係較比較例1之HTO單膜或比較例2之CVD-SiN單膜,可確認到具有更高的面內膜厚均勻性。亦即,在將本實施例之ONO積層構造之絕緣膜使用作為半導體裝置之一部分之層時,可知可提升EOT(等價氧化膜厚)的面內均勻性。
(實施例4)
本實施例中,係藉由圖14、圖15之成膜程序,於同一處 理容器內(in-situ)且同一溫度下,於矽晶圓上形成具有SiON/SiN/SiO之積層構造的積層膜,觀察剖面TEM影像。其結果,可確認到於晶圓上依序積層了矽氧化膜、矽氮化膜、矽氧氮化膜。又,任一膜均依均勻厚度形成,即使觀察SiON/SiN/SiO之積層構造之絕緣膜整體,仍可確認到其由均勻厚度所形成。
<本發明之較佳態樣>
以下附記本發明之較佳態樣。
(附記1)
根據本發明之一態樣,提供一種半導體裝置之製造方法,其具有藉由交替地進行既定次數之下述步驟,而於基板上形成氧化膜與氮化膜積層而成之積層膜的步驟: 藉由對處理容器內之基板進行既定次數之含有供給原料氣體之步驟、供給氮化氣體之步驟與供給氧化氣體之步驟的周期,而形成氧化膜的步驟;與 藉由對上述處理容器內之上述基板,進行既定次數之含有上述供給原料氣體之步驟與上述供給氮化氣體之步驟的周期,而形成氮化膜的步驟; 於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之步驟及上述形成氮化膜之步驟連續地進行。
(附記2)
如附記1之半導體裝置之製造方法,較佳係: 上述形成氧化膜的步驟中,以上述供給原料氣體之步驟、上述供給氮化氣體之步驟、與上述供給氧化氣體之步驟作為1周期並重複進行該周期複數次。
(附記3)
如附記1之半導體裝置之製造方法,較佳係:於上述形成氧化膜的步驟中,以將上述供給原料氣體之步驟與上述供給氮化氣體之步驟作為1組並重複進行該組複數次之步驟、與上述供給氧化氣體之步驟作為1周期並重複進行該周期複數次。
(附記4)
如附記1之半導體裝置之製造方法,較佳係:於上述形成氧化膜的步驟中,省略上述供給氮化氣體之步驟,並於上述供給氧化氣體之步驟中,除了上述氧化氣體之外亦供給還原氣體。
(附記5)
如附記1之半導體裝置之製造方法,較佳係:於上述形成氧化膜的步驟中,省略上述供給氮化氣體之步驟,並於上述供給氧化氣體之步驟中,除了上述氧化氣體之外亦供給還原氣體;以 上述供給原料氣體之步驟、與供給上述氧化氣體及上述還原氣體之步驟作為1周期並重複進行該周期複數次。
(附記6)
如附記1之半導體裝置之製造方法,較佳係:於上述形成氧化膜的步驟中,省略上述供給氮化氣體之步驟,並於上述供給氧化氣體之步驟中,除了上述氧化氣體之外亦供給還原氣體;以上述供給原料氣體之步驟、與供給上述氧化氣體及上述還原氣體之步驟作為1周期並重複進行該周期複數次;其後再進行供給上述氧化氣體及上述還原氣體的步驟。
(附記7)
如附記1之半導體裝置之製造方法,較佳係:於上述形成氧化膜的步驟中,省略上述供給原料氣體之步驟及上述供給氮化氣體之步驟,並於上述供給氧化氣體之步驟中,除了上述氧化氣體之外亦供給還原氣體,並連續地供給上述氧化氣體及上述還原氣體。
(附記8)
如附記1至7中任一項之半導體裝置之製造方法,較佳係:於上述形成氮化膜之步驟中,同時進行上述供給原料氣體之步驟與上述供給氮化氣體之步驟。
(附記9)
如附記1至8中任一項之半導體裝置之製造方法,較佳係:於上述形成積層膜之步驟中,具有於上述氮化膜上形成上述氧化膜的步驟,於上述形成氮化膜的步驟中,形成較上述積層膜中所必要之膜厚更厚之膜厚的上述氮化膜。
(附記10)
如附記1至9中任一項之半導體裝置之製造方法,較佳係:上述形成氧化膜的步驟係進一步具有於上述氧化膜中添加氮的步驟。
(附記11)
如附記1至10中任一項之半導體裝置之製造方法,較佳係:上述形成氮化膜之步驟係進一步具有於上述氮化膜中添加氧的步驟。
(附記12)
如附記1至11中任一項之半導體裝置之製造方法,較佳係:進一步具有藉由對上述處理容器內之上述基板進行既定次數之含有上述供給原料氣體之步驟、上述供給氮化氣體之步驟與上述供給氧化氣體之步驟的周期,而形成氧氮化膜的步驟; 並具有藉由交替地進行上述形成氧化膜之步驟、上述形成氮化膜之步驟與上述形成氧氮化膜之步驟既定次數,而於上述基板上形成使上述氧化膜與上述氮化膜與上述氧氮化膜積層而成之積層膜的步驟;於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之步驟、上述形成氮化膜之步驟及上述形成氧氮化膜之步驟連續地進行。
(附記13)
根據本發明之其他態樣,提供一種半導體裝置之製造方法,其具有:藉由對處理容器內之基板進行既定次數之含有供給原料氣體之步驟、供給氮化氣體之步驟與供給氧化氣體之步驟的周期,而於基板上形成第1氧化膜的步驟;藉由對上述處理容器內之上述基板,進行既定次數之含有上述供給原料氣體之步驟及上述供給氮化氣體之步驟的周期,而於上述第1氧化膜上形成氮化膜的步驟;與藉由對上述處理容器內之上述基板,進行既定次數之含有上述供給原料氣體之步驟、上述供給氮化氣體之步驟及上述供給氧化氣體之步驟的周期,而於上述氮化膜上形成第2氧化膜的步驟;於使上述基板溫度保持為同樣溫度之下,將上述形成第1氧化膜之步驟、上述形成氮化膜之步驟及上述形成第2氧化 膜之步驟連續地進行。
(附記14)
如附記13之半導體裝置之製造方法,較佳係:上述形成第1氧化膜之步驟及/或上述形成第2氧化膜之步驟中,以上述供給原料氣體之步驟、上述供給氮化氣體之步驟、與上述供給氧化氣體之步驟作為1周期並重複進行該周期複數次。
(附記15)
如附記13之半導體裝置之製造方法,較佳係:上述形成第1氧化膜之步驟及/或上述形成第2氧化膜之步驟中,以將以上述供給原料氣體之步驟與上述供給氮化氣體之步驟作為1組並重複進行該組複數次之步驟、與上述供給氧化氣體之步驟作為1周期並重複進行該周期複數次。
(附記16)
如附記13之半導體裝置之製造方法,較佳係:於上述形成第1氧化膜之步驟及/或上述形成第2氧化膜之步驟中,省略上述供給氮化氣體之步驟,並於上述供給氧化氣體之步驟中,除了上述氧化氣體之外亦供給還原氣體。
(附記17)
如附記13之半導體裝置之製造方法,較佳係:於上述形成第1氧化膜之步驟及/或上述形成第2氧化膜之步驟中,省略上述供給氮化氣體之步驟,並於上述供給氧化氣體之步驟中,除了上述氧化氣體之外亦供給還原氣體;以上述供給原料氣體之步驟、與供給上述氧化氣體及上述還原氣體之步驟作為1周期並重複進行該周期複數次。
(附記18)
如附記13之半導體裝置之製造方法,較佳係:於上述形成第1氧化膜之步驟及/或上述形成第2氧化膜之步驟中,省略上述供給氮化氣體之步驟,並於上述供給氧化氣體之步驟中,除了上述氧化氣體之外亦供給還原氣體;以上述供給原料氣體之步驟、與供給上述氧化氣體及上述還原氣體之步驟作為1周期並重複進行該周期複數次;其後再進行供給上述氧化氣體及上述還原氣體的步驟。
(附記19)
如附記13之半導體裝置之製造方法,較佳係:於上述形成第1氧化膜之步驟及/或上述形成第2氧化膜 之步驟中,省略上述供給原料氣體之步驟及上述供給氮化氣體之步驟,並於上述供給氧化氣體之步驟中,除了上述氧化氣體之外亦供給還原氣體,並連續地供給上述氧化氣體及上述還原氣體。
(附記20)
根據本發明之其他態樣,提供一種半導體裝置之製造方法,其具有重複進行複數次之含有對處理容器內之基板供給原料氣體的步驟、與對上述處理容器內之上述基板供給氮化氣體之步驟的周期的步驟;在重複進行複數次之上述周期之步驟中的既定期間,於上述既定期間之各周期中之上述供給氮化氣體之步驟後、上述供給原料氣體之步驟前,進行對處理容器內之上述基板供給氧化氣體之步驟,藉此於上述基板上形成使氧化膜與氮化膜積層而成的積層膜;於使上述基板溫度保持為同樣溫度之下,將上述各步驟連續地進行。
(附記21)
根據本發明之其他態樣,提供一種基板處理方法,其具有藉由交替地進行既定次數之下述步驟,而於基板上形成氧化膜與氮化膜積層而成之積層膜的步驟:藉由對處理容器內之基板進行既定次數之含有供給原料 氣體之步驟、供給氮化氣體之步驟與供給氧化氣體之步驟的周期,而形成氧化膜的步驟;與藉由對上述處理容器內之上述基板進行既定次數之含有上述供給原料氣體之步驟與上述供給氮化氣體之步驟的周期,而形成氮化膜的步驟;於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之步驟及上述形成氮化膜之步驟連續地進行。
(附記22)
根據本發明之其他態樣,提供一種基板處理裝置,係具有:收容基板之處理容器;對上述處理容器內之基板進行加熱的加熱器;對上述處理容器內之基板供給原料氣體的原料氣體供給系統;對上述處理容器內之基板供給氮化氣體的氮化氣體供給系統;對上述處理容器內之基板供給氧化氣體的氧化氣體供給系統;與控制部,係依下述方式而控制上述加熱器、上述原料氣體供給系統、上述氮化氣體供給系統及上述氧化氣體供給系統:藉由交替地進行既定次數之下述處理,而於上述基板上形成氧化膜與氮化膜積層而成之積層膜的處理:藉由對上述處 理容器內之基板進行既定次數之含有上述供給原料氣體之處理、供給上述氮化氣體之處理與供給上述氧化氣體之處理的周期,而形成氧化膜的處理;與藉由對上述處理容器內之上述基板進行既定次數之含有供給上述原料氣體之處理與供給上述氮化氣體之處理的周期,而形成氮化膜的處理;於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之處理及上述形成氮化膜之處理連續地進行。
(附記23)
根據本發明之其他態樣,提供一種程式,係使電腦執行交替地進行既定次數之下述手續,而於基板上形成氧化膜與氮化膜積層而成之積層膜的手續:藉由對基板處理裝置之處理容器內之基板進行既定次數之含有供給原料氣體之手續、供給氮化氣體之手續與供給氧化氣體之手續的周期,而形成氧化膜的手續;與藉由對上述處理容器內之上述基板進行既定次數之含有上述供給原料氣體之手續與上述供給氮化氣體之手續的周期,而形成氮化膜的手續;並於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之手續及上述形成氮化膜之手續連續地進行。
(附記24)
根據本發明之其他態樣,提供一種記錄媒體,其係記錄了下述程式之電腦可讀取者;該程式係使電腦執行交替地進行 既定次數之下述手續,而於基板上形成氧化膜與氮化膜積層而成之積層膜的手續:藉由對基板處理裝置之處理容器內之基板進行既定次數之含有供給原料氣體之手續、供給氮化氣體之手續與供給氧化氣體之手續的周期,而形成氧化膜的手續;與藉由對上述處理容器內之上述基板進行既定次數之含有上述供給原料氣體之手續與上述供給氮化氣體之手續的周期,而形成氮化膜的手續;並於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之手續及上述形成氮化膜之手續連續地進行。
115‧‧‧板升降器
121‧‧‧控制器(控制部)
121a‧‧‧CPU
121b‧‧‧RAM
121c‧‧‧記憶裝置
121d‧‧‧I/O埠
121e‧‧‧內部匯流排
122‧‧‧輸出入裝置
123‧‧‧外部記憶裝置
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
217‧‧‧板
218‧‧‧隔熱構件
219‧‧‧密封蓋
220‧‧‧O型環
231‧‧‧排氣管
232a‧‧‧第1氣體供給管
232b‧‧‧第2氣體供給管
232c‧‧‧第3氣體供給管
232d‧‧‧第4氣體供給管
232e‧‧‧第1惰性氣體供給管
232f‧‧‧第2惰性氣體供給管
232g‧‧‧第3惰性氣體供給管
232h‧‧‧第4惰性氣體供給管
233a‧‧‧第1噴嘴
233b‧‧‧第2噴嘴
233c‧‧‧第3噴嘴
237‧‧‧緩衝室
241a、241b、241c、241d、241e、241f、241g、241h‧‧‧質量流率控制器
243a、243b、243c、243d、243e、243f、243g、243h‧‧‧ 閥
244‧‧‧APC閥(壓力調整部)
245‧‧‧壓力感應器
246‧‧‧真空泵
248a、248b、248c‧‧‧氣體供給孔
255‧‧‧旋轉軸
263‧‧‧溫度感應器
267‧‧‧旋轉機構
269‧‧‧第1棒狀電極
270‧‧‧第2棒狀電極
272‧‧‧整合器
273‧‧‧高頻電源
275‧‧‧電極保護管
圖1為本發明之實施形態所適合使用之基板處理裝置之縱型處理爐之概略構成圖,以縱剖面圖表示處理爐一部分。
圖2為本發明之實施形態所適合使用之基板處理裝置之縱型處理爐之概略構成圖,以圖1之A-A線剖面圖表示處理爐一部分。
圖3為本發明之實施形態所適合使用之基板處理裝置之控制器的概略構成圖。
圖4為表示本發明之第1實施形態之成膜流程的圖。
圖5為表示本發明之第2實施形態之成膜流程的圖。
圖6為表示本發明之第3實施形態之成膜流程的圖。
圖7為表示本發明之第4實施形態之成膜流程的圖。
圖8為表示本發明之第5實施形態之成膜流程的圖。
圖9為表示本發明之第1實施形態之氣體供給時機的圖。
圖10為表示本發明之第2實施形態之氣體供給時機的圖。
圖11為表示本發明之第3實施形態之氣體供給時機的圖。
圖12為表示本發明之第4實施形態之氣體供給時機的圖。
圖13為表示本發明之第5實施形態之氣體供給時機的圖。
圖14為表示本發明之其他實施形態之成膜流程的圖。
圖15為表示本發明之其他實施形態之氣體供給時機的圖。

Claims (16)

  1. 一種半導體裝置之製造方法,其具有藉由交替地進行既定次數之下述步驟,而於基板上形成氧化膜與氮化膜積層而成之積層膜的步驟:藉由對處理容器內之基板進行既定次數之非同時進行供給原料氣體之步驟、供給氮化氣體之步驟與供給以電漿活化之氧化氣體之步驟的周期,而形成氧化膜的步驟;與藉由對上述處理容器內之上述基板,進行既定次數之非同時或同時進行上述供給原料氣體之步驟與上述供給氮化氣體之步驟的周期,而形成氮化膜的步驟;在上述形成氧化膜之步驟中,藉由進行供給上述原料氣體之步驟與供給上述氮化氣體之步驟而形成氮化層,藉由進行上述供給以電漿活化之氧化氣體之步驟,一邊將氧成分附加於上述氮化層,一邊使氮成分自上述氮化層脫離而減少至雜質層級或實質消除,而使上述氮化層朝氧化層變化,於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之步驟及上述形成氮化膜之步驟連續地進行,上述形成積層膜之步驟係具有於上述氮化膜上形成上述氧化膜之步驟,預先測量在於上述氮化膜上形成上述氧化膜之步驟中上述氮化膜表面被氧化而消耗之消耗膜厚,在上述形成氮化膜之步驟中,在上述積層膜中形成僅較需 要之膜厚更厚出上述消耗膜厚分量之膜厚之上述氮化膜。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成氧化膜的步驟中,以上述供給原料氣體之步驟、上述供給氮化氣體之步驟、與上述供給以電漿活化之氧化氣體之步驟作為1周期並重複進行該周期複數次。
  3. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述形成氧化膜的步驟中,以將上述供給原料氣體之步驟與上述供給氮化氣體之步驟作為1組並重複進行該組複數次之步驟、與上述供給以電漿活化之氧化氣體之步驟作為1周期並重複進行該周期複數次。
  4. 一種半導體裝置之製造方法,其具有藉由交替地進行既定次數之下述步驟,而於基板上形成氧化膜與氮化膜積層而成之積層膜的步驟:藉由對處理容器內之基板進行既定次數之非同時進行供給原料氣體之步驟、供給氧化氣體及還原氣體之步驟的周期,而形成氧化膜的步驟;與藉由對上述處理容器內之上述基板,進行既定次數之非同時或同時進行上述供給原料氣體之步驟與上述供給氮化氣體之步驟的周期,而形成氮化膜的步驟; 於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之步驟及上述形成氮化膜之步驟連續地進行,上述形成積層膜之步驟係具有於上述氮化膜上形成上述氧化膜之步驟,預先測量在於上述氮化膜上形成上述氧化膜之步驟中上述氮化膜表面被氧化而消耗之消耗膜厚,在上述形成氮化膜之步驟中,在上述積層膜中形成僅較需要之膜厚更厚出上述消耗膜厚分量之膜厚之上述氮化膜。
  5. 如申請專利範圍第4項之半導體裝置之製造方法,其中,在形成氧化膜之步驟中,以上述供給原料氣體之步驟、與供給上述氧化氣體及上述還原氣體之步驟作為1周期並重複進行該周期複數次。
  6. 如申請專利範圍第4項之半導體裝置之製造方法,其中,在形成氧化膜之步驟中,以上述供給原料氣體之步驟、與供給上述氧化氣體及上述還原氣體之步驟作為1周期並重複進行該周期複數次;其後再進行供給上述氧化氣體及上述還原氣體的步驟。
  7. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述形成氮化膜之步驟中,同時進行上述供給原料氣體之步驟與上述供給氮化氣體之步驟。
  8. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成氧化膜的步驟係進一步具有於上述氧化膜中添 加氮的步驟。
  9. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成氮化膜之步驟係進一步具有於上述氮化膜中添加氧的步驟。
  10. 如申請專利範圍第4項之半導體裝置之製造方法,其中,上述形成氧化膜的步驟係進一步具有於上述氧化膜中添加氮的步驟,或是,上述形成氮化膜之步驟係進一步具有於上述氮化膜中添加氧的步驟。
  11. 一種基板處理裝置,係具有:收容基板之處理容器;對上述處理容器內之基板進行加熱的加熱器;對上述處理容器內之基板供給原料氣體的原料氣體供給系統;對上述處理容器內之基板供給氮化氣體的氮化氣體供給系統;對上述處理容器內之基板供給以電漿活化之氧化氣體的氧化氣體供給系統;與控制部,係構成為依下述方式而控制上述加熱器、上述原料氣體供給系統、上述氮化氣體供給系統及上述氧化氣體供給系統:進行藉由交替地進行既定次數之下述處理,而於上述基板上形成氧化膜與氮化膜積層而成之積層膜的處理:藉由對上 述處理容器內之基板進行既定次數之非同時進行供給上述原料氣體之處理、供給上述氮化氣體之處理與供給上述以電漿活化之氧化氣體之處理的周期,而形成氧化膜的處理;與藉由對上述處理容器內之上述基板進行既定次數之非同時或同時進行供給上述原料氣體之處理與供給上述氮化氣體之處理的周期,而形成氮化膜的處理;在上述形成氧化膜之處理中,藉由進行供給上述原料氣體之處理與供給上述氮化氣體之處理而形成氮化層,藉由進行上述供給以電漿活化之氧化氣體之處理,一邊將氧成分附加於上述氮化層,一邊使氮成分自上述氮化層脫離而減少至雜質層級或實質消除,而使上述氮化層朝氧化層變化,於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之處理及上述形成氮化膜之處理連續地進行,在上述形成積層膜之處理中,進行於上述氮化膜上形成上述氧化膜之處理,在上述形成氮化膜之處理中,在上述積層膜中形成僅較需要之膜厚更厚出預先測量之在於上述氮化膜上形成上述氧化膜之處理中上述氮化膜表面被氧化而消耗之消耗膜厚分量之膜厚之上述氮化膜。
  12. 一種記錄媒體,其係記錄了下述程式之電腦可讀取者;該程式係使電腦執行交替地進行既定次數之下述手續,而於基板上形成氧化膜與氮化膜積層而成之積層膜的手續: 藉由對處理容器內之基板進行既定次數之非同時進行供給原料氣體之手續、供給氮化氣體之手續與供給以電漿活化之氧化氣體之手續的周期,而形成氧化膜的手續;與藉由對上述處理容器內之上述基板進行既定次數之非同時或同時進行上述供給原料氣體之手續與上述供給氮化氣體之手續的周期,而形成氮化膜的手續;在上述形成氧化膜之手續中,藉由進行供給上述原料氣體之手續與供給上述氮化氣體之手續而形成氮化層,藉由進行上述供給以電漿活化之氧化氣體之手續,一邊將氧成分附加於上述氮化層,一邊使氮成分自上述氮化層脫離而減少至雜質層級或實質消除,而使上述氮化層朝氧化層變化,並於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之手續及上述形成氮化膜之手續連續地進行,在上述形成積層膜之手續中,進行於上述氮化膜上形成上述氧化膜之手續,預先測量在於上述氮化膜上形成上述氧化膜之手續中上述氮化膜表面被氧化而消耗之消耗膜厚,在上述形成氮化膜之手續中,在上述積層膜中形成僅較需要之膜厚更厚出上述消耗膜厚分量之膜厚之上述氮化膜。
  13. 如申請專利範圍第4項之半導體裝置之製造方法,其中,上述還原氣體係含有不含氮之含氫氣體。
  14. 如申請專利範圍第4項之半導體裝置之製造方法,其 中,上述還原氣體係含有自包含氫氣體及氘氣體之群組中選擇之至少一個氣體。
  15. 一種基板處理裝置,係具有:收容基板之處理容器;對上述處理容器內之基板進行加熱的加熱器;對上述處理容器內之基板供給原料氣體的原料氣體供給系統;對上述處理容器內之基板供給氮化氣體的氮化氣體供給系統;對上述處理容器內之基板供給氧化氣體的氧化氣體供給系統;對上述處理容器內之基板供給還原氣體的還原氣體供給系統;與控制部,係構成為依下述方式而控制上述加熱器、上述原料氣體供給系統、上述氮化氣體供給系統、上述氧化氣體供給系統及上述還原氣體供給系統:進行藉由交替地進行既定次數之下述處理,而於上述基板上形成氧化膜與氮化膜積層而成之積層膜的處理:藉由對上述處理容器內之基板進行既定次數之非同時進行供給上述原料氣體之處理與供給上述氧化氣體及上述還原氣體之處理的周期,而形成氧化膜的處理;與藉由對上述處理容器內之上述基板進行既定次數之非同時或同時進行供給上述原 料氣體之處理與供給上述氮化氣體之處理的周期,而形成氮化膜的處理;於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之處理及上述形成氮化膜之處理連續地進行,在上述形成積層膜之處理中,進行於上述氮化膜上形成上述氧化膜之處理,在上述形成氮化膜之處理中,在上述積層膜中形成僅較需要之膜厚更厚出預先測量之在於上述氮化膜上形成上述氧化膜之處理中上述氮化膜表面被氧化而消耗之消耗膜厚分量之膜厚之上述氮化膜。
  16. 一種記錄媒體,其係記錄了下述程式之電腦可讀取者;該程式係使電腦執行交替地進行既定次數之下述手續,而於基板上形成氧化膜與氮化膜積層而成之積層膜的手續:藉由對處理容器內之基板進行既定次數之非同時進行供給原料氣體之手續與供給氧化氣體及還原氣體之手續的周期,而形成氧化膜的手續;與藉由對上述處理容器內之上述基板進行既定次數之非同時或同時進行上述供給原料氣體之手續與上述供給氮化氣體之手續的周期,而形成氮化膜的手續;並於使上述基板溫度保持為同樣溫度之下,將上述形成氧化膜之手續及上述形成氮化膜之手續連續地進行,在上述形成積層膜之手續中,進行於上述氮化膜上形成上 述氧化膜之手續,預先測量在於上述氮化膜上形成上述氧化膜之手續中上述氮化膜表面被氧化而消耗之消耗膜厚,在上述形成氮化膜之手續中,在上述積層膜中形成僅較需要之膜厚更厚出上述消耗膜厚分量之膜厚之上述氮化膜。
TW101129326A 2011-09-16 2012-08-14 半導體裝置之製造方法、基板處理裝置及記錄媒體 TWI536457B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011203799 2011-09-16
JP2012166405A JP2013077805A (ja) 2011-09-16 2012-07-26 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
TW201322336A TW201322336A (zh) 2013-06-01
TWI536457B true TWI536457B (zh) 2016-06-01

Family

ID=47881057

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101129326A TWI536457B (zh) 2011-09-16 2012-08-14 半導體裝置之製造方法、基板處理裝置及記錄媒體

Country Status (4)

Country Link
US (1) US8901014B2 (zh)
JP (1) JP2013077805A (zh)
KR (1) KR101396243B1 (zh)
TW (1) TWI536457B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5869923B2 (ja) * 2012-03-09 2016-02-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5922542B2 (ja) * 2012-09-19 2016-05-24 東京エレクトロン株式会社 積層膜の形成方法およびその形成装置
JP2015015384A (ja) * 2013-07-05 2015-01-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP6124724B2 (ja) 2013-07-25 2017-05-10 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP5852147B2 (ja) 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP2015198185A (ja) * 2014-04-02 2015-11-09 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2016038660A1 (ja) * 2014-09-08 2016-03-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US20160268299A1 (en) 2015-03-13 2016-09-15 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
LU92795B1 (en) * 2015-08-10 2017-02-14 Luxembourg Inst Science & Tech List SIO2 thin film produced by atomic layer deposition at room temperature
SG10201607880PA (en) * 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
JP6436886B2 (ja) * 2015-09-28 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法及びプログラム
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6523186B2 (ja) * 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2017138087A1 (ja) * 2016-02-09 2017-08-17 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP2019508852A (ja) * 2016-02-17 2019-03-28 イノヘンス カンパニー リミテッドInnohance Co.,Ltd プラズマ処理装置用カソード
TWI716609B (zh) * 2016-09-28 2021-01-21 南韓商三星電子股份有限公司 形成介電膜的方法以及製造半導體裝置的方法
JP6755164B2 (ja) 2016-11-14 2020-09-16 東京エレクトロン株式会社 TiN系膜およびその形成方法
JP6890476B2 (ja) * 2016-11-24 2021-06-18 東京エレクトロン株式会社 シリコン含有膜の形成方法
CN107665829B (zh) * 2017-08-24 2019-12-17 长江存储科技有限责任公司 晶圆混合键合中提高金属引线制程安全性的方法
DE102020005907A1 (de) 2020-09-28 2022-03-31 Cellcentric Gmbh & Co. Kg Faserablegerolle für einen Endlosfaserwickelprozess, Wickelvorrichtung und Wickelverfahren
KR20240044860A (ko) * 2022-09-29 2024-04-05 (주)이큐테크플러스 고밀도 라디컬을 이용하여 계면을 질화하는 기법이 적용된 박막 생성 방법

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6117777A (en) * 1997-07-30 2000-09-12 Chartered Semiconductor Manufacturing Co. Chemical mechanical polish (CMP) endpoint detection by colorimetry
JP3667535B2 (ja) 1998-09-17 2005-07-06 東京エレクトロン株式会社 成膜方法
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100523169B1 (ko) * 2002-06-27 2005-10-20 동부아남반도체 주식회사 반도체 소자의 제조 방법
WO2004017383A2 (en) * 2002-08-18 2004-02-26 Aviza Technology, Inc. Low termperature deposition of silicon oxides and oxynitrides
US6780708B1 (en) * 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
JP4694209B2 (ja) 2005-01-05 2011-06-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR101100428B1 (ko) * 2005-09-23 2011-12-30 삼성전자주식회사 SRO(Silicon Rich Oxide) 및 이를적용한 반도체 소자의 제조방법
JP4916257B2 (ja) * 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
US20080157181A1 (en) * 2006-12-28 2008-07-03 Hynix Semiconductor Inc. Non-volatile memory device and fabrication method thereof
JP5575582B2 (ja) * 2007-12-26 2014-08-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2009295621A (ja) * 2008-06-02 2009-12-17 Panasonic Corp 半導体装置及びその製造方法
US8465591B2 (en) * 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
JP5190307B2 (ja) * 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5155070B2 (ja) 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
KR101583608B1 (ko) * 2009-03-24 2016-01-08 삼성전자 주식회사 무기계 실리콘 전구체를 이용한 실리콘 산화막의 형성 방법및 이를 이용한 반도체 장치의 제조 방법
JP2011066345A (ja) * 2009-09-18 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理システム
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5571770B2 (ja) * 2010-03-08 2014-08-13 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8518486B2 (en) * 2010-05-12 2013-08-27 Micron Technology, Inc. Methods of forming and utilizing rutile-type titanium oxide

Also Published As

Publication number Publication date
JP2013077805A (ja) 2013-04-25
TW201322336A (zh) 2013-06-01
US8901014B2 (en) 2014-12-02
KR20130030213A (ko) 2013-03-26
KR101396243B1 (ko) 2014-05-16
US20130072027A1 (en) 2013-03-21

Similar Documents

Publication Publication Date Title
TWI536457B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
TWI508174B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
KR101097726B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP5562434B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI535879B (zh) The method of manufacturing a semiconductor device, a substrate processing apparatus and a recording medium
JP6030378B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI475599B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
TWI547996B (zh) A semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium
TWI543260B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
JP5686487B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI616926B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
WO2013054655A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP6523080B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2014063859A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JPWO2018088003A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2013179239A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム
JP6470468B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP5770892B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012221978A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP2013187324A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
KR20230044316A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2018121006A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム