CN102034702B - 半导体装置的制造方法及衬底处理装置 - Google Patents

半导体装置的制造方法及衬底处理装置 Download PDF

Info

Publication number
CN102034702B
CN102034702B CN2010105001765A CN201010500176A CN102034702B CN 102034702 B CN102034702 B CN 102034702B CN 2010105001765 A CN2010105001765 A CN 2010105001765A CN 201010500176 A CN201010500176 A CN 201010500176A CN 102034702 B CN102034702 B CN 102034702B
Authority
CN
China
Prior art keywords
gas
unstrpped gas
layer
unstrpped
supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2010105001765A
Other languages
English (en)
Other versions
CN102034702A (zh
Inventor
赤江尚德
广濑义朗
高泽裕真
太田阳介
笹岛亮太
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Electric Co., Ltd.
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to CN201210407086.0A priority Critical patent/CN102915910B/zh
Publication of CN102034702A publication Critical patent/CN102034702A/zh
Application granted granted Critical
Publication of CN102034702B publication Critical patent/CN102034702B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供半导体装置的制造方法及衬底处理装置。制造方法包括:将衬底搬入处理容器的工序;对衬底进行处理的工序,即交替重复进行以下工序:通过向处理容器内供给含有规定元素的第1原料气体和含有规定元素的第2原料气体进行排气,在衬底上形成含有规定元素的层的工序,和通过向处理容器内供给与第1原料气体及第2原料气体不同的反应气体进行排气,将含有规定元素的层改性为氧化层、氮化层或氮氧化层的工序,由此在衬底上形成规定膜厚的氧化膜、氮化膜或氮氧化膜;将经处理的衬底从处理容器搬出的工序。第1原料气体的反应性高于第2原料气体,在形成含有规定元素的层的工序中第1原料气体的供给量少于第2原料气体。

Description

半导体装置的制造方法及衬底处理装置
技术区域
本发明涉及包括在衬底上形成薄膜的工序的半导体装置的制造方法及衬底处理装置。
背景技术
闪存器具备用绝缘膜包围的电子存储空间(浮置栅),其工作原理如下:利用存取通过薄的隧道氧化膜的电子,录入信息,同时利用该薄的氧化膜的绝缘性,长时间地保持电子,保持存储。闪存器中存储的信息,即使没有来自外部的动作,也需要保持10年之久,对包围被称作浮置栅的电荷存储空间的绝缘膜的要求变得越发严格。在用于控制存储单元动作的控制栅极之间设置的绝缘膜,通常采用被称作ONO的氧化膜(SiO2)/氮化膜(Si3N4)/氧化膜(SiO2)的层合构造,可以期待具有较高的漏电流特性。
目前,ONO层合结构中的SiO2绝缘膜,例如可以使用SiH2Cl2气体及N2O气体根据CVD法在800℃左右的高温下形成,但随着设备的进一步微型化,导致ONO层合膜中氮化膜的容量降低,因此从确保容量的观点考虑,人们正在研究采用高电介质膜代替氮化膜层。由于形成于电介质膜上的SiO2绝缘膜抑制电介质膜的结晶化,所以需要在比高电介质膜形成温度更低的温度下形成。
专利文献:日本特愿2009-178309号
发明内容
形成SiO2绝缘膜时,伴随形成温度的低温化,存在膜的生长速度(成膜速度)变慢的倾向。因此,人们开始采用反应性高、易于吸附在衬底上的无机原料或有机原料。然而,上述原料与现有材料相比流通量少、原料价格高,所以存在形成的半导体设备的单价变高的问题。另外,使用上述原料时,还存在难以确保形成的绝缘膜的膜厚均匀性的问题。
因此,为了解决上述课题,本发明的目的在于提供即使在低温下,也可以维持高成膜速度,同时以低成本形成膜厚均匀性良好的绝缘膜的半导体装置的制造方法及衬底处理装置。
根据本发明的方案之一,提供一种半导体装置的制造方法,所述制造方法包括下述工序:
将衬底搬入处理容器内的工序;
对衬底进行处理的工序,即,通过交替重复进行以下工序,在上述衬底上形成规定膜厚的氧化膜、氮化膜或氮氧化膜,所述交替重复进行的工序包括:通过向上述处理容器内供给含有规定元素的第1原料气体和含有规定元素的第2原料气体进行排气,在上述衬底上形成含有规定元素的层的工序,和通过向上述处理容器内供给与上述第1原料气体及上述第2原料气体不同的反应气体进行排气,将上述含有规定元素的层改性为氧化层、氮化层或氮氧化层的工序;
将经过处理的衬底从上述处理容器内搬出的工序;
其中,上述第1原料气体的反应性比上述第2原料气体的反应性高,
在上述形成含有规定元素层的工序中,使上述第1原料气体的供给量比上述第2原料气体的供给量少。
根据本发明的其他方案,提供一种半导体装置的制造方法,所述制造方法包括下述工序:
将衬底搬入处理容器内的工序;
对衬底进行处理的工序,即,通过交替重复进行以下工序,在上述衬底上形成规定膜厚的氧化硅膜、氮化硅膜或氮氧化硅膜,所述交替重复进行的工序包括:通过向上述处理容器内供给含有硅的第1原料气体和含有硅的第2原料气体进行排气,在上述衬底上形成含硅层的工序,和通过向上述处理容器内供给与上述第1原料气体及上述第2原料气体不同的反应气体进行排气,将上述含硅层改性为氧化硅层、氮化硅层或氮氧化硅层的工序;
将经过处理的衬底从上述处理容器内搬出的工序;
其中,上述第1原料气体的反应性比上述第2原料气体的反应性高,
在上述形成含硅层的工序中,使上述第1原料气体的供给量比上述第2原料气体的供给量少。
进而,根据本发明的其他方案,提供一种衬底处理装置,所述衬底处理装置包括下述部分:
容纳衬底的处理容器;
第1原料气体供给系统,所述供给系统向上述处理容器内供给含有规定元素的第1原料气体;
第2原料气体供给系统,所述供给系统向上述处理容器内供给含有上述规定元素的第2原料气体;
反应气体供给系统,所述供给系统向上述处理容器内供给与上述第1原料气体及上述第2原料气体不同的反应气体;
对上述处理容器内进行排气的排气系统;
控制部,所述控制部控制上述第1原料气体供给系统、上述第2原料气体供给系统、上述反应气体供给系统及上述排气系统,由此实现对上述衬底进行如下处理,即,通过交替重复进行以下处理,在上述衬底上形成规定膜厚的氧化膜、氮化膜或氮氧化膜,所述处理包括:通过向容纳衬底的上述处理容器内供给上述第1原料气体和上述第2原料气体进行排气,在上述衬底上形成含有规定元素的层的处理,和通过向上述处理容器内供给上述反应气体进行排气,将上述含有规定元素的层改性为氧化层、氮化层或氮氧化层的处理;
其中,上述第1原料气体的反应性比上述第2原料气体的反应性高,
并且所述控制部形成如下结构:通过在上述形成含有规定元素的层的处理中控制上述第1原料气体供给系统及上述第2原料气体供给系统,使上述第1原料气体的供给量比上述第2原料气体的供给量少。
根据本发明,能够提供一种即使在低温下也可以维持高的成膜速度、同时以低成本形成膜厚均匀性良好的绝缘膜的半导体装置的制造方法及衬底处理装置。
附图说明
【图1】为本实施方式中优选使用的衬底处理装置的立式处理炉的结构简图,为以纵剖面表示处理炉部分的图。
【图2】为本实施方式中优选使用的衬底处理装置的立式处理炉的结构简图,为以图1的A-A’线剖面图表示处理炉部分的图。
【图3】为表示本实施方式中的成膜流程的图。
【图4】为表示本实施方式的成膜顺序中气体供给时刻的图,表示同时供给HCD气体及DCS气体后,同时停止HCD气体及DCS气体的供给,之后供给O2气体与H2气体的例子。
【图5】为表示本实施方式的成膜顺序中气体供给时刻的图,表示同时供给HCD气体及DCS气体后,先停止HCD气体的供给,再停止DCS气体的供给后,供给O2气体及H2气体的例子。
【图6】为表示本实施方式的成膜顺序中气体供给时刻的图,表示在供给DCS气体之前先供给HCD气体,且先停止HCD气体的供给,再停止DCS气体的供给后,供给O2气体及H2气体的例子。
【图7】为分别表示单独使用DCS气体时、在DCS气体中添加微量的HCD气体时、单独使用微量HCD气体时的SiO2膜的成膜速度及膜厚均匀性的实验结果的图。
【图8】为表示HCD气体的供给量与SiO2膜的成膜速度的关系的曲线图。
【图9】为表示将本发明用于SiN成膜时的成膜顺序中的气体供给时刻的图,表示同时供给HCD气体与DCS气体后,先停止HCD气体的供给,再停止DCS气体的供给,然后供给NH3气体的例子。
【图10】为表示将本发明用于SiON成膜时的成膜顺序中气体供给时刻的图,表示同时供给HCD气体与DCS气体后,先停止HCD气体的供给,再停止DCS气体的供给,然后供给NH3气体,之后供给O2气体的例子。
【图11】为表示本发明实施例的HCD/DCS流量比与SiO成膜速度的关系的图。
【图12】为表示本发明实施例的HCD/DCS流量比与SiO膜厚均匀性的关系的图。
符号说明
200晶片
201处理室
202处理炉
203反应管
207加热器
231排气管
232a第1气体供给管
232b第2气体供给管
232c第3气体供给管
232d第4气体供给管
具体实施方式
利用现有CVD(chemical Vapor Deposition)法形成SiO2膜时,广泛使用的硅原料即二氯硅烷(SiH2Cl2,简称作DCS),伴随成膜温度的低温化,反应性显著变低,吸附·堆积在衬底(在衬底表面上形成的SiO2,SiON,SiN等的膜)上的累积(incubation)变大。因此,在衬底上形成从小于1原子层到多原子层左右的硅层变得非常困难。另外,此时得到的SiO2膜的膜厚分布均匀性显著变差,拖出硅层形成斑。
图7表示交替供给硅原料气体及反应气体(氧气及氢气)于低温(600℃)下在衬底上形成SiO2膜时的成膜速度及膜厚均匀性的实验结果。图7中(a)表示单独使用DCS气体作为硅原料气体时的成膜速度及膜厚均匀性。图7中(b)表示使用向DCS气体中添加微量的HCD气体作为硅原料气体时的成膜速度及膜厚均匀性。图7中(c)表示单独使用微量HCD气体作为硅原料气体时的成膜速度及膜厚均匀性。另外,图7的实验中使用的DCS气体的流量为1时,微量HCD气体的流量表示为0.03。即,图7的实验中使用的HCD气体的流量与DCS气体的流量之比,即,HCD气体流量/DCS气体流量(HCD/DCS流量比)为0.03(3%)。另外,图7中,以(a)的成膜速度为1(基准)时的成膜速度比率表示成膜速度,以(a)的膜厚均匀性为1(基准)时的膜厚均匀性比率表示膜厚均匀性。需要说明的是,膜厚均匀性表示在衬底面内的膜厚分布的偏差程度,其值越小表示在衬底面内的膜厚均匀性越良好。
发明人等经潜心研究,结果发现通过向DCS气体中添加微量的六氯乙硅烷(Si2Cl6,简称HCD)气体,在低温例如在600℃下可以提高SiO2膜的成膜速度,另外可以提高SiO2膜的膜厚均匀性,所述六氯乙硅烷气体是一种无机原料,比DCS气体的反应性高,即,比DCS气体的热分解温度低,在同样的条件下比DCS气体更容易吸附于衬底。由图7(b)可知,通过向DCS气体中添加微量的HCD气体,能够得到为单独使用DCS气体时的2.2倍的成膜速度。另外,可知通过向DCS气体中添加微量的HCD气体,与单独使用DCS气体时相比,能够得到非常良好的膜厚均匀性。需要说明的是,由图7(c)可知,单独使用微量HCD气体时的SiO2膜的成膜速度非常低,此时所得的SiO2膜的膜厚分布均匀性也明显较差。
图8为表示交替供给HCD气体和反应气体(氧气及氢气),在低温(600℃)下形成SiO2膜时的HCD气体的供给量与SiO2膜的成膜速度之间的关系的曲线图。图8表示以一定HCD供给量为基准,将成膜速度标准化时的HCD气体的供给量与SiO2膜的成膜速度之间的关系。由图8可以看出,随着HCD气体供给量降低,衬底上的硅吸附量降低,由此导致SiO2膜的成膜速度降低。即,单独使用HCD气体时,即使减少HCD气体的供给量,使HCD气体的供给量为微量,也无法提高成膜速度。为了确保单独使用HCD气体时的成膜速度,需要一定程度的HCD气体的供给量。另外,在单独使用DCS气体时,在低温下也不能提高成膜速度。但是,通过向DCS气体中添加微量的HCD气体,例如即使在600℃之类低温下也可以提高成膜速度。
一般认为通过将微量的HCD气体添加到DCS气体中能够改善成膜速度的原因在于:通过向衬底上供给微量HCD,硅原料的吸附·硅的堆积能够一定程度地进行,因此与不添加微量HCD的情况相比,DCS的吸附·硅的堆积所需的部位变窄,结果对该部位的DCS的暴露量相对地增加,DCS的吸附几率·硅的堆积几率格外提高。
另外,通常认为能改善成膜速度的原因还在于:由于与DCS气体同时供给的HCD气体热分解,另外,由于HCD气体热分解时产生的Cl2与DCS气体的H基反应,所以促进SiCl4气体和Si原子的生成,大幅度地促进硅原料的吸附·硅的堆积。此时,一般认为进行了下述反应。
2SiH2Cl2+Si2Cl6→2Si+2SiCl4+2HCl+H2
SiH2Cl2+Si2Cl6→2Si+SiCl4+2HCl
SiH2Cl2+Si2Cl6→Si+2SiCl4+H2
其结果认为,由于在改善成膜速度的同时,可以均匀地进行向衬底上的硅原料的吸附·硅的堆积,所以本发明中形成的SiO2膜的膜厚均匀性(膜厚分布)也良好。另外,与单独使用HCD气体的情况相比,可以不使成膜控制性降低,也可以大幅度地降低原料成本。
作为第1硅原料气体的HCD气体为Si原料A,作为第2硅原料气体的DCS气体为Si原料B时,对于各个Si原料的供给时刻,一般认为有图4的Si原料供给时刻1(同时供给Si原料A、B),图5的Si原料供给时刻2(同时供给Si原料A、B,后停止供给Si原料B),图6的Si原料供给时刻3(先供给Si原料A,后停止供给Si原料B),可以使用任一个供给时刻。需要说明的是,图7(b)的实验结果,是在图5的Si原料供给时刻2时进行的结果。上述Si原料供给时刻详细情况如下所述。
本发明是基于发明人等获得上述发现而完成的。以下,一边参照附图一边说明本发明的实施方式之一。
图1为本发明的实施方式之一中优选使用的衬底处理装置的立式处理炉的结构简图,用纵剖面图表示处理炉202部分。另外,图2为图1所示的处理炉的A-A’剖面图。需要说明的是,本发明并不限定于本实施方式的衬底处理装置,也可以适当地使用具有单张式,Hot Wall型,Cold Wall型的处理炉的衬底处理装置。
如图1所示,处理炉202具有作为加热装置(加热机构)的加热器207。加热器207为圆筒形状,通过被作为保持板的加热器基座(图中未示出)支承,以垂直方式安装。
在加热器207的内侧设置有作为与加热器207为同心圆形反应管的反应管203。反应管203例如由石英(SiO2)或碳化硅(SiC)等耐热性材料构成,形成为上端封闭、下端开口的圆筒形状。在反应管203的筒中空部形成有处理室201,所述处理室201形成如下结构,即,可以通过下述舟皿217容纳作为衬底的晶片200且使晶片200为以水平姿态在垂直方向上成多层排列的状态。
在反应管203的下方设置有与反应管203为同心圆形的歧管209。歧管209例如由不锈钢等构成,形成为上端及下端开口的圆筒形状。歧管209以与反应管203卡合、支承反应管203的方式进行设置。需要说明的是,歧管209与反应管203之间设置有作为密封构件的O型环220a。通过使加热器基座支承歧管209,反应管203形成垂直安装的状态。由反应管203和歧管209形成反应容器(处理容器)。
设置歧管209使作为第1气体导入部的第1喷嘴233a、作为第2气体导入部的第2喷嘴233b和作为第3气体导入部的第3喷嘴233c贯通歧管209的侧壁,第1气体供给管232a、第2气体供给管232b、第3气体供给管232c分别与第1喷嘴233a、第2喷嘴233b、第3喷嘴233c连接。另外,第4气体供给管232d与第3气体供给管232c连接。如上所述,向处理室201内设置4根气体供给管,作为供给多种处理气体的、此处作为供给4种处理气体的气体供给路。
第1气体供给管232a上,从上游方向依次设置有作为流量控制器(流量控制装置)的质量流量控制器241a、及作为开关阀的阀243a。另外,在比第1气体供给管232a的阀243a更靠近下游侧,连接有供给惰性气体的第1惰性气体供给管234a。该第1惰性气体供给管234a上,从上游方向依次设置有流量控制器(流量控制装置)的质量流量控制器241c、及作为开关阀的阀243c。另外,上述第1喷嘴233a与第1气体供给管232a的前端部连接。第1喷嘴233a如下设置:在构成处理室201的反应管203的内壁与晶片200之间的圆弧状空间内,从反应管203内壁的下部开始沿着上部,朝向晶片200的叠层方向上方立起。在第1喷嘴233a的侧面设置有作为供给气体的供给孔的气体供给孔248a。该气体供给孔248a,从下部到上部均具有同一开口面积,并且以相同的开口间距进行设置。第1气体供给系统主要由第1气体供给管232a、质量流量控制器241a、阀243a、第1喷嘴233a构成。另外,第1惰性气体供给系统主要由第1惰性气体供给管234a、质量流量控制器241c、阀243c构成。
在第2气体供给管232b上,从上游方向依次设置有作为流量控制器(流量控制装置)的质量流量控制器241b、及作为开关阀的阀243b。另外,在比第2气体供给管232b的阀243b更靠近下游侧,连接有供给惰性气体的第2惰性气体供给管234b。在上述第2惰性气体供给管234b上,从上游方向依次设置有作为流量控制器(流量控制装置)的质量流量控制器241d、及作为开关阀的阀243d。另外,上述第2喷嘴233b与第2气体供给管232b的前端部连接。第2喷嘴233b如下设置:在构成处理室201的反应管203的内壁与晶片200之间的圆弧状空间内,从反应管203内壁的下部开始沿着上部,朝向晶片200的叠层方向上方立起。在第2喷嘴233b的侧面设置有作为供给气体的供给孔的气体供给孔248b。该气体供给孔248b,从下部至上部均具有同一开口面积,并且以相同的开口间距进行设置。第2气体供给系统主要由第2气体供给管232b、质量流量控制器241b、阀243b、第2喷嘴233b构成。另外,第2惰性气体供给系统主要由第2惰性气体供给管234b、质量流量控制器241d、阀243d构成。
在第3气体供给管232c上,从上游方向依次设置有作为流量控制器(流量控制装置)的质量流量控制器241e、及作为开关阀的阀243e。另外,在比第3气体供给管232c的阀243e更靠近下游侧,连接有供给惰性气体的第3惰性气体供给管234c。在上述第3惰性气体供给管234c上,从上游方向依次设置有作为流量控制器(流量控制装置)的质量流量控制器241f、及作为开关阀的阀243f。另外,在比第3气体供给管232c的阀243e更靠近下游侧,连接有第4气体供给管232d。在上述第4气体供给管232d上,从上游方向依次设置有作为流量控制器(流量控制装置)的质量流量控制器241g、及作为开关阀的阀243g。另外,上述第3喷嘴233c与第3气体供给管232c的前端部连接。第3喷嘴233c如下设置:在构成处理室201的反应管203的内壁与晶片200之间的圆弧状空间内,从反应管203内壁下部开始沿着上部,朝向晶片200的叠层方向上方立起。在第3喷嘴233c的侧面设置有作为供给气体的供给孔的气体供给孔248c。该气体供给孔248c,从下部到上部均具有同一开口面积,并且以相同的开口间距进行设置。第3气体供给系统主要由第3气体供给管232c、质量流量控制器241e、阀243e、第3喷嘴233c构成。另外,第4气体供给系统主要由第4气体供给管232d、质量流量控制器241g、阀243g、第3气体供给管232c、第3喷嘴233c构成。另外,第3惰性气体供给系统主要由第3惰性气体供给管234c、质量流量控制器241f、阀243f构成。
将含有氧的气体(含氧气体)例如氧(O2)气从第1气体供给管232a通过质量流量控制器241a、阀243a、第1喷嘴233a供给到处理室201内。即,第1气体供给系统构成为含氧气体供给系统。此时惰性气体也可以同时从第1惰性气体供给管234a,通过质量流量控制器241c、阀243c供给到第1气体供给管232a内。
另外,将含有氢的气体(含氢气体)例如氢(H2)气从第2气体供给管232b通过质量流量控制器241b、阀243b、第2喷嘴233b供给到处理室201内。即,第2气体供给系统构成为含氢气体供给系统。此时惰性气体也可以同时从第2惰性气体供给管234b,通过质量流量控制器241d、阀243d供给到第2气体供给管232b内。
需要说明的是,也可以将含有氮的气体(含氮气体)例如氨(NH3)气从第2气体供给管232b通过质量流量控制器241b、阀243b、第2喷嘴233b供给到处理室201内。即,第2气体供给系统也可以构成为含氮气体供给系统。此时惰性气体也可以同时从第2惰性气体供给管234b通过质量流量控制器241d、阀243d供给到第2气体供给管232b内。
另外,从第3气体供给管232c,通过质量流量控制器241e、阀243e、第3喷嘴233c向处理室201内供给第1原料气体,即,含有硅的第1原料气体(第1含硅气体)、例如六氯乙硅烷(Si2Cl6,简称HCD)气体。即,第3气体供给系统构成为第1原料气体供给系统(第1含硅气体供给系统)。此时惰性气体也可以同时从第3惰性气体供给管234c,通过质量流量控制器241、阀243f供给到第3气体供给管232c内。
另外,从第4气体供给管232d,通过质量流量控制器241g、阀243g、第3气体供给管232c、第3喷嘴233c向处理室201内供给第2原料气体,即,含有硅的第2原料气体(第2含硅气体)、例如二氯硅烷(SiH2Cl2,简称DCS)气体。即,第4气体供给系统构成为第2原料气体供给系统(第2含硅气体供给系统)。此时惰性气体也可以同时从第3惰性气体供给管234c,通过质量流量控制器241f、阀243f供给到第3气体供给管232c内。
需要说明的是,反应气体供给系统由第1气体供给系统和第2气体供给系统构成,原料气体供给系统由第3气体供给系统和第4气体供给系统构成。
需要说明的是,本实施方式中,分别从各喷嘴向处理室201内供给O2气体、H2气体(NH3气体)、HCD气体及DCS气体,例如,也可以从相同的喷嘴向处理室201内供给H2气体及HCD气体。另外,也可以从相同的喷嘴向处理室201内供给O2气体及H2气体。如上所述,如果多种气体能够公用一个喷嘴,则存在可以减少喷嘴根数、降低装置成本、另外也易于保养等优点。另外,通过从同一喷嘴向处理室201内供给O2气体及H2气体,可以提高氧化能力改善效果及氧化能力均匀化效果。需要说明的是,一般认为在下述成膜温度带下HCD气体与H2气体不发生反应,但是HCD气体与O2气体发生反应,因此最好从不同的喷嘴向处理室201内供给HCD气体和O2气体。另外,本实施方式中,可以将HCD气体与DCS气体在同一供给配管(第3气体供给管232c)内预先混合,从同一喷嘴(第3喷嘴233c)供给到处理室201内,也可以从不同的供给配管、喷嘴供给到处理室201内。
在歧管209上设置有对处理室201内的气氛进行排气的排气管231。通过作为压力检测器的压力传感器245及作为压力调节器(压力调节部)的APC(Auto Pressure Controller)阀242,作为真空排气装置的真空泵246与排气管231连接。需要说明的是,APC阀242为开关阀,形成通过开关阀门可以进行处理室201内的真空排气·停止真空排气、并且通过调节阀的开度可以调节压力的结构。并形成如下结构:在使真空泵246工作的同时,基于由压力传感器245检测到的压力来调节APC阀242的阀开度,由此能够进行真空排气使处理室201内的压力变成规定的压力(真空度)。排气系统主要由排气管231、压力传感器245、APC阀242、真空泵246构成。
在歧管209的下方设置有密封盖219,所述密封盖219可以作为炉口盖,能够气密性地封闭歧管209的下端开口。密封盖219形成从垂直方向的下侧在与歧管209的下端抵接的结构。密封盖219例如由不锈钢等金属构成,形成圆盘状。在密封盖219的上面,设置有与歧管209的下端抵接的密封构件即O型环220b。在密封盖219的与处理室201相反的一侧,设置有如下所述的作为衬底保持工具的旋转装置267,该旋转装置267可以使舟皿217旋转。旋转装置267的旋转轴255,贯通密封盖219,与舟皿217连接。旋转装置267形成通过旋转舟皿217使晶片200旋转的结构。密封盖219形成通过作为升降装置的舟皿升降机115能够在垂直方向升降的结构,所述舟皿升降机115垂直设置于反应管203的外部。舟皿升降机115如下构成:通过使密封盖219升降,可以向处理室201内搬入·搬出舟皿217。
作为衬底保持工具的舟皿217例如由石英和碳化硅等耐热性材料构成,能够使多片晶片200呈水平姿态、且以中心相互对齐的状态排列并保持为多层。需要说明的是,在舟皿217的下部,设置有例如由石英和碳化硅等耐热性材料构成的绝热构件218,形成使来自加热器207的热难以向密封盖219侧传递的结构。需要说明的是,绝热构件218,也可以由石英和碳化硅等耐热性材料构成的多张绝热板、和绝热板支架构成,所述绝热板支架能够多层地支承上述绝热板且使上述绝热板保持水平。在反应管203内,设置有作为温度检测器的温度传感器263,其如下构成:基于利用温度传感器263检测出的温度信息,调节与加热器207的通电情况,由此使处理室201内的温度变为所期望的温度分布。温度传感器263与第1喷嘴233a、第2喷嘴233b及第3喷嘴233c同样地沿着反应管203的内壁设置。
作为控制部(控制装置)的控制器280与质量流量控制器241a、241b、241c、241d、241e、241f、241g、阀243a、243b、243c、243d、243e、243f、243g、压力传感器245、APC阀242、加热器207、温度传感器263、真空泵246、旋转装置267、舟皿升降机115等连接。通过控制器280可以对以下操作进行控制:通过质量流量控制器241a、241b、241c、241d、241e、241f、241g进行的气体流量调节;阀243a、243b、243c、243d、243e、243f、243g的开关动作;基于APC阀242的开关及压力传感器245的压力调节动作;基于温度传感器263的加热器207的温度调节;真空泵246的起动·停止;旋转装置267的旋转速度调节;通过舟皿升降机115进行的舟皿217的升降动作等。
接着,使用上述衬底处理装置的处理炉202,对作为半导体装置(设备)的制造工序的工序之一、即在衬底上将作为绝缘膜的氧化膜成膜的方法的例子进行说明。需要说明的是,以下说明中,构成衬底处理装置的各部分的动作通过控制器280控制。
图3表示本实施方式中的成膜流程图,图4、图5、图6表示本实施方式的成膜顺序中气体供给的时刻图。本实施方式的成膜顺序中,通过交替重复进行如下工序,在衬底上形成规定膜厚的氧化硅膜,所述工序为:通过将至少两种含有硅作为规定元素的原料气体、即含有硅的第1原料气体(HCD气体)和含有硅的第2原料气体(DCS气体)供给到容纳衬底的处理容器内,在衬底上形成含规定元素的层、即含硅层的工序;通过向处理容器内供给与第1原料气体及第2原料气体不同的反应气体即含氧气体(O2气体)和含氢气体(H2气体),将含硅层改性为氧化硅层的工序。需要说明的是,第1原料气体比第2原料气体的反应性高,在衬底上形成含硅层的工序中,使第1原料气体的供给量比第2原料气体的供给量少。
在衬底上形成含硅层的工序在产生CVD反应的条件下进行。此时在衬底上形成硅层,所述硅层为小于1原子层至多原子层左右的含硅层。含硅层也可以为各原料气体的吸附层,即,第1原料气体的吸附层或第2原料气体的吸附层。此处所谓硅层,是包括由硅构成的连续的层、不连续的层以及将它们重叠形成的硅薄膜的总称。需要说明的是,有时也将由硅构成的连续的层称为硅薄膜。另外,所谓原料气体的吸附层,包括原料气体的气体分子的连续的化学吸附层、以及不连续的化学吸附层。需要说明的是,小于1原子层的层,是指不连续地形成的原子层。在原料气体自身分解的条件下,硅堆积在衬底上,由此形成硅层。在原料气体自身不分解的条件下,原料气体吸附在衬底上,由此形成原料气体的吸附层。需要说明的是,与在衬底上形成原料气体的吸附层相比,在衬底上形成硅层时可以提高成膜速度,为优选。
另外,将含硅层改性为氧化硅层的工序中,通过使反应气体在热作用下活化后进行供给,将含硅层氧化,将其改性为氧化硅层。此时,在小于大气压的压力气氛下、在处理容器内使作为反应气体的含氧气体与含氢气体反应生成含氧的氧化种,使用该氧化种将含硅层氧化,将其改性为氧化硅层。该氧化处理,与单独供给含氧气体的情况相比,可以大幅度地提高氧化能力。即,通过在减压气氛下向含氧气体中添加含氢气体,与单独供给含氧气体的情况相比,可以得到大幅度地提高氧化能力的效果。将含硅层改性为氧化硅层的工序,在非等离子体的减压气氛下进行。需要说明的是,作为反应气体,也可以单独使用含氧气体。
(对SiO成膜的应用)
以下,具体地进行说明。需要说明的是,本实施方式中,分别使用HCD气体作为含有硅的第1原料气体,使用DCS气体作为含有硅的第2原料气体,使用O2气体、H2气体作为反应气体即含氧气体、含氢气体,根据图3的成膜流程,图4、图5、图6的成膜顺序,对在衬底上形成作为绝缘膜的氧化硅膜(SiO2膜)的例子进行说明。
将多片晶片200填装到舟皿217(晶片装入)中时,如图1所示,保持多片晶片200的舟皿217,被舟皿升降机115举起,搬入处理室201内(舟皿装载(boat load))。在此状态下,形成密封盖219通过O型环220b密封歧管209下端的状态。
利用真空泵246进行真空排气,使处理室201内变为所期望的压力(真空度)。此时,使用压力传感器245测定处理室201内的压力,基于上述测定得到的压力,反馈控制(压力调节)APC阀242。另外,利用加热器207进行加热使处理室201内变为所期望的温度。此时,基于温度传感器263检测出的温度信息,对向加热器207的通电状态进行反馈控制使处理室201内变为所期望的温度分布(温度调整)。接下来,利用旋转装置267使舟皿217旋转,由此使晶片200旋转。之后,依次进行下述4个步骤。
[步骤1]
打开第3气体供给管232c的阀243e、第3惰性气体供给管234c的阀243f,使HCD气体流入第3气体供给管232c,惰性气体(例如N2气体)流入第3惰性气体供给管234c。另外,打开第4气体供给管232d的阀243g,使DCS气体流入第4气体供给管232d。惰性气体从第3惰性气体供给管234c流入,利用质量流量控制器241f调节流量。HCD气体从第3气体供给管232c流入,利用质量流量控制器241e调节流量。DCS气体从第4气体供给管232d流入,利用质量流量控制器241g调节流量。经流量调节的HCD气体、经流量调节的DCS气体和经流量调节的惰性气体在第3气体供给管232c内被混合,从第3喷嘴233c的气体供给孔248c供给到经加热的且为减压状态的处理室201内,从排气管231排出(HCD及DCS供给)。
此时,如图4、图5、图6所示,使步骤1中的HCD气体的供给量比DCS气体的供给量少。即,使步骤1中的HCD气体的供给量相对于DCS气体的供给量为微量。需要说明的是,图4、图5、图6的各横轴表示时间,纵轴表示各气体的供给流量,各步骤中的表示各气体供给状态的矩形面积,表示各步骤中的各气体的供给量。
步骤1中的HCD气体的供给量相对于DCS气体的供给量的比,即HCD供给量/DCS供给量(HCD/DCS),优选为0.03(3%)以上0.5(50%)以下,较优选为0.06(6%)以上0.5(50%)以下。HCD/DCS小于3%时,晶片表面上的DCS的吸附几率·硅的堆积几率变低,因此难以提高成膜速度,另外,也难以确保膜厚均匀性。另外,HCD/DCS小于6%时,晶片表面上的HCD和DCS的吸附·硅的堆积变得难以饱和。HCD/DCS大于50%时,副产物和颗粒变多,电势变高。降低原料成本的效果也变小。即,通过使HCD/DCS为3%以上50%以下,可以提高晶片表面上的DCS的吸附·硅的堆积几率,可以提高成膜速度,另外,还可以提高膜厚均匀性。进而,也可以抑制副产物的生成和颗粒的产生,还可以大幅度地降低原料成本。另外,通过使HCD/DCS为6%以上50%以下,使HCD和DCS的晶片表面上的吸附·硅的堆积变得容易饱和,因此可以进一步提高成膜速度,另外,还可以进一步提高膜厚均匀性。进而,也可以抑制副产物的生成和颗粒的产生,还可以大幅度地降低原料成本。
在上述情况下,如图4所示,使HCD气体的供给流量比DCS气体的供给流量少,也可以同时供给HCD气体和DCS气体。即,使HCD气体的供给流量比DCS气体的供给流量少,也可以同时进行HCD气体和DCS气体的开始供给及停止供给,使HCD气体的供给时间与DCS气体的供给时间相等。在图4的供给方法的情况下,可以使阀243e与阀243g的开关时刻一致,易于控制阀的开关。
另外,如图5所示,也可以使HCD气体的供给流量与DCS气体的供给流量相等,同时供给HCD气体和DCS气体后,先停止供给HCD气体。即,也可以使HCD气体的供给流量与DCS气体的供给流量相等,同时开始HCD气体和DCS气体的供给,停止HCD气体的供给后,继续单独供给DCS气体规定时间后停止供给,使HCD气体的供给时间比DCS气体的供给时间短。图5的供给方法与图4的供给方法相比,可以使将供给HCD气体和DCS气体的初期的HCD气体的供给量增加,在供给初期,可以迅速地形成DCS气体容易吸附于晶片表面的状态,之后,单独供给DCS气体时,能够提高DCS气体对晶片表面的吸附效率。
另外,如图6所示,也可以使HCD气体的供给流量与DCS气体的供给流量相等,在供给DCS气体之前进行HCD气体的供给。即,也可以使HCD气体的供给流量与DCS气体的供给流量相等,开始供给HCD气体后,在停止供给HCD气体的同时,开始供给DCS气体,之后,停止DCS气体的供给,使HCD气体的供给时间相比DCS气体的供给时间短。此时,也可以在开始供给HCD气体后,在不停止供给HCD气体的状态下开始供给DCS气体,之后,在继续供给DCS气体的状态,停止供给HCD气体。在上述情况下也可以使HCD气体的供给时间比DCS气体的供给时间短。为图6的供给方法时,通过在供给DCS气体之前供给HCD气体,可以在供给DCS气体前形成DCS气体易于吸附于晶片表面的状态,之后,单独供给DCS气体时,能够提高DCS气体对晶片表面的吸附效率。
另外,也可以将上述供给方法适当地组合。例如可以使HCD气体的供给流量少于DCS气体的供给流量,进而,还可以使HCD气体的供给时间比DCS气体的供给时间短。
需要说明的是,使HCD气体的供给量比DCS气体的供给量少时,例如也可以采用如下方式:使HCD气体的供给流量比DCS气体的供给流量少、使HCD气体的供给时间比DCS气体的供给时间长,也可以使HCD气体的供给流量比DCS气体的供给流量多、使HCD气体的供给时间比DCS气体的供给时间短。
如上所述,使HCD气体的供给流量比DCS气体的供给流量少,或使HCD气体的供给时间比DCS气体的供给时间短,或者使HCD气体的供给流量比DCS气体的供给流量少、且使HCD气体的供给时间比DCS气体的供给时间短,由此可以使HCD气体的供给量比DCS气体的供给量少。
此时,适当地调节APC阀242,维持处理室201内的压力小于大气压,例如为10~1000Pa范围内的压力。使用质量流量控制器241e控制的HCD气体的供给流量例如为1~500sccm范围内。将晶片200暴露于HCD气体中的时间例如为1~120秒范围内。使用质量流量控制器241g控制的DCS气体的供给流量例如为1~5000sccm范围内。将晶片200暴露于DCS气体中的时间例如为1~120秒范围内。将加热器207的温度设定为处理室201内发生CVD反应的温度。即,设定加热器207的温度使晶片200的温度例如为350~850℃、优选为400~700℃范围内的温度。需要说明的是,晶片200的温度低于350℃时,HCD和DCS难以吸附在晶片200上,HCD和DCS难以分解。另外,晶片200的温度低于400℃时,成膜速度降低为实用水平以下。另外,晶片200的温度为700℃、特别是超过850℃时,CVD反应变强,均匀性易恶化。因此,晶片200的温度为350~850℃,优选为400~700℃。
通过在上述条件下向处理室201内供给HCD气体及DCS气体,在晶片200(表面的基底膜)上形成硅层(Si层),所述硅层为小于1原子层到多原子层的含硅层。含硅层也可以为HCD气体的化学吸附层和DCS气体的化学吸附层。需要说明的是,在HCD气体和DCS气体自身分解的条件下,硅堆积在晶片200上,由此形成硅层。在HCD气体和DCS气体自身不分解的条件下,在晶片200上HCD气体和DCS气体发生化学吸附,由此形成HCD气体和DCS气体的化学吸附层。形成于晶片200上的含硅层的厚度超过多原子层时,下述步骤3中的氧化作用不能对整个含硅层发挥作用。另外,在晶片200上可以形成的含硅层的最小值小于1原子层。因此,含硅层的厚度优选为小于1原子层到多原子层。
作为含有硅的第1原料,除HCD等无机原料之外,还可以使用氨基硅烷类的4DMAS(四(二甲基氨基)硅烷,Si[N(CH3)2]4)、3DMAS(三(二甲基氨基)硅烷,Si[N(CH3)2]3H)、2DEAS(双(二乙基氨基)硅烷,Si[N(C2H5)2]2H2)、BTBAS(二叔丁基氨基硅烷、SiH2[NH(C4H9)]2)等有机原料。另外,作为含有硅的第2原料,除DCS之外,还可以使用TCS(四氯硅烷,SiCl4)、SiH4(甲硅烷)、Si2H6(乙硅烷)等无机原料。
作为惰性气体,除N2气体之外,还可以使用Ar、He、Ne、Xe等稀有气体。需要说明的是,通过使用不含有氮(N)的气体即Ar和He等稀有气体作为惰性气体,可以降低形成的氧化硅膜的膜中N杂质的浓度。因此,作为惰性气体,优选使用Ar、He等稀有气体。下述步骤2、3、4中也是同样的情况。
[步骤2]
在晶片200上形成含硅层后,关闭第3气体供给管232c的阀243e,停止HCD气体的供给。另外,关闭第4气体供给管232d的阀243g,停止DCS气体的供给。此时,在排气管231的APC阀242开放的状态下,利用真空泵246对处理室201内进行真空排气,从处理室201内排出残留的HCD气体和DCS气体。此时,向处理室201内供给惰性气体,进一步提高排出残留的HCD气体和DCS气体的效果(除去残留气体)。设定此时的加热器207的温度,使晶片200的温度与供给HCD气体及DCS气体时相同,为350~850℃、优选为400~700℃范围内的温度。
[步骤3]
除去处理室201内的残留气体后,打开第1气体供给管232a的阀243a、第1惰性气体供给管234a的阀243c,使O2气体流入第1气体供给管232a,使惰性气体流入第1惰性气体供给管234a。惰性气体从第1惰性气体供给管234a流入,利用质量流量控制器241c调节流量。O2气体从第1气体供给管232a流入,利用质量流量控制器241a调节流量。经流量调节的O2气体与经流量调节的惰性气体在第1气体供给管232a内被混合,从第1喷嘴233a的气体供给孔248a供给到经加热的且为减压状态的处理室201内,从排气管231排出。
此时同时打开第2气体供给管232b的阀243b、第2惰性气体供给管234b的阀243d,使H2气体流入第2气体供给管232b,使惰性气体流入第2惰性气体供给管234b。惰性气体从第2惰性气体供给管234b流入,利用质量流量控制器241d调节流量。H2气体从第2气体供给管232b流入,利用质量流量控制器241b调节流量。经流量调节的H2气体与经流量调节的惰性气体在第2气体供给管232b内被混合,从第2喷嘴233b的气体供给孔248b供给到经加热的且为减压状态的处理室201内,从排气管231排出(O2及H2供给)。需要说明的是,O2气体及H2气体被供给到处理室201内没有通过等离子体进行活化。
此时,适当地调节APC阀242,维持处理室201内的压力小于大气压,例如在1~1000Pa范围内。使用质量流量控制器241a控制的O2气体的供给流量例如在1sccm~20000sccm(20slm)范围内。使用质量流量控制器241b控制的H2气体的供给流量例如在1sccm~20000sccm(20slm)范围内。需要说明的是,将晶片200暴露于O2气体及H2气体中的时间例如为1~120秒范围内。设定加热器207的温度,使晶片200的温度例如在350~1000℃范围内。需要说明的是,确认了如果温度在上述范围内,则通过在减压气氛下向O2气体中添加H2气体,可以得到氧化能力提高的效果。另外,还确认了晶片200的温度过低时,无法得到氧化能力提高的效果。但是考虑到生产量,优选对加热器207的温度进行设定,使晶片200的温度为能够得到氧化能力提高的效果的温度,为与步骤1中供给HCD气体时相同的温度,即,使步骤1和步骤3中处理室201内的温度为同一温度。在上述情况下,设定加热器207的温度,使步骤1和步骤3中晶片200的温度,即处理室201内的温度为350~850℃、优选为400~700℃范围内的一定的温度。进而,较优选设定加热器207的温度,使在步骤1~步骤4(下述)中处理室201内的温度为同一温度。在上述情况下,设定加热器207的温度,使在步骤1~步骤4(下述)中处理室201内的温度为350~850℃、优选为400~700℃范围内的一定的温度。需要说明的是,为了获得通过在减压气氛下向O2气体中添加H2气体而产生的氧化能力提高的效果,处理室201内的温度需要为350℃以上,优选处理室201内的温度为400℃以上,更优选为450℃以上。如果处理室201内的温度为400℃以上,则所得的氧化能力超过通过在400℃以上的温度下进行O3氧化处理所得到的氧化能力。如果处理室201内的温度为450℃以上,则所得的氧化能力超过通过在450℃以上的温度下进行O2等离子体氧化处理所得到的氧化能力。
通过在上述条件下向处理室201内供给O2气体及H2气体,O2气体及H2气体在经加热的减压气氛下,被非等离子体活化而发生反应,由此生成原子状氧等含有O的氧化种。然后,主要利用该氧化种,对步骤1中晶片200上形成的含硅层进行氧化处理。然后,通过该氧化处理,将含硅层改性为氧化硅层(SiO2层,以下也简称作SiO层。)。
作为含氧气体,除氧(O2)气体之外,也可以使用臭氧(O3)气体等。需要说明的是,在上述的温度带内,尝试研究向一氧化氮(NO)气体和一氧化二氮(N2O)气体中添加含氢气体的效果,结果确认与单独供给NO气体和单独供给N2O气体相比,无法得到氧化能力提高的效果。即,作为含氧气体,优选使用不含氮的含氧气体(不含氮、但含有氧的气体)。作为含氢气体,除氢(H2)气体之外,还可以使用氘(D2)气体等。需要说明的是,一般认为使用氨气(NH3)气体和甲烷(CH4)气体等时,氮(N)杂质和碳(C)杂质会混入膜中。即,作为含氢气体,优选使用不含其他元素的含氢气体(含有氢或氘且不含其他元素的气体)。即,作为含氧气体,可以使用选自O2气体及O3气体中的至少一种气体,作为含氢气体,可以使用选自H2气体及D2气体中的至少一种气体。
[步骤4]
将含硅层改性为氧化硅层后,关闭第1气体供给管232a的阀243a,停止O2气体的供给。另外,关闭第2气体供给管232b的阀243b,停止H2气体的供给。此时,在排气管231的APC阀242开放的状态下,利用真空泵246对处理室201内进行真空排气,将残留的O2气体和H2气体从处理室201内排出。此时,向处理室201内供给惰性气体,进一步提高排出残留的O2气体和H2气体的效果(除去残留气体)。设定此时的加热器207的温度,使晶片200的温度与供给O2气体及H2气体时相同,为350~850℃、优选为400~700℃的范围内的温度。
以上述步骤1~4为1个循环,通过多次重复进行该循环,可以在晶片200上形成规定膜厚的氧化硅膜(SiO2膜,以下也简称为SiO膜)。
将规定膜厚的氧化硅膜成膜时,通过向处理室201内供给、排出惰性气体,处理室201内被惰性气体清除(purge)。之后,处理室201内的气氛被置换成惰性气体,处理室201内的压力恢复到常压(恢复到大气压)。
之后,通过舟皿升降机115使密封盖219下降,歧管209的下端开口,同时经过处理的晶片200以保持在舟皿217中的状态下从歧管209的下端被搬出到反应管203的外部(舟皿卸载)。之后,从舟皿217中取出经过处理的晶片200(晶片排出)。
上述步骤1中,以HCD气体的供给量与DCS气体的供给量相比为微量的方式同时或依次供给比DCS气体贵但累积时间短的HCD气体,和比HCD气体便宜但累积时间长的DCS气体,由此在低温区域下成膜时,也可以提高成膜速度、缩短处理时间。即使单独使用DCS气体时无法成膜的低温区域也可以成膜。另外,还可以在比目前温度更低温的区域下成膜。另外,与单独使用HCD气体时相比,不会使成膜控制性恶化,可以大幅度地抑制原料成本,大幅度地降低半导体设备的生产成本。
上述步骤3中,在经加热的减压气氛下,使O2气体与H2气体反应,生成原子状氧等含有O的氧化种,使用该氧化种,进行将含硅层改性为氧化硅层的改性工序,由此氧化种所具有的能量会断开含硅层中所含的Si-N、Si-Cl、Si-H、Si-C键。用于形成Si-O键的能量比Si-N、Si-Cl、Si-H、Si-C的键能高,所以通过对氧化处理对象的含硅层赋予Si-O键形成所需的能量,可以断开含硅层中的Si-N、Si-Cl、Si-H、Si-C键。将与Si形成的键断开的N、H、Cl、C从膜中除去,以N2、H2、Cl2、HCl,CO2等的形式被排出。另外,通过切断与N、H、Cl、C的键,剩余的Si键与氧化种中含有的O连接,被改性为SiO2层。确认根据本实施方式的成膜顺序形成的SiO2膜的膜中氮、氢、氯、碳的浓度非常低,Si/O比率非常接近化学计量组成的0.5,为良好的膜。
需要说明的是,比较上述步骤3的氧化处理、O2等离子体氧化处理和O3氧化处理,结果确认在450℃以上850℃以下的低温气氛下,上述步骤3的氧化处理的氧化能力最强。准确地说,可以确认在400℃以上850℃以下,步骤3的氧化处理的氧化能力高于O3氧化处理的氧化能力,在450℃以上850℃以下,步骤3的氧化处理的氧化能力高于O3氧化处理及O2等离子体氧化处理的氧化能力。由此,判明该步骤3的氧化处理在上述低温气氛下非常有效。需要说明的是,在O2等离子体氧化处理的情况下,需要等离子体发生器,在O3氧化处理的情况下,需要臭氧发生器,该步骤3的氧化处理不需要这些设备,存在可以降低装置成本等优点。但是,在本实施方式中,也存在使用O3或O2等离子体作为含氧气体的选项,并不是否定这些气体的使用。通过向O3或O2等离子体中添加含氢气体,可以生成能量更高的氧化种,通过利用该氧化种进行氧化处理,通常认为还具有提高设备特性等的效果。
另外,如果根据本实施方式的成膜顺序形成氧化硅膜,则可以确认与采用通常的CVD法形成氧化硅膜的情况相比,成膜速度、晶片面内的膜厚均匀性较好。需要说明的是,所谓通常的CVD法,是指同时供给作为无机原料的DCS和N2O,采用CVD法形成氧化硅膜(HTO(High Temperature Oxide)膜)的方法。另外,可以确认根据本实施方式的成膜顺序形成的氧化硅膜的膜中的氮、氯等杂质的浓度,比采用通常的CVD法形成的氧化硅膜低得多。另外,可以确认根据本实施方式的成膜顺序形成的氧化硅膜的膜中的杂质浓度,与使用有机类硅原料采用CVD法形成的氧化硅膜相比低得多。另外,确认了根据本实施方式的成膜顺序,即使在使用有机类硅原料的情况下,成膜速度、晶片面内的膜厚均匀性、膜中的杂质浓度也良好。
<本发明的其他实施方式>
上述的实施方式中,针对如图4、图5、图6所示间歇地供给、即仅在步骤3中供给作为含氢气体的H2气体的例子进行了说明,但也可以连续地供给,也就是在重复进行步骤1~4的过程中不间断地供给。另外,在间歇地供给H2气体时,即可以仅在步骤1及3中供给,也可以经步骤1~3供给。另外,可以经步骤2~3供给,也可以经步骤3~4供给。
一般认为,在步骤1中,即在供给HCD气体及DCS气体时供给H2气体,由此可以除去HCD气体及DCS气体中的Cl,有提高成膜速度、降低膜中Cl杂质的效果。另外,一般认为,在步骤2中,即在停止HCD气体及DCS气体的供给后,通过在供给O2气体之前先开始供给H2气体,可以有效地控制膜厚均匀性。另外,一般认为,在步骤2中,即通过在供给O2气体之前先开始供给H2气体,可以对例如金属及硅露出的部分选择性地在硅上形成氧化膜。另外,一般认为,在步骤4中,即通过在停止供给O2气体后、且在开始供给HCD气体及DCS气体之前供给H2气体,可以使步骤3中形成的SiO层的表面为氢末端,使其改性,使在接下来的步骤1中供给的HCD气体及DCS气体易于吸附在SiO层的表面。
另外,上述本实施方式中,对通过交替重复进行下述工序,在衬底上形成规定膜厚的氧化硅膜(SiO膜)的例子进行说明,所述工序包括:通过对衬底供给含有硅的至少2种原料气体(HCD气体、DCS气体),在衬底上形成含硅层的工序;和通过对衬底供给反应气体(O2气体、H2气体),将含硅层改性为氧化硅层的工序。但本发明并不限定于上述实施方式,可以在不脱离其要旨的范围内进行各种变更。
(对SiN成膜的应用)
例如本发明通过将含硅层改性为氮化硅层来代替将其改性为氧化硅层,也可以用于在衬底上形成规定膜厚的氮化硅膜的情况(SiN成膜)。在上述情况下,使用含氮气体作为反应气体。作为含氮气体,例如使用NH3气体。上述情况下的成膜流程,与上述实施方式中的成膜流程相比,仅步骤3,4不同,其他与上述实施方式中的成膜流程相同。以下,说明将本发明用于SiN成膜时的成膜顺序。
图9表示将本发明用于SiN成膜时的成膜顺序中的气体供给时刻的图。该成膜顺序中,通过交替重复进行下述工序,在衬底上形成规定膜厚的氮化硅膜,所述工序包括:将含有硅的至少2种原料气体、即含有硅的第1原料气体(HCD气体)和含有硅的第2原料气体(DCS气体)供给到容纳衬底的处理容器内,由此在衬底上形成含硅层的工序;和作为与第1原料气体及第2原料气体不同的反应气体将含氮气体(NH3气体)供给到处理容器内,由此将含硅层改性为氮化硅层的工序。需要说明的是,第1原料气体的反应性比第2原料气体的反应性高,且在衬底上形成含硅层的工序中使第1原料气体的供给量比第2原料气体的供给量少。需要说明的是,图9给出例子为:在于衬底上形成含硅层的工序中,同时供给HCD气体和DCS气体后,先停止HCD气体的供给,将DCS气体单独持续供给规定时间后停止,使HCD气体的供给时间比DCS气体的供给时间短。该例子中,与上述实施方式的成膜流程同样地进行晶片装入、舟皿装载、压力调节、温度调节、步骤1、步骤2、清除、大气压恢复、舟皿卸载、晶片排出。步骤3、4如下进行。
[步骤3]
除去处理室201内的残留气体后,打开第2气体供给管232b的阀243b、第2惰性气体供给管234b的阀243d,使NH3气体流入第2气体供给管232b,使惰性气体流入第2惰性气体供给管234b。惰性气体从第2惰性气体供给管234b流入,利用质量流量控制器241d调节流量。NH3气体从第2气体供给管232b流入,利用质量流量控制器241b调节流量。经流量调节的NH3气体与经流量调节的惰性气体在第2气体供给管232b内被混合,从第2喷嘴233b的气体供给孔248b供给到经加热的且为减压状态的处理室201内,从排气管231排出(NH3供给)。需要说明的是,NH3气体被供给到处理室201内未经等离子体活化。
此时,适当调节APC阀242,维持处理室201内的压力小于大气压,例如为10~3000Pa范围内的压力。使用质量流量控制器241b控制的NH3气体的供给流量例如为10sccm~10000sccm(10slm)范围内的流量。需要说明的是,将晶片200暴露于NH3气体中的时间例如为1~120秒范围内。设定加热器207的温度,使晶片200的温度例如为350~850℃、优选为400~700℃范围内的温度。由于NH3气体的反应温度高,在上述晶片温度下很难反应,所以通过使处理室201内的压力为上述比较高的压力,可以进行热活化。需要说明的是,与NH3气体用等离子体活化相比,经热活化后进行供给时可以产生温和的反应,可以温和地进行下述氮化。
此时,处理室201内流动的气体是非等离子体、为被热活化的NH3气体,处理室201内没有HCD气体和DCS气体流动。因此,NH3气体不会引起气相反应,被活化的NH3气体与步骤1中形成于晶片200上的含硅层反应。由此,含硅层被氮化,改性为氮化硅层(Si3N4层,以下也简称为SiN层)。
作为含氮气体,除NH3气体之外,还可以使用N2H4气体和N3H8气体等。
[步骤4]
含硅层改性为氮化硅层后,关闭第2气体供给管232b的阀243b,停止NH3气体的供给。此时,在排气管231的APC阀242开放的状态下,利用真空泵246对处理室201内进行真空排气,将残留的NH3气体从处理室201内排出。此时,向处理室201内供给惰性气体,进一步提高排出残留的NH3气体的效果(除去残留气体)。设定此时的加热器207的温度,使晶片200的温度与NH3气体的供给时相同,为350~850℃、优选为400~700℃的范围内的温度。
以上述步骤1~4为1个循环,通过多次重复进行该循环,可以在晶片200上将规定膜厚的氮化硅膜(Si3N4膜,以下也简称为SiN膜)成膜。
(对SiON成膜的应用)
另外,例如本发明通过将含硅层改性为氮氧化硅层来代替氧化硅层,也可以适用于在衬底上形成规定膜厚的氮氧化硅膜的情况(SiON成膜)。在上述情况下,使用含氮气体及含氧气体作为反应气体。作为含氮气体,例如使用NH3气体。作为含氧气体,例如使用O2气体。上述情况下的成膜流程与上述实施方式中成膜流程相比,仅步骤3、4不同,其他与上述实施方式中的成膜流程相同。以下,说明将本发明用于SiON成膜的时的成膜顺序。
图10表示将本发明用于SiON成膜时的成膜顺序中气体供给时刻的图。在该成膜顺序中,通过交替重复进行如下工序,在衬底上形成规定膜厚的氮氧化硅膜,所述工序包括:将含有硅的至少2种原料气体即含有硅的第1原料气体(HCD气体)和含有硅的第2原料气体(DCS气体)供给到容纳衬底的处理容器内,由此在衬底上形成含硅层的工序;将与第1原料气体及第2原料气体不同的反应气体、即含氮气体(NH3气体)供给到处理容器内,由此将含硅层改性为氮化硅层的工序;和将与第1原料气体及第2原料气体不同的反应气体即含氧气体(O2气体)供给到处理容器内,由此将氮化硅层改性为氮氧化硅层的工序。需要说明的是,第1原料气体的反应性比第2原料气体的反应性高,在衬底上形成含硅层的工序中使第1原料气体的供给量比第2原料气体的供给量少。需要说明的是,图10给出的例子为:在于衬底上形成含硅膜的工序中,同时供给HCD气体和DCS气体后,先停止HCD气体的供给,DCS气体继续单独供给规定时间后停止,使HCD气体的供给时间比DCS气体的供给时间短。该例子中,与上述实施方式中的成膜流程同样地进行晶片装入、舟皿装载、压力调节、温度调节、步骤1、步骤2、清除、大气压恢复、舟皿卸载、晶片排出。将步骤3、4置换为下述步骤3、4、5、6进行。
[步骤3]
步骤3与上述“对SiN成膜的应用”中的步骤3同样地进行。
[步骤4]
步骤4与上述“对SiN成膜的应用”中的步骤4同样地进行。
[步骤5]
除去处理室201内的残留气体后,打开第1气体供给管232a的阀243a、第1惰性气体供给管234a的阀243c,使O2气体流入第1气体供给管232a,使惰性气体流入第1惰性气体供给管234a。惰性气体从第1惰性气体供给管234a流入,使用质量流量控制器241c调节流量。O2气体从第1气体供给管232a流入,使用质量流量控制器241a调节流量。经流量调节的O2气体与经流量调节的惰性气体在第1气体供给管232a内被混合,从第1喷嘴233a的气体供给孔248a供给到经加热的且为减压状态下的处理室201内,从排气管231排出。
此时,适当地调节APC阀242,维持处理室201内的压力小于大气压,例如为10~1000Pa的范围内。使用质量流量控制器241a控制的O2气体的供给流量例如为1sccm~20000sccm(20slm)范围内。需要说明的是,将晶片200暴露于O2气体中的时间例如为1~120秒范围内。设定加热器207的温度,使晶片200的温度例如为350~850、优选为400~700范围内的温度。与O2气体用等离子体活化相比,用热活化时,其活化量被抑制,可以抑制SiN层的氧化量,可以产生温和的反应。
此时,在处理室201内流动的气体为非等离子体,为被热活化的O2气体,处理室201内没有HCD气体、DCS气体、NH3气体流动。因此,O2气体不会引起气相反应,被活化的O2气体与步骤3中晶片200上形成的SiN层反应。由此,SiN层被氧化,改性为氮氧化硅层(SiON层)。
作为含氧气体,除O2气体之外,还可以使用O3气体、NO气体、N2O4气体、N2O气体、H2O气体等。另外,如上述实施方式中的步骤3所述,也可以使用在含氧气体中添加有含氢气体的气体。
[步骤6]
将SiN层改性为氮氧化硅层后,关闭第1气体供给管232a的阀243a,停止O2气体的供给。此时,在排气管231的APC阀242开放的状态下,使用真空泵246对处理室201内进行真空排气,从处理室201内排出残留的O2气体。此时,向处理室201内供给惰性气体,进一步提高排出残留的O2气体的效果(除去残留气体)。设定此时的加热器207的温度,使晶片200的温度与O2气体的供给时相同,为350~850℃、优选为400~700℃范围内的温度。
以上述步骤1~6为1个循环,多次重复进行该循环,可以在晶片200上形成规定膜厚的氮氧化硅膜。
需要说明的是,在上述“对SiON成膜的应用”中,对通过交替重复进行下述工序,在衬底上形成规定膜厚的氮氧化硅膜的例子进行说明,所述工序包括:通过对衬底供给含有硅的至少2种原料气体(HCD气体、DCS气体),在衬底上形成含硅层的工序(步骤1);通过对衬底供给反应气体(NH3气体),将含硅层改性为氮硅化层的工序(步骤3);和通过对衬底供给反应气体(O2气体),将氮化硅层改性为氮氧化硅层的工序(步骤5)。也可以将步骤3(及4)和步骤5(及6)交换。即,也可以通过交替重复进行下述工序,在衬底上形成规定膜厚的氮氧化硅膜,所述工序包括:通过对衬底供给含有硅的至少2种原料气体(HCD气体、DCS气体),在衬底上形成含硅层的工序(步骤1);通过对衬底供给反应气体(O2气体),将含硅层改性为氧化硅层的工序(步骤5);和通过对衬底供给反应气体(NH3气体),将氧化硅层改性为氮氧化硅层的工序(步骤3)。
另外,上述实施方式中,对使用含有硅的第1原料气体(HCD气体)和含有硅的第2原料气体(DCS气体)作为含有硅作为规定元素的至少2种原料气体的例子进行说明,但也可以使用含有硅的3种以上的原料气体。例如,还可以使用含有硅的第1原料气体(HCD气体)、含有硅的第2原料气体(DCS气体)和含有硅的第3原料气体(SiCl4气体(以下,称作TCS气体))。在上述情况下,第1原料气体(HCD气体)的反应性比第2原料气体(DCS气体)的反应性高,第2原料气体(DCS气体)的反应性比第3原料气体(TCS气体)的反应性高,且在衬底上形成含硅层的工序中使第1原料气体的供给量比第2原料气体的供给量少,同时比第3原料气体的供给量少。即,使反应性最高的原料气体的供给量比其他原料气体的供给量少。由此,可以得到与上述实施方式相同的作用效果。
(对金属氧化膜、金属氮化膜或金属氮氧化膜的成膜的应用)
另外,本发明,通过使用含有钛(Ti)、锆(Zr)、铪(Hf)、铝(Al)等金属元素作为规定元素的原料气体来代替使用含有硅(Si)等半导体元素作为规定元素的原料气体,也可以应用于在衬底上形成规定膜厚的金属氧化膜、金属氮化膜或金属氮氧化膜的情况。
例如,形成金属氧化膜时,通过交替重复进行以下工序,在衬底上形成规定膜厚的金属氧化膜,所述工序包括:将含有金属元素作为规定元素的至少2种原料气体即含有金属元素的第1原料气体和含有金属元素的第2原料气体供给到容纳衬底的处理容器内,由此在衬底上形成作为含有规定元素的层的含金属层的工序;将与第1原料气体及第2原料气体不同的反应气体、即含氧气体、或含氧气体及含氢气体供给到处理容器内,由此将含金属层改性为金属氧化层的工序。
另外,例如,形成金属氮化膜时,通过交替重复进行以下工序,在衬底上形成规定膜厚的金属氮化膜,所述工序包括:将含有金属元素作为规定元素的至少2种原料气体、即含有金属元素的第1原料气体和含有金属元素的第2原料气体供给到容纳衬底的处理容器内,由此在衬底上形成含金属层的工序;将与第1原料气体及第2原料气体不同的反应气体、即含氮气体供给到处理容器内,由此将含金属层改性为金属氮化层的工序。
另外,例如形成金属氮氧化膜时,通过交替重复进行以下工序,在衬底上形成规定膜厚的金属氮氧化膜,所述工序包括:将含有金属元素作为规定元素的至少2种原料气体、即含有金属元素的第1原料气体和含有金属元素的第2原料气体供给到容纳衬底的处理容器内,由此在衬底上形成含金属层的工序;将与第1原料气体及第2原料气体不同的反应气体即含氮气体供给到处理容器内,由此将含金属层改性为金属氮化层的工序;和将与第1原料气体及第2原料气体不同的反应气体即含氧气体或者含氧气体及含氢气体供给到处理容器内,由此将金属氮化层改性为金属氮氧化层的工序。
需要说明的是,在任一种情况下,第1原料气体的反应性均比第2原料气体的反应性高,且在衬底上形成含金属层的工序中使第1原料气体的供给量比第2原料气体的供给量少。即,通过下述方法使第1原料气体的供给量比第2原料气体少,所述方法包括:使第1原料气体的供给流量比第2原料气体的供给流量少,或使第1原料气体的供给时间比第2原料气体的供给时间短,或者使第1原料气体的供给流量比第2原料气体的供给流量少,同时使第1原料气体的供给时间比第2原料气体的供给时间短。
例如,使用含有钛的原料气体作为含有金属元素的原料气体,在衬底上形成氧化钛膜(TiO2膜)、氮化钛膜(TiN膜)或氮氧化钛膜(TiON膜)分别作为规定膜厚的金属氧化膜、金属氮化膜或金属氮氧化膜时,例如使用TDMAT(四(二甲基氨基)钛,Ti[N(CH3)2]4)气体、TiCl4(四氯化钛)气体分别作为含有钛的第1原料气体、含有钛的第2原料气体。作为含氧气体、含氢气体、含氮气体,可以分别使用上述实施方式中列举的气体。需要说明的是,在上述情况下,处理温度(衬底温度)例如为100~500℃范围内的温度,处理压力(处理室内压力)例如为1~3000Pa范围内的压力,TDMAT气体的供给流量例如为1~500sccm范围内的流量,TiCl4气体的供给流量例如为1~5000sccm范围内的流量。含氧气体、含氢气体、含氮气体的供给流量分别为上述实施方式中列举的范围内的流量。需要说明的是,TDMAT气体的反应性比TiCl4气体的反应性高,即,比TiCl4气体的热分解温度低,在同样的条件下比TiCl4气体更易吸附在衬底上。在衬底上形成含钛层作为含金属层的工序中,使TDMAT气体的供给量比TiCl4气体的供给量少。
另外,例如使用含有锆的原料气体作为含有金属元素的原料气体,在衬底上形成氧化锆膜(ZrO2膜)、氮化锆膜(ZrN膜)或氮氧化锆膜(ZrON膜)分别作为规定膜厚的金属氧化膜、金属氮化膜或金属氮氧化膜时,例如使用TEMAZ(四乙基甲基氨基锆,Zr[N(CH3)C2H5]4)气体、ZrCl4(四氯化锆)气体分别作为含有锆的第1原料气体、含有锆的第2原料气体。作为含氧气体、含氢气体、含氮气体,可以分别使用上述实施方式中列举的气体。需要说明的是,在上述情况下,处理温度(衬底温度)例如为100~400℃范围内的温度,处理压力(处理室内压力)例如为1~1000Pa范围内的压力,TEMAZ气体的供给流量为1~500sccm范围内的流量、ZrCl4气体的供给流量为1~5000sccm范围内的流量。含氧气体、含氢气体、含氮气体的供给流量分别为上述实施方式中列举的范围内的流量。需要说明的是,TEMAZ气体的反应性比ZrCl4气体的反应性高,即,比ZrCl4气体热分解温度低,在同样的条件下比ZrCl4气体易于吸附在衬底上。在衬底上形成含锆层作为含金属层的工序中,使TEMAZ气体的供给量比ZrCl4气体的供给量少。
另外,例如使用含有铪的原料气体作为含有金属元素的原料气体,在衬底上形成氧化铪膜(HfO2膜)、氮化铪膜(HfN膜)或氮氧化铪膜(HfON膜)分别作为规定膜厚的金属氧化膜、金属氮化膜或金属氮氧化膜时,例如使用TEMAH(四乙基甲基氨基铪,Hf[N(CH3)C2H5]4)气体,HfCl4(四氯化铪)气体分别作为含有铪的第1原料气体、含有铪的第2原料气体。作为含氧气体、含氢气体、含氮气体,可以分别使用上述实施方式中列举的气体。需要说明的是,在上述的情况下,处理温度(衬底温度)例如为100~400℃范围内的温度,处理压力(处理室内压力)例如为1~1000Pa的范围内的压力,TEMAH气体的供给流量为1~500sccm范围内的流量,HfCl4气体的供给流量为1~5000sccm范围内的流量。含氧气体、含氢气体、含氮气体的供给流量分别为上述实施方式中列举的范围内的流量。需要说明的是,TEMAH气体的反应性比HfCl4气体的反应性高,即,比HfCl4气体热分解温度低,在同样的条件下比HfCl4气体更容易吸附在衬底上。在衬底上形成含锆层作为含金属层的工序中,使TEMAH气体的供给量比HfCl4气体的供给量少。
另外,例如使用含有铝的原料气体作为含有金属元素的原料气体,在衬底上形成氧化铝膜(Al2O3膜)、氮化铝膜(AlN膜)或氮氧化铝膜(AlON膜)分别作为规定膜厚的金属氧化膜、金属氮化膜或金属氮氧化膜时,例如使用TMA(三甲基铝,Al(CH3)3)气体、AlCl3(三氯化铝)气体分别作为含有铝的第1原料气体、含有铝的第2原料气体。作为含氧气体、含氢气体、含氮气体,可以分别使用上述实施方式中列举的气体。需要说明的是,在上述情况下,处理温度(衬底温度)例如为100~400℃范围内的温度,处理压力(处理室内压力)例如为1~1000Pa范围内的压力,TMA气体的供给流量为1~500sccm范围内的流量,AlCl3气体的供给流量为1~5000sccm范围内的流量。含氧气体、含氢气体、含氮气体的供给流量分别为上述实施方式中列举的范围内的流量。需要说明的是,TMA气体的反应性比AlCl3气体的反应性高,即,比AlCl3气体的热分解温度低,在同样的条件下比AlCl3气体更容易吸附在衬底上。在衬底上形成含铝层作为含金属层的工序中,使TMA气体的供给量比AlCl3气体的供给量少。
【实施例】
按照本实施方式图4的成膜顺序,在晶片上形成氧化硅膜,测定成膜速度(SiO成膜速度)及晶片面内膜厚均匀性(SiO膜厚均匀性)。除HCD流量、DCS流量之外,成膜条件(各步骤中的处理条件)为上述实施方式中所述的处理条件范围内的条件。将DCS流量固定为1~2slm范围内的一定流量,使HCD流量在0~0.2slm的范围内变化,使HCD/DCS流量比变为如下4种比值:(A)0%、(B)3%、(C)6%、(D)10%。以下,分别称作流量条件(A)、流量条件(B)、流量条件(C)、流量条件(D)。需要说明的是,流量条件(A)的HCD/DCS流量比0%,表示DCS中不添加HCD的情况(单独供给DCS的情况)。另外,本实施例中,因为HCD的供给时间与DCS的供给时间相同,所以HCD/DCS流量比与HCD气体的供给量与DCS气体的供给量之比(HCD/DCS)为相同的值
上述结果如图11、图12所示。图11、图12分别表示HCD/DCS流量比与SiO成膜速度的关系,HCD/DCS流量比与SiO膜厚均匀性的关系。图11、图12的横轴均表示HCD/DCS流量比(%),图11的纵轴表示SiO成膜速度(任意单位(a.u.)),图12的纵轴表示SiO膜厚均匀性(a.u.)。需要说明的是,图11中以成膜速度比率表示成膜速度,所述成膜速度比率以按照比流量条件(D)的HCD流量大的流量单独供给HCD形成硅氧化膜成膜时的成膜速度为1(基准)。另外,图12中以膜厚均匀性比率表示膜厚均匀性,所述膜厚均匀性比率以按照比流量条件(D)的HCD流量大的流量单独供给HCD形成硅氧化膜时的膜厚均匀性为1(基准)。需要说明的是,膜厚均匀性表示在衬底面内的膜厚分布的偏差程度,表明其值越小,衬底面内的膜厚均匀性越良好。
由图11可以看出,随着HCD/DCS流量比的增加,即,随着向DCS中添加HCD的量的增加,成膜速度上升,可知HCD/DCS流量比为6%以上时,成膜速度饱和。一般认为其原因在于,在本实施例中的处理条件下,通过向DCS中添加HCD,补充了衬底上的DCS吸附·硅的堆积,通过使HCD/DCS流量比为6%以上,DCS和HCD在衬底上的吸附·硅的堆积达到饱和。需要说明的是,HCD/DCS流量比为3%以上时,虽然DCS和HCD在衬底上的吸附·硅的堆积没有饱和,但成膜速度比DCS单独供给时高。另外,由图12可知,在任意流量条件下均可以得到良好的膜厚均匀性。特别是通过使HCD/DCS流量比为6%以上,可以得到更良好的膜厚均匀性。即,从确保成膜速度、膜厚均匀性的观点考虑,HCD/DCS流量比优选为3%以上,较优选为6%以上。需要说明的是,使HCD/DCS流量比大于50%时,副产物和粒子变多,电势升高。降低原料成本的效果也变小。由此,HCD/DCS流量比优选为3%以上50%以下,较优选为6%以上50%以下。需要说明的是,如上所述,在本实施例中,因HCD的供给时间与DCS的供给时间相同,HCD/DCS流量比(HCD气体流量/DCS气体流量)与HCD/DCS(HCD气体的供给量/DCS气体的供给量)为同样的值。即,根据本实施例,HCD气体的供给量相对于DCS气体的供给量的比(HCD/DCS),优选为3%以上50%以下,较优选为6%以上50%以下。
<本发明的优选方案>
以下给出本发明的优选方案。
根据本发明的方案之一,提供一种半导体装置的制造方法,所述制造方法包括下述工序:
将衬底搬入处理容器内的工序;
对衬底进行处理的工序:通过交替重复进行以下工序,在上述衬底上形成规定膜厚的氧化膜、氮化膜或氮氧化膜,所述工序包括:通过向上述处理容器内供给含有规定元素的第1原料气体和含有上述规定元素的第2原料气体进行排气,由此在上述衬底上形成含有规定元素的层的工序,和通过向上述处理容器内供给与上述第1原料气体及上述第2原料气体不同的反应气体进行排气,将上述含有规定元素的层改性为氧化层、氮化层或氮氧化层的工序;
将经过处理的衬底从上述处理容器内搬出的工序;
其中,上述第1原料气体的反应性比上述第2原料气体的反应性高,
在上述形成含有规定元素的层的工序中,使上述第1原料气体的供给量比上述第2原料气体的供给量少。
优选在上述形成含有规定元素的层的工序中,使上述第1原料气体的供给流量比上述第2原料气体的供给流量少。
另外,优选在上述形成含有规定元素的层的工序中,使上述第1原料气体的供给时间比上述第2原料气体的供给时间短。
另外,优选在上述形成含有规定元素的层的工序中,上述第1原料气体的供给量相对于上述第2原料气体的供给量的比为3%以上50%以下。
另外,优选在上述形成含有规定元素的层的工序中,上述第1原料气体的供给量相对于上述第2原料气体的供给量的比为6%以上50%以下。
另外,优选在上述形成含有规定元素的层的工序中,在停止供给上述第2原料气体之前,停止上述第1原料气体的供给。
另外,优选在上述形成含有规定元素的层的工序中,同时开始上述第1原料气体的供给与上述第2原料气体的供给,在停止供给上述第2原料气体之前,停止上述第1原料气体的供给。
另外,优选在上述形成含有规定元素的层的工序中,在开始供给上述第2原料气体之前,开始上述第1原料气体的供给。
另外,优选在上述形成含有规定元素的层的工序中,在开始供给上述第2原料气体之前,开始上述第1原料气体的供给,且在停止供给上述第2原料气体之前,停止上述第1原料气体的供给。
另外,优选上述规定元素为半导体元素或金属元素。
根据本发明的其他方案,提供一种半导体装置的制造方法,所述制造方法包括下述工序:
将衬底搬入处理容器内的工序;
对衬底进行处理的工序:通过交替重复进行如下工序,在上述衬底上形成规定膜厚的氧化硅膜、氮化硅膜或氮氧化硅膜,所述工序包括:通过向上述处理容器内供给含有硅的第1原料气体和含有硅的第2原料气体进行排气,在上述衬底上形成含硅层的工序,和通过向上述处理容器内供给与上述第1原料气体及上述第2原料气体不同的反应气体进行排气,将上述含硅层改性为氧化硅层、氮化硅层或氮氧化硅层的工序;
将经过处理的衬底从上述处理容器内搬出的工序;
其中,上述第1原料气体的反应性比上述第2原料气体的反应性高,
在形成上述含硅层的工序中,使上述第1原料气体的供给量比上述第2原料气体的供给量少。
优选在上述形成含硅层的工序中,使上述第1原料气体的供给流量比上述第2原料气体的供给流量少。
另外,优选在上述形成含硅层的工序中,使上述第1原料气体的供给时间比上述第2原料气体的供给时间短。
另外,优选在上述形成含硅层的工序中,上述第1原料气体的供给量相对于上述第2原料气体的供给量的比为3%以上50%以下。
另外,优选在上述形成含硅层的工序中,上述第1原料气体的供给量相对于上述第2原料气体的供给量的比为6%以上50%以下。
另外,优选在上述形成含硅层的工序中,在停止供给上述第2原料气体之前停止上述第1原料气体的供给。
另外,优选在上述形成含硅层的工序中,同时开始上述第1原料气体的供给和上述第2原料气体的供给,在停止供给上述第2原料气体之前停止上述第1原料气体的供给。
另外,优选在上述形成含硅层的工序中,在开始供给上述第2原料气体之前开始上述第1原料气体的供给。
另外,优选在上述形成含硅层的工序中,在开始供给上述第2原料气体之前开始上述第1原料气体的供给,且在停止供给上述第2原料气体之前停止上述第1原料气体的供给。
另外,优选上述第1原料气体为六氯乙硅烷气体,上述第2原料气体为二氯硅烷气体。
进而,根据本发明的其他方案,提供一种半导体装置的制造方法,所述制造方法包括下述工序:
将衬底搬入处理容器内的工序;
对衬底进行处理的工序:通过交替重复进行以下工序,在上述衬底上形成规定膜厚的氧化硅膜、氮化硅膜或氮氧化硅膜,所述工序包括:通过向上述处理容器内供给六氯乙硅烷气体和二氯硅烷气体进行排气,在上述衬底上形成含硅层的工序,和通过向上述处理容器内供给反应气体进行排气,将上述含硅层改性为氧化硅层、氮化硅层或氮氧化硅层的工序;
将经过处理的衬底从上述处理容器内搬出的工序;
其中,在上述形成含硅层的工序中,使上述六氯乙硅烷气体的供给量比上述二氯硅烷气体的供给量少。
进而,根据本发明的其他方案,提供一种衬底处理装置,所述衬底处理装置包括下述部分:
容纳衬底的处理容器;
第1原料气体供给系统,所述供给系统向上述处理容器内供给含有规定元素的第1原料气体;
第2原料气体供给系统,所述供给系统向上述处理容器内供给含有上述规定元素的第2原料气体;
反应气体供给系统,所述供给系统向上述处理容器内供给与上述第1原料气体及上述第2原料气体不同的反应气体;
对上述处理容器内进行排气的排气系统;
控制部,所述控制部控制上述第1原料气体供给系统、上述第2原料气体供给系统、上述反应气体供给系统及上述排气系统,由此能够对所述衬底进行处理,即,通过交替重复进行以下处理,对在上述衬底上形成规定膜厚的氧化膜、氮化膜或氮氧化膜进行处理,所述处理包括:通过向容纳衬底的上述处理容器内供给上述第1原料气体和上述第2原料气体进行排气,在上述衬底上形成含有规定元素层的处理,和通过向上述处理容器内供给上述反应气体进行排气,将上述含有规定元素的层改性为氧化层、氮化层或氮氧化层的处理;
其中,上述第1原料气体的反应性比上述第2原料气体的反应性高,
并且上述控制部形成如下结构:通过在上述形成含有规定元素的层的处理中控制上述第1原料气体供给系统及上述第2原料气体供给系统,使上述第1原料气体的供给量比上述第2原料气体的供给量更少。
进而,根据本发明的其他方案,提供一种半导体装置的制造方法,所述制造方法包括下述工序:
将衬底搬入处理容器内的工序;
对衬底进行处理的工序:通过交替重复进行以下工序,在上述衬底上形成规定膜厚的氧化膜、氮化膜或氮氧化膜,所述工序包括:通过向上述处理容器内供给含有规定元素的至少2种原料气体进行排气,在上述衬底上形成含有规定元素的层的工序,和向上述处理容器内供给与上述原料气体不同的反应气体进行排气,将上述含有规定元素的层改性为氧化层、氮化层或氮氧化层的工序;
将经过处理的衬底从上述处理容器内搬出的工序。
其中,在上述形成含有规定元素层的工序中,在上述至少2种原料气体中使反应性最高的原料气体的供给量比其他原料气体的供给量少。
优选在上述形成含有规定元素的层的工序中,使上述反应性最高的原料气体的供给流量比上述其他原料气体的供给流量少。
另外,优选在上述形成含有规定元素的层的工序中,使上述反应性最高的原料气体的供给时间比上述其他原料气体的供给时间短。
进而,根据本发明的其他方案,提供一种半导体装置的制造方法,所述方法包括下述工序:
将衬底搬入处理容器内的工序;
对衬底进行处理的工序:通过交替重复进行以下工序,在上述衬底上形成规定膜厚的氧化硅膜、氮化硅膜或氮氧化硅膜,所述工序包括:通过向上述处理容器内供给含有硅的至少2种原料气体进行排气,在上述衬底上形成含硅层的工序,和通过向上述处理容器内供给与上述原料气体不同的反应气体进行排气,将上述含硅层改性为氧化硅层、氮化硅层或氮氧化硅层的工序;
将经过处理的衬底从上述处理容器内搬出的工序;
其中,在上述形成含硅层的工序中,在上述至少2种原料气体中,使反应性最高的原料气体的供给量比其他原料气体的供给量少。
进而,根据本发明的其他方案,提供一种衬底处理装置,所述衬底处理装置包括下述部分:
处理衬底的处理容器;
原料气体供给系统,所述供给系统向上述处理容器内供给含有规定元素的至少2种原料气体;
反应气体供给系统,所述供给系统向上述处理容器内供给与上述原料气体不同的反应气体;
对上述处理容器内进行排气的排气系统;
控制部,所述控制部控制上述原料气体供给系统、上述反应气体供给系统及上述排气系统,由此能够进行如下处理,即,交替重复进行以下处理:通过向容纳衬底的上述处理容器内供给上述至少2种原料气体进行排气,在上述衬底上形成含有规定元素的层的处理,和通过向上述处理容器内供给上述反应气体进行排气,将上述含有规定元素的层改性为氧化层、氮化层或氮氧化层的处理,由此在上述衬底上形成规定膜厚的氧化膜、氮化膜或氮氧化膜,同时在上述形成含有规定元素的层的处理中使上述至少2种原料气体中反应性最高的原料气体的供给量比其他原料气体的供给量少。

Claims (19)

1.一种半导体装置的制造方法,所述制造方法包括下述工序:
将衬底搬入处理容器内的工序;
对衬底进行处理的工序,即,通过交替重复进行以下工序,在所述衬底上形成规定膜厚的氧化膜、氮化膜或氮氧化膜,所述交替重复进行的工序包括:通过向所述处理容器内供给含有规定元素的第1原料气体和含有所述规定元素的第2原料气体,在所述衬底上形成含有规定元素的层的工序,和通过向所述处理容器内供给与所述第1原料气体及所述第2原料气体不同的反应气体,将所述含有规定元素的层改性为氧化层、氮化层或氮氧化层的工序;
将经过处理的衬底从所述处理容器内搬出的工序;
其中,所述第1原料气体的反应性比所述第2原料气体的反应性高,
在所述形成含有规定元素的层的工序中,使所述第1原料气体的供给量比所述第2原料气体的供给量少。
2.如权利要求1所述的半导体装置的制造方法,其中,在所述形成含有规定元素的层的工序中,使所述第1原料气体的供给流量比所述第2原料气体的供给流量少。
3.如权利要求1所述的半导体装置的制造方法,其中,在所述形成含有规定元素的层的工序中,使所述第1原料气体的供给时间比所述第2原料气体的供给时间短。
4.如权利要求1所述的半导体装置的制造方法,其中,在所述形成含有规定元素的层的工序中,所述第1原料气体的供给量相对于所述第2原料气体的供给量的比为3%以上50%以下。
5.如权利要求1所述的半导体装置的制造方法,其中,在所述形成含有规定元素的层的工序中,所述第1原料气体的供给量相对于所述第2原料气体的供给量的比为6%以上50%以下。
6.如权利要求1所述的半导体装置的制造方法,其中,在所述形成含有规定元素的层的工序中,在停止供给所述第2原料气体之前,先停止供给所述第1原料气体。
7.如权利要求1所述的半导体装置的制造方法,其中,在所述形成含有规定元素的层的工序中,同时开始所述第1原料气体的供给和所述第2原料气体的供给,且在停止供给所述第2原料气体之前,先停止供给所述第1原料气体。
8.如权利要求1所述的半导体装置的制造方法,其中,在所述形成含有规定元素的层的工序中,在开始供给所述第2原料气体之前,先开始供给所述第1原料气体。
9.如权利要求1所述的半导体装置的制造方法,其中,在所述形成含有规定元素的层的工序中,在开始供给所述第2原料气体之前,先开始供给所述第1原料气体,且在停止供给所述第2原料气体之前,先停止供给所述第1原料气体。
10.如权利要求1所述的半导体装置的制造方法,其中,在所述形成含有规定元素的层的工序中,在停止供给所述第1原料气体之后,开始供给所述第2原料气体。
11.如权利要求1所述的半导体装置的制造方法,其中,所述规定元素为半导体元素或金属元素。
12.一种半导体装置的制造方法,所述制造方法包括下述工序:
将衬底搬入处理容器内的工序;
对衬底进行处理的工序,即,通过交替重复进行以下工序,在所述衬底上形成规定膜厚的氧化硅膜、氮化硅膜或氮氧化硅膜,所述交替重复进行的工序包括:通过向所述处理容器内供给含有硅的第1原料气体和含有硅的第2原料气体,在所述衬底上形成含硅层的工序,和通过向所述处理容器内供给与所述第1原料气体及所述第2原料气体不同的反应气体,将所述含硅层改性为氧化硅层、氮化硅层或氮氧化硅层的工序;
将经过处理的衬底从所述处理容器内搬出的工序;
其中,所述第1原料气体的反应性比所述第2原料气体的反应性高,
在所述形成含硅层的工序中,使所述第1原料气体的供给量比所述第2原料气体的供给量少。
13.如权利要求12所述的半导体装置的制造方法,其中,在所述形成含硅层的工序中,使所述第1原料气体的供给流量比所述第2原料气体的供给流量少。
14.如权利要求12所述的半导体装置的制造方法,其中,在所述形成含硅层的工序中,使所述第1原料气体的供给时间比所述第2原料气体的供给时间短。
15.如权利要求12所述的半导体装置的制造方法,其中,在所述形成含硅层的工序中,所述第1原料气体的供给量相对于所述第2原料气体的供给量的比为3%以上50%以下。
16.如权利要求12所述的半导体装置的制造方法,其中,在所述形成含硅层的工序中,所述第1原料气体的供给量相对于所述第2原料气体的供给量的比为6%以上50%以下。
17.如权利要求12所述的半导体装置的制造方法,其中,所述第1原料气体为六氯乙硅烷气体,所述第2原料气体为二氯硅烷气体。
18.一种衬底处理装置,所述衬底处理装置包括下述部分:
容纳衬底的处理容器;
第1原料气体供给系统,所述供给系统向所述处理容器内供给含有规定元素的第1原料气体;
第2原料气体供给系统,所述供给系统向所述处理容器内供给含有所述规定元素的第2原料气体;
反应气体供给系统,所述供给系统向所述处理容器内供给与所述第1原料气体及所述第2原料气体不同的反应气体;
控制部,所述控制部控制所述第1原料气体供给系统、所述第2原料气体供给系统及所述反应气体供给系统,由此通过以下方式对所述衬底进行处理,即,通过交替重复进行以下处理,在所述衬底上形成规定膜厚的氧化膜、氮化膜或氮氧化膜,所述交替重复进行的处理包括:通过向容纳衬底的所述处理容器内供给所述第1原料气体和所述第2原料气体,在所述衬底上形成含有规定元素的层的处理,和通过向所述处理容器内供给所述反应气体,将所述含有规定元素的层改性为氧化层、氮化层或氮氧化层的处理;
其中,所述第1原料气体的反应性比所述第2原料气体的反应性高,
在所述形成含有规定元素的层的处理中,所述控制部控制所述第1原料气体供给系统及所述第2原料气体供给系统,使所述第1原料气体的供给量比所述第2原料气体的供给量少。
19.一种衬底处理方法,所述衬底处理方法包括下述工序:
将衬底搬入处理容器内的工序;
对衬底进行处理的工序,即,通过交替重复进行以下工序,在所述衬底上形成规定膜厚的氧化膜、氮化膜或氮氧化膜,所述交替重复进行的工序包括:通过向所述处理容器内供给含有规定元素的第1原料气体和含有所述规定元素的第2原料气体,在所述衬底上形成含有规定元素的层的工序,和通过向所述处理容器内供给与所述第1原料气体及所述第2原料气体不同的反应气体,将所述含有规定元素的层改性为氧化层、氮化层或氮氧化层的工序;
将经过处理的衬底从所述处理容器内搬出的工序;
其中,所述第1原料气体的反应性比所述第2原料气体的反应性高,
在所述形成含有规定元素的层的工序中,使所述第1原料气体的供给量比所述第2原料气体的供给量少。
CN2010105001765A 2009-09-30 2010-09-30 半导体装置的制造方法及衬底处理装置 Active CN102034702B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210407086.0A CN102915910B (zh) 2009-09-30 2010-09-30 半导体装置的制造方法及衬底处理装置

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2009226420 2009-09-30
JP2009-226420 2009-09-30
JP2010-152031 2010-07-02
JP2010152031A JP5467007B2 (ja) 2009-09-30 2010-07-02 半導体装置の製造方法および基板処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201210407086.0A Division CN102915910B (zh) 2009-09-30 2010-09-30 半导体装置的制造方法及衬底处理装置

Publications (2)

Publication Number Publication Date
CN102034702A CN102034702A (zh) 2011-04-27
CN102034702B true CN102034702B (zh) 2013-11-27

Family

ID=43780860

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2010105001765A Active CN102034702B (zh) 2009-09-30 2010-09-30 半导体装置的制造方法及衬底处理装置
CN201210407086.0A Active CN102915910B (zh) 2009-09-30 2010-09-30 半导体装置的制造方法及衬底处理装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201210407086.0A Active CN102915910B (zh) 2009-09-30 2010-09-30 半导体装置的制造方法及衬底处理装置

Country Status (5)

Country Link
US (2) US8076251B2 (zh)
JP (1) JP5467007B2 (zh)
KR (2) KR101149380B1 (zh)
CN (2) CN102034702B (zh)
TW (2) TWI509694B (zh)

Families Citing this family (379)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8822350B2 (en) * 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US8937022B2 (en) * 2010-11-29 2015-01-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP5588856B2 (ja) * 2010-12-27 2014-09-10 東京エレクトロン株式会社 カーボン膜上への酸化物膜の成膜方法及び成膜装置
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
EP3929326A3 (en) * 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5864360B2 (ja) * 2011-06-30 2016-02-17 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5514162B2 (ja) * 2011-07-22 2014-06-04 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
JP5722450B2 (ja) 2011-08-25 2015-05-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP2013077805A (ja) * 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6042656B2 (ja) * 2011-09-30 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5854112B2 (ja) * 2011-09-30 2016-02-09 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2013054655A1 (ja) * 2011-10-14 2013-04-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5829196B2 (ja) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
JP5793398B2 (ja) * 2011-10-28 2015-10-14 東京エレクトロン株式会社 シード層の形成方法及びシリコン含有薄膜の成膜方法
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6035161B2 (ja) * 2012-03-21 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014011234A (ja) * 2012-06-28 2014-01-20 Tokyo Electron Ltd シリコン酸化膜の形成方法およびその形成装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6022272B2 (ja) 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6199570B2 (ja) * 2013-02-07 2017-09-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6125279B2 (ja) 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
JP6349234B2 (ja) 2014-02-19 2018-06-27 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20170088948A1 (en) * 2014-03-26 2017-03-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus and furnace opening cover
JP6347544B2 (ja) 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
JP5886381B2 (ja) 2014-07-23 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5840268B1 (ja) * 2014-08-25 2016-01-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
JP6496510B2 (ja) * 2014-10-02 2019-04-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
WO2017037927A1 (ja) 2015-09-03 2017-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102454894B1 (ko) * 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
JP6635839B2 (ja) * 2016-03-23 2020-01-29 東京エレクトロン株式会社 窒化膜の形成方法
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6368743B2 (ja) 2016-06-22 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018154823A1 (ja) * 2017-02-23 2018-08-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6759137B2 (ja) 2017-03-24 2020-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6703496B2 (ja) * 2017-03-27 2020-06-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
JP6754493B2 (ja) * 2017-04-19 2020-09-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6919350B2 (ja) 2017-06-09 2021-08-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6830878B2 (ja) * 2017-09-28 2021-02-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP6781745B2 (ja) * 2018-03-12 2020-11-04 キヤノン株式会社 撮像装置の製造方法
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102480740B1 (ko) * 2018-03-20 2022-12-23 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10483407B2 (en) * 2018-04-19 2019-11-19 Micron Technology, Inc. Methods of forming si3nX, methods of forming insulator material between a control gate and charge-storage material of a programmable charge-storage transistor, and methods of forming an array of elevationally-extending strings of memory cells and a programmable charge-storage transistor manufactured in accordance with methods
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6826173B2 (ja) * 2019-09-17 2021-02-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN112823410B (zh) * 2019-09-18 2024-04-02 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
JP7182572B2 (ja) 2020-01-09 2022-12-02 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7306300B2 (ja) * 2020-03-13 2023-07-11 株式会社島津製作所 推定器および真空バルブ
JP7076490B2 (ja) * 2020-03-24 2022-05-27 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11965239B2 (en) 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7198854B2 (ja) * 2021-03-17 2023-01-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
JP7194216B2 (ja) * 2021-03-17 2022-12-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024047713A1 (ja) * 2022-08-29 2024-03-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN117568780A (zh) * 2023-11-16 2024-02-20 无锡松煜科技有限公司 一种利用ald法制备氧化铝钝化膜的方法及装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW430883B (en) * 1997-12-24 2001-04-21 Asahi Chemical Micro Syst Method of manufacturing semiconductor device
CN101094732A (zh) * 2003-09-30 2007-12-26 东京毅力科创株式会社 以六氯乙硅烷进行的含硅膜的沉积

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001068468A (ja) * 1999-08-30 2001-03-16 Tokyo Electron Ltd 成膜方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2002367990A (ja) * 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
JP2007281082A (ja) * 2006-04-04 2007-10-25 Tokyo Electron Ltd 成膜方法及び成膜装置並びに記憶媒体
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
KR101593352B1 (ko) * 2007-06-28 2016-02-15 인티그리스, 인코포레이티드 이산화규소 간극 충전용 전구체
JP2009049316A (ja) * 2007-08-22 2009-03-05 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2009178309A (ja) 2008-01-30 2009-08-13 Nohmi Bosai Ltd 消火栓装置
JP2008211211A (ja) * 2008-02-18 2008-09-11 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW430883B (en) * 1997-12-24 2001-04-21 Asahi Chemical Micro Syst Method of manufacturing semiconductor device
CN101094732A (zh) * 2003-09-30 2007-12-26 东京毅力科创株式会社 以六氯乙硅烷进行的含硅膜的沉积

Also Published As

Publication number Publication date
KR20110035935A (ko) 2011-04-06
US8415258B2 (en) 2013-04-09
TWI473167B (zh) 2015-02-11
CN102034702A (zh) 2011-04-27
TW201438106A (zh) 2014-10-01
US20110076857A1 (en) 2011-03-31
CN102915910B (zh) 2015-07-22
JP5467007B2 (ja) 2014-04-09
CN102915910A (zh) 2013-02-06
US8076251B2 (en) 2011-12-13
TW201120956A (en) 2011-06-16
KR20110139179A (ko) 2011-12-28
US20120045905A1 (en) 2012-02-23
KR101149380B1 (ko) 2012-05-30
JP2011097017A (ja) 2011-05-12
TWI509694B (zh) 2015-11-21
KR101189495B1 (ko) 2012-10-11

Similar Documents

Publication Publication Date Title
CN102034702B (zh) 半导体装置的制造方法及衬底处理装置
KR101623740B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
CN103035485B (zh) 半导体器件的制造方法、衬底处理方法及衬底处理装置
CN105261552B (zh) 半导体器件的制造方法和衬底处理装置
KR101074684B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR101097726B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
WO2012066977A1 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
KR101509453B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
JP6084298B2 (ja) 基板処理装置、基板処理方法および半導体装置の製造方法
KR20130107232A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20130030213A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
CN104109846A (zh) 半导体器件的制造方法及衬底处理装置
CN107204273A (zh) 半导体器件的制造方法、衬底处理装置及衬底处理方法
KR20200077500A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP5982045B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびガス供給系
JP2012221978A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20181203

Address after: Tokyo, Japan, Japan

Patentee after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Hitachi Kunisai Electric Corp.