TWI473167B - 半導體裝置之製造方法、基板處理裝置及基板處理方法 - Google Patents

半導體裝置之製造方法、基板處理裝置及基板處理方法 Download PDF

Info

Publication number
TWI473167B
TWI473167B TW99132920A TW99132920A TWI473167B TW I473167 B TWI473167 B TW I473167B TW 99132920 A TW99132920 A TW 99132920A TW 99132920 A TW99132920 A TW 99132920A TW I473167 B TWI473167 B TW I473167B
Authority
TW
Taiwan
Prior art keywords
gas
material gas
supply
layer
substrate
Prior art date
Application number
TW99132920A
Other languages
English (en)
Other versions
TW201120956A (en
Inventor
Naonori Akae
Yoshiro Hirose
Yushin Takasawa
Yosuke Ota
Ryota Sasajima
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201120956A publication Critical patent/TW201120956A/zh
Application granted granted Critical
Publication of TWI473167B publication Critical patent/TWI473167B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

半導體裝置之製造方法、基板處理裝置及基板處理方法
本發明係關於包含在基板上形成薄膜的製程之半導體裝置之製造方法及基板處理裝置者。
快閃記憶體之動作原理,係具備被絕緣膜包圍的電子累積區域(浮動閘極),在藉由透過薄穿隧氧化膜的電子授受來進行資訊的寫入之同時,利用此薄氧化膜的絕緣性來長時間地保持電子而保存記憶。記憶在快閃記憶體的資訊即使沒有進行來自外部的動作也必須長時間保持10年,對於圍繞稱為浮動閘極的電荷累積區域之絕緣膜的要求日益嚴格。設置在與用於控制記憶體胞元動作的控制閘極之間的層間絕緣膜,一般是使用稱為ONO之氧化膜(SiO2 )/氮化膜(Si3 N4 )/氧化膜(SiO2 )之積層構造,期待具有高漏電流特性。
過去,ONO積層構造中之SiO2 絕緣膜形成,係例如使用SiH2 Cl2 氣體及N2 O氣體、利用CVD法在800℃附近的高溫下進行,但是伴隨著裝置的進一步微細化,造成ONO積層膜中之氮化膜的電容降低,所以從確保電容的觀點來看,正在檢討採用高介電體膜來代替氮化膜層。形成在高介電體膜上之SiO2 絕緣膜,為了要抑制高介電體膜的結晶化,必須在比高介電體膜形成溫度還低的溫度下形成。
[先前技術文獻] [專利文獻]
[專利文獻1]日本特願2009-178309號
在形成SiO2 絕緣膜的情況下,有隨著形成溫度的低溫化,膜的成長速度(成膜速率)變慢的傾向。因此,使用反應性高、原料容易朝基板上吸附的無機原料或有機原料。然而,相較於過去原料,這些原料係流通量少而原料價格高,所以有所形成的半導體裝置的單價變高的問題。又,在使用這些原料的情況,也有難以確保所形成的絕緣膜的膜厚均勻性之問題。
因此,本發明之目的在於解決上述課題而提供一種半導體裝置之製造方法及基板處理裝置,即使在低溫下,也能維持高成膜速率且以低成本形成膜厚均勻性良好的絕緣膜。
根據本發明之一態樣,提供一種半導體裝置之製造方法,具有:將基板搬入處理容器內之製程;進行藉由交替地重複:利用將包含既定元素之第1原料氣體及包含前述既定元素之第2原料氣體供給排氣至前述處理容器內,而在前述基板上形成含既定元素層之製程;及利用將與前述第1原料氣體及前述第2原料氣體不同的反應氣體供給排氣至前述處理容器內,而將前述含既定元素層改質成氧化層、氮化層、或氮氧化層之製程,來在前述基板上形成既定膜厚的氧化膜、氮化膜、或氮氧化膜的處理之製程;及將處理完畢的基板從前述處理容器內搬出之製程;前述第1原料氣體係反應性比前述第2原料氣體高,在前述形成含既定元素層之製程,使前述第1原料氣體的供給量比前述第2原料氣體的供給量少。
根據本發明之另一態樣,提供一種半導體裝置之製造方法,具有:將基板搬入處理容器內之製程;進行藉由交替地重複:利用將包含矽之第1原料氣體及包含矽之第2原料氣體供給排氣至前述處理容器內,而在前述基板上形成含矽層之製程;及利用將與前述第1原料氣體及前述第2原料氣體不同的反應氣體供給排氣至前述處理容器內,而將前述含矽層改質成氧化矽層、氮化矽層、或氮氧化矽層之製程,來在前述基板上形成既定膜厚的氧化矽膜、氮化矽膜、或氮氧化矽膜的處理之製程;及將處理完畢的基板從前述處理容器內搬出之製程;前述第1原料氣體係反應性比前述第2原料氣體高,在前述形成含矽層之製程,使前述第1原料氣體的供給量比前述第2原料氣體的供給量少。
根據本發明之另一態樣,提供一種基板處理裝置,具有:處理容器,係收容基板;第1原料氣體供給系統,係將包含既定元素之第1原料氣體供給至前述處理容器內;第2原料氣體供給系統,係將包含前述既定元素之第2原料氣體供給至前述處理容器內;反應氣體供給系統,係將與前述第1原料氣體及前述第2原料氣體不同的反應氣體供給至前述處理容器內;排氣系統,係將前述處理容器內排氣;及控制部,係以進行藉由交替地重複:利用將前述第1原料氣體及前述第2原料氣體供給排氣至已收容基板的前述處理容器內,而在前述基板上形成含既定元素層的處理;及利用將前述反應氣體供給排氣至前述處理容器內,而將前述含既定元素層改質成氧化層、氮化層或氮氧化層的處理,來在前述基板上形成既定膜厚的氧化膜、氮化膜或氮氧化膜的處理的方式,控制前述第1原料氣體供給系統、前述第2原料氣體供給系統、前述反應氣體供給系統、及前述排氣系統,前述第1原料氣體係反應性比前述第2原料氣體高,前述控制部,係進一步構成為:在前述形成含既定元素層的處理中,以使前述第1原料氣體的供給量比前述第2原料氣體的供給量少的方式來控制前述第1原料氣體供給系統及前述第2原料氣體供給系統。
根據本發明,便能提供一種半導體裝置之製造方法及基板處理裝置,即使在低溫下,也能維持高成膜速率且以低成本形成膜厚均勻性良好的絕緣膜。
[用於實施發明的形態]
過去之廣泛用於藉由CVD(Chemical Vapor Deposition,化學氣相沉積)法形成SiO2 膜的情況之矽原料:二氯矽烷(SiH2 Cl2 ,縮寫DCS),隨著成膜溫度的低溫化,反應性顯著變低,朝基板(形成在基板表面之SiO2 、SiON、SiN等的膜)上吸附、堆積的培養期(incubation)變大。因此,變得極難在基板上形成從低於1原子層到數原子層程度的矽層。又,此時所製得的SiO2 膜的膜厚分布均勻性會引起矽層形成斑,成為明顯不良的東西。
第7圖顯示交替地供給矽原料氣體與反應氣體(氧氣及氫氣),在低溫(600℃)下在基板上形成SiO2 膜時的成膜速度及膜厚均勻性的實驗結果。第7圖之(a)顯示單獨使用DCS氣體作為矽原料之情況的成膜速度及膜厚均勻性。第7圖之(b)顯示使用將HCD氣體微量添加至DCS氣體的氣體作為矽原料氣體之情況的成膜速度及膜厚均勻性。第7圖之(c)顯示單獨使用微量HCD氣體作為矽原料氣體之情況的成膜速度及膜厚均勻性。又,在第7圖的實驗中將所使用的DCS氣體的流量定為1的情況,微量HCD氣體流量係以0.03表示。即,使在第7圖的實驗中所使用的HCD氣體流量對DCS氣體流量之比,即HCD氣體流量/DCS氣體流量(HCD/DCS流量比)成為0.03(3%)。又,在第7圖,係將成膜速度表示為以(a)的成膜速度為1(基準)的情況之成膜速度比率,將膜厚均勻性表示為以(a)的膜厚均勻性為1(基準)的情況之膜厚均勻性比率。又,膜厚均勻性表示在基板面內之膜厚分布的變異程度,該值越小則表示在基板面內之膜厚均勻性越良好。
發明人等銳意研究的結果,發現:藉由將反應性比DCS氣體高,即熱分解溫度比DCS氣體低,在同樣條件(condition)下比DCS氣體易吸附至基板上的無機原料之一的六氯二矽烷(Si2 Cl6 ,縮寫HCD)氣體微量添加至DCS氣體,而可在低溫,例如600℃中,使SiO2 膜的成膜速度提高,又,可使SiO2 膜的膜厚均勻性提高。如第7圖之(b)所示,可知藉由將HCD氣體微量添加至DCS氣體,可獲得單獨使用DCS氣體情況的2.2倍的成膜速度。又,可知藉由將HCD氣體微量添加至DCS氣體,相較於單獨使用DCS氣體的情況,可獲得極良好的膜厚均勻性。又,可知單獨使用微量的HCD氣體的情況的SiO2 膜的成膜速度,如第7圖之(c)所示般是極低的,此時所製得之SiO2 膜的膜厚分布均勻性也明顯不良。
第8圖係表示交替地供給HCD氣體及反應氣體(氧氣及氫氣)而在低溫(600℃)下形成SiO2 膜時之HCD氣體供給量與SiO2 膜的成膜速度之關係的曲線(graph)圖。在第8圖,顯示以某一HCD供給量為基準而將成膜速度常態化時之HCD氣體供給量與SiO2 膜的成膜速度之關係。由第8圖可看出,起因於隨著HCD氣體供給量降低而矽吸附至基板上的量降低之SiO2 膜的成膜速度降低。即,在單獨使用HCD氣體的情況下,即使使HCD氣體供給量減少,將HCD氣體供給量定為微量,也不能使成膜速度提高。為了要在單獨使用HCD氣體的情況下確保成膜速度,便須要某一程度的HCD氣體供給量。又,即使是在單獨使用DCS氣體的情況中,也不能在低溫下使成膜速度提高。然而,藉由將HCD氣體微量添加至DCS氣體,即使是在例如如600℃的低溫下亦可使成膜速度提高。
作為藉由將微量的HCD氣體添加至DCS氣體,而使得改善成膜速度成為可能的理由,認為是藉由將微量HCD供給至基板上來某種程度地進行矽原料的吸附、矽的堆積,相較於不添加微量HCD的情況,使得須要吸附DCS、堆積矽的位置(site)變狹小,其結果DCS朝該位置的曝露量會相對地增加,DCS之吸附機率、矽之堆積機率明顯地提高的緣故。
又,亦可認為是如下的理由:利用與DCS氣體同時供給之HCD氣體熱分解,又,利用在HCD氣體熱分解之際發生的Cl2 會與DCS氣體的H基反應,可促進SiCl4 氣體或Si原子之生成,可大幅地促進矽原料之吸附、矽之堆積,而使得改善成膜速度成為可能。此時,可認為進行了如下的反應。
2SiH2 Cl2 +Si2 Cl6 →2Si+2SiCl4 +2HCl+H2
SiH2 Cl2 +Si2 Cl6 →2Si+SiCl4 +2HCl
SiH2 Cl2 +Si2 Cl6 →Si+2SiCl4 +H2
其結果,使得改善成膜速度,同時均勻地進行矽原料朝基板上吸附、矽朝基板上堆積成為可能,所以可認為以本發明所形成之SiO2 膜的膜厚均勻性(膜厚分布)也成為良好者。又,相較於單獨使用HCD氣體的情況,亦可不會使成膜控制性降低地大幅降低原料成本。
當將作為第1矽原料氣體之HCD氣體定為Si原料A,將作為第2矽原料氣體之DCS氣體定為Si原料B時,就各自的Si原料的供給時序(timing),可考慮第4圖之Si原料供給時序1(同時供給Si原料A、B)、第5圖之Si原料供給時序2(同時供給Si原料A、B,較遲切斷Si原料B)、第6圖之Si原料供給時序3(較早供給Si原料A,較遲切斷Si原料B),可使用任一種供給時序。又,第7圖之(b)的實驗結果為以第5圖之Si原料供給時序2進行的結果。後面將針對這些Si原料供給時序詳細敘述。
本發明係基於發明人等所獲得的知識而完成者。以下,針對本發明之一實施形態一邊參照圖式一邊說明。
第1圖係在本發明之一實施形態中所適合使用的基板處理裝置之縱型處理爐的概略構成圖,以縱剖面圖顯示處理爐202部分。又,第2圖係第1圖所示之處理爐的A-A’剖面圖。又,本發明不限於本實施形態的基板處理裝置,亦能適合採用具有單片式、熱壁(Hot wall)型、冷壁(Cold Wall)型之處理爐的基板處理裝置。
如第1圖所示般,處理爐202具有作為加熱手段(加熱機構)的加熱器207。加熱器207為圓筒形狀,藉由被作為保持板之加熱器基底(未圖示)支撐而垂直地予以安裝。
在加熱器207的內側,與加熱器207呈同心圓狀地配置有作為反應管的製程管(process tube)203。製程管203係例如由石英(SiO2 )或碳化矽(SiC)等之耐熱性材料所構成,形成為上端閉塞、下端開口之圓筒形狀。在製程管203的筒中空部形成有處理室201,構成為可在藉由後述的晶舟217、以水平姿勢於垂直方向上多段整列的狀態下收容作為基板的晶圓200。
在製程管203的下方,與製程管203呈同心圓狀地配置有歧管209。歧管209係例如由不鏽鋼等所構成,形成為上端及下端開口的圓筒形狀。歧管209,係銜接至製程管203,以支撐製程管203之方式設置。又,在歧管209與製程管203之間設有作為密封構件的O型環220a。歧管209被加熱器基底所支撐,藉以使製程管203成為被垂直地安裝的狀態。利用製程管203及歧管209來形成反應容器(處理容器)。
在歧管209中,以貫穿歧管209側壁的方式設有作為第1氣體導入部之第1噴嘴233a、作為第2氣體導入部之第2噴嘴233b、作為第3氣體導入部之第3噴嘴233c,第1氣體供給管232a、第2氣體供給管232b、第3氣體供給管232c係分別連接至第1噴嘴233a、第2噴嘴233b、第3噴嘴233c。又,第4氣體供給管232d係連接至第3氣體供給管232c。如此一來,作為將複數種類,在此為4種的處理氣體供給至處理室201內的氣體供給路徑,設有4根氣體供給管。
在第1氣體供給管232a,從上游方向開始依序設有:流量控制器(流量控制手段)之質量流量控制器241a、及開關閥之閥243a。又,在比第1氣體供給管232a的閥243a還下游側處,連接有供給惰性氣體的第1惰性氣體供給管234a。在此第1惰性氣體供給管234a,從上游方向開始依序設有:流量控制器(流量控制手段)之質量流量控制器241c、及開關閥之閥243c。又,在第1氣體供給管232a的前端部,連接有上述的第1噴嘴233a。第1噴嘴233a,係在構成處理室201之製程管203的內壁與晶圓200之間的圓弧狀空間,以沿著從製程管203的內壁的下部到上部,朝向晶圓200的積載方向上方聳立的方式設置。在第1噴嘴233a的側面設有供給氣體之供給孔的氣體供給孔248a。此氣體供給孔248a,係從下部涵蓋到上部而分別具有同一開口面積,並以相同的開口間距設置。主要是利用第1氣體供給管232a、質量流量控制器241a、閥243a、第1噴嘴233a來構成第1氣體供給系統。又主要是利用第1惰性氣體供給管234a、質量流量控制器241c、閥243c來構成第1惰性氣體供給系統。
在第2氣體供給管232b,從上游方向開始依序設有:流量控制器(流量控制手段)之質量流量控制器241b、及開關閥之閥243b。又,在比第2氣體供給管232b的閥243b還下游側處,連接有供給惰性氣體的第2惰性氣體供給管234b。在此第2惰性氣體供給管234b,從上游方向開始依序設有:流量控制器(流量控制手段)之質量流量控制器241d、及開關閥之閥243d。又,在第2氣體供給管232b的前端部,連接有上述的第2噴嘴233b。第2噴嘴233b,係在構成處理室201之製程管203的內壁與晶圓200之間的圓弧狀空間,以沿著從製程管203的內壁的下部到上部,朝向晶圓200的積載方向上方聳立的方式設置。在第2噴嘴233b的側面設有供給氣體之供給孔的氣體供給孔248b。此氣體供給孔248b,係從下部涵蓋到上部而分別具有同一開口面積,並以相同的開口間距設置。主要是利用第2氣體供給管232b、質量流量控制器241b、閥243b、第2噴嘴233b來構成第2氣體供給系統。又主要是利用第2惰性氣體供給管234b、質量流量控制器241d、閥243d來構成第2惰性氣體供給系統。
在第3氣體供給管232c,從上游方向開始依序設有:流量控制器(流量控制手段)之質量流量控制器241e、及開關閥之閥243e。又,在比第3氣體供給管232c的閥243e還下游側處,連接有供給惰性氣體的第3惰性氣體供給管234c。在此第3惰性氣體供給管234c,從上游方向開始依序設有:流量控制器(流量控制手段)之質量流量控制器241f、及開關閥之閥243f。又,在比第3氣體供給管232c的閥243e還下遊側處,連接有第4氣體供給管232d。此第4氣體供給管232d,從上游方向開始依序設有:流量控制器(流量控制手段)之質量流量控制器241g、及開關閥之閥243g。又,在第3氣體供給管232c的前端部,連接有上述的第3噴嘴233c。第3噴嘴233c,係在構成處理室201之製程管203的內壁與晶圓200之間的圓弧狀空間,以沿著從製程管203的內壁的下部到上部,朝向晶圓200的積載方向上方聳立的方式設置。在第3噴嘴233c的側面設有供給氣體之供給孔的氣體供給孔248c。此氣體供給孔248c,係從下部涵蓋到上部而分別具有同一開口面積,並以相同的開口間距設置。主要是利用第3氣體供給管232c、質量流量控制器241e、閥243e、第3噴嘴233c來構成第3氣體供給系統。又主要是利用第4氣體供給管232d、質量流量控制器241g、閥243g、第3氣體供給管232c、第3噴嘴233c來構成第4氣體供給系統。又主要是利用第3惰性氣體供給管234c、質量流量控制器241f、閥243f來構成第3惰性氣體供給系統。
從第1氣體供給管232a來的是,作為包含氧的氣體(含氧氣體),例如氧(O2 )氣,透過質量流量控制器241a、閥243a、第1噴嘴233a而供給至處理室201內。即,第1氣體供給系統係構成作為含氧氣體供給系統。與此同時,亦可以從第1惰性氣體供給管234a,將惰性氣體透過質量流量控制器241c、閥243c而供給至第1氣體供給管232a內的方式來進行。
又,從第2氣體供給管232b來的是,作為包含氫的氣體(含氫氣體),例如氫(H2 )氣,透過質量流量控制器241b、閥243b、第2噴嘴233b而供給至處理室201內。即,第2氣體供給系統係構成作為含氫氣體供給系統。與此同時,亦可以從第2惰性氣體供給管234b,將惰性氣體透過質量流量控制器241d、閥243d而供給至第2氣體供給管232b內的方式來進行。
此外,亦可以從第2氣體供給管232b,將作為包含氮的氣體(含氮氣體),例如氨(NH3 )氣,透過質量流量控制器241b、閥243b、第2噴嘴233b而供給至處理室201內的方式來進行。即,第2氣體供給系統亦可構成作為含氮氣體供給系統。與此同時,亦可以從第2惰性氣體供給管234b,將惰性氣體透過質量流量控制器241d、閥243d而供給至第2氣體供給管232b內的方式來進行。
又,從第3氣體供給管232c來的是,作為第1原料氣體,即,包含矽的第1原料氣體(第1含矽氣體),例如六氯二矽烷(Si2 Cl6 ,簡稱HCD)氣體,透過質量流量控制器241e、閥243e、第3噴嘴233c而供給至處理室201內。即,第3氣體供給系統係構成作為第1原料氣體供給系統(第1含矽氣體供給系統)。與此同時,亦可以從第3惰性氣體供給管234c,將惰性氣體透過質量流量控制器241f、閥243f而供給至第3氣體供給管232c內的方式來進行。
又,從第4氣體供給管232d來的是,作為第2原料氣體,即,包含矽的第2原料氣體(第2含矽氣體),例如二氯矽烷(SiH2 Cl2 ,簡稱DCS)氣體,透過質量流量控制器241g、閥243g、第3氣體供給管232c、第3噴嘴233c而供給至處理室201內。即,第4氣體供給系統係構成作為第2原料氣體供給系統(第2含矽氣體供給系統)。與此同時,亦可以從第3惰性氣體供給管234c,將惰性氣體透過質量流量控制器241f、閥243f而供給至第3氣體供給管232c內的方式來進行。
又,利用第1氣體供給系統及第2氣體供給系統來構成反應氣體供給系統,利用第3氣體供給系統及第4氣體供給系統來構成原料氣體供給系統。
又,在本實施形態,雖然是以將O2 氣體、H2 氣體(NH3 氣體)、HCD氣體及DCS氣體分別從各自的噴嘴供給至處理室201內的方式來進行,但是例如亦可以將H2 氣體及HCD氣體從相同的噴嘴供給至處理室201內的方式來進行。又,亦可以將O2 氣體及H2 氣體從相同的噴嘴供給至處理室201內的方式來進行。如此一來,在複數種類氣體方面將噴嘴定為共用的話,便有能減少噴嘴的根數,能減低裝置成本,又使維修變得容易等之優點。又,藉由將O2 氣體及H2 氣體從相同的噴嘴供給至處理室201內,來使提高氧化力上升效果及氧化力均勻化效果成為可能。又,在後述的成膜溫度帶方面,考量HCD氣體與H2 氣體不會反應,但HCD氣體與O2 氣體會反應,所以HCD氣體與O2 氣體最好是從各自的噴嘴供給至處理室201內。又,在本實施形態,係以事先在相同的供給配管(第3氣體供給管232c)內混合HCD氣體與DCS氣體,而從相同的噴嘴(第3噴嘴233c)供給至處理室201內的方式來進行,但亦可以從各自的供給配管、噴嘴供給至處理室201內的方式來進行。
在歧管209,設有將處理室201內的氣體環境排氣的排氣管231。在排氣管231,係透過作為壓力檢測器的壓力感測器245及作為壓力調整器(壓力調整部)的APC(Auto Pressure Controller,自動壓力控制器)閥242,而連接作為真空排氣裝置的真空幫浦246。又,APC閥242,係構成為將閥加以開關而能將處理室201內真空排氣、停止真空排氣,進一步地調節閥開度而可調整壓力的開關閥。構成為:以藉由使真空幫浦246動作,同時根據由壓力感測器245所檢測出的壓力來調節APC閥242的閥開度,而能使處理室201內的壓力成為既定壓力(真空度)的方式進行真空排氣。主要是利用排氣管231、壓力感測器245、APC閥242、真空幫浦246來構成排氣系統。
在歧管209的下方,設有作為可將歧管209的下端開口氣密地閉塞的爐口蓋體的密封蓋219。密封蓋219,係構成為從垂直方向下側抵接至歧管209的下端。密封蓋219係例如由不鏽鋼等金屬所構成,形成為圓盤狀。在密封蓋219的上面,設有作為與歧管209的下端抵接的密封構件之O型環220b。在密封蓋219之與處理室201相反的側,設置有使後述之作為基板保持具的晶舟217旋轉的旋轉機構267。旋轉機構267的旋轉軸255係貫穿密封蓋219而連接至晶舟217。旋轉機構267,係構成為藉由使晶舟217旋轉來使晶圓200旋轉。密封蓋219,係構成為可藉由垂直地設置在製程管203外部之作為升降機構的晶舟升降梯115而在垂直方向上升降。晶舟升降梯115,係構成為可藉由使密封蓋219升降來將晶舟217對處理室201內搬入、搬出。
作為基板保持具的晶舟217,係由例如石英或碳化矽等耐熱性材料所構成,使複數片晶圓200,以水平姿勢且在使中心相互地對齊的狀態下整列而多段地保持。又,在晶舟217的下部,設有由例如石英或碳化矽等耐熱性材料所構成的隔熱構件218,構成為使來自加熱器207的熱難以傳遞至密封蓋219側。又,隔熱構件218亦可利用由石英或碳化矽等耐熱性材料所構成之複數片隔熱板、及將這些隔熱板以水平姿勢多段地支撐的隔熱板托架(holder)來構成。在製程管203內,設置有作為溫度檢測器的溫度感測器263,構成為根據利用溫度感測器263所檢測出的溫度資訊來調整朝加熱器207的通電程度,藉以使處理室201內的溫度成為所要的溫度分布。溫度感測器263,係與第1噴嘴233a、第2噴嘴233b、及第3噴嘴233c同樣地,沿著製程管203的內壁設置。
控制部(控制手段)之控制器280,係連接至質量流量控制器241a、241b、241c、241d、241e、241f、241g、閥243a、243b、243c、243d、243e、243f、243g、壓力感測器245、APC閥242、加熱器207、溫度感測器263、真空幫浦246、旋轉機構267、晶舟升降梯115等。可藉由控制器280來進行以下的控制:利用質量流量控制器241a、241b、241c、241d、241e、241f、241g之氣體流量調整,閥243a、243b、243c、243d、243e、243f、243g之開關動作,根據APC閥242之開關及壓力感測器245之壓力調整動作,根據溫度感測器263之加熱器207的溫度調整,真空幫浦246之起動、停止,旋轉機構267之旋轉速度調節,利用晶舟升降梯115之晶舟217的升降動作等。
接下來,就使用上述的基板處理裝置的處理爐202,作為半導體裝置(device)之製造製程之一製程,在基板上形成作為絕緣膜的氧化膜的方法的例子加以說明。又,在以下的說明中,構成基板處理裝置的各部的動作係藉由控制器280來控制。
在第3圖,顯示本實施形態的成膜流程圖,在第4圖、第5圖、第6圖顯示在本實施形態之成膜序列(sequence)中氣體供給時序的圖。在本實施形態的成膜序列,藉由交替地重複:利用對已收容基板的處理容器內,供給含矽的第1原料氣體(HCD氣體)、及含矽的第2原料氣體(DCS氣體),以作為包含作為既定元素的矽之至少2種的原料氣體,而在基板上形成作為含既定元素層的含矽層之製程;及利用對處理容器內供給含氧氣體(O2 氣體)及含氫氣體(H2 氣體)以作為與第1原料氣體及第2原料氣體不同的反應氣體,而將含矽層改質為氧化矽層之製程,來在基板上形成既定膜厚的氧化矽膜。又,第1原料氣體係反應性比第2原料氣體高,在於基板上形成含矽層的製程,使第1原料氣體的供給量比第2原料氣體的供給量少。
在基板上形成含矽層的製程,在CVD反應發生的條件下進行。此時在基板上形成從低於1原子層到數原子層程度之作為含矽層的矽層。含矽層亦可為各原料氣體的吸附層,即,第1原料氣體的吸附層或第2原料氣體的吸附層。在此,矽層係指除了利用矽所構成之連續的層以外,也包含不連續的層或它們重疊所完成的矽薄膜的總稱。又,也有將利用矽所構成之連續層稱為矽薄膜的情況。又,原料氣體的吸附層係指除了原料氣體的氣體分子之連續的化學吸附層以外,也包含不連續的化學吸附層。又,低於1原子層的層係指不連續地予以形成的原子層的意思。在原料氣體會自己分解的條件下,藉由矽堆積在基板上來形成矽層。在原料氣體不會自己分解的條件下,藉由原料氣體吸附在基板上來形成原料氣體的吸附層。又,相較於在基板上形成原料氣體的吸附層,在基板上形成矽層者,能提高成膜速率而較佳。
又,在將含矽層改質成氧化矽層的製程,藉由利用熱使反應氣體活性化而供給,來將含矽層氧化而改質成氧化矽層。此時,於處在低於大氣壓的壓力氣體環境下之處理容器內使作為反應氣體的含氧氣體與含氫氣體反應而生成含氧的氧化種,藉由此氧化種來將含矽層氧化而改質成氧化矽層。利用此氧化處理的話,相較於單獨供給含氧氣體的情況,則能大幅提高氧化力。即,藉由在減壓氣體環境下將含氫氣體添加至含氧氣體,相較於單獨供給含氧氣體的情況可獲得大幅度的氧化力提高效果。將含矽層改質成氧化矽層的製程係在無電漿(non-plasma)的減壓氣體環境下進行。又,作為反應氣體,亦能單獨使用含氧氣體。
(對SiO成膜之應用)
以下,就此具體地說明。又,在本實施形態,係就如下的例子加以說明:使用HCD氣體作為含矽的第1原料氣體,使用DCS氣體作為含矽的第2原料氣體,使用O2 氣體、H2 氣體分別作為當作反應氣體之含氧氣體、含氫氣體,利用第3圖的成膜流程,第4圖、第5圖、第6圖的成膜序列來在基板上形成氧化矽膜(SiO2 膜)作為絕緣膜。
若將複數片晶圓200裝填(wafer charge)至晶舟217,則如第1圖所示,已保持複數片晶圓200的晶舟217,係被晶舟升降梯115抬起而搬入(boat load)處理室201內。在此狀態下,密封蓋219成為透過O型環220b而將歧管209的下側密封的狀態。
以使處理室201內成為所要壓力(真空度)的方式利用真空幫浦246予以真空排氣。此時,處理室201內的壓力係以壓力感測器245測定,根據此所測定的壓力來回饋控制APC閥242(調整壓力)。又,以使處理室201內成為所要溫度的方式利用加熱器207予以加熱。此時,以使處理室201內成為所要溫度分布的方式根據溫度感測器263檢測出的溫度資訊來回饋控制對加熱器207的通電程度(調整溫度)。接下來,藉由利用旋轉機構267旋轉晶舟217來旋轉晶圓200。之後,依序實行後述的4個步驟。
[步驟1]
打開第3氣體供給管232c的閥243e、第3惰性氣體供給管234c的閥243f,將HCD氣體流入第3氣體供給管232c,將惰性氣體(例如N2 氣)流入第3惰性氣體供給管234c。又,打開第4氣體供給管232d的閥243g,將DCS氣體流入第4氣體供給管232d。惰性氣體,係流自第3惰性氣體供給管234c,利用質量流量控制器241f進行流量調整。HCD氣體,係流自第3氣體供給管232c,利用質量流量控制器241e進行流量調整。DCS氣體,係流自第4氣體供給管232d,利用質量流量控制器241g進行流量調整。經調整流量的HCD氣體、經調整流量的DCS氣體、及經調整流量的惰性氣體係在第3氣體供給管232c內混合,從第3噴嘴233c的氣體供給孔248c,供給至經加熱的減壓狀態的處理室201內而從排氣管231排氣(供給HCD及DCS)。
此時,如第4圖、第5圖、第6圖所示,使在步驟1之HCD氣體的供給量比DCS氣體的供給量少。即,將在步驟1之HCD氣體的供給量對DCS氣體的供給量定為微量。又,第4圖、第5圖、第6圖的各自橫軸表示時間,縱軸表示各氣體的供給流量,顯示在各步驟之各氣體的供給狀態的矩形面積,係表示在各步驟之各氣體的供給量。
在步驟1之HCD氣體的供給量對DCS氣體的供給量之比,即HCD供給量/DCS氣體供給量(HCD/DCS)較佳是定為0.03(3%)以上、0.5(50%)以下,更佳是定為0.06(6%)以上、0.5(50%)以下。若將HCD/DCS定為低於3%則DCS朝晶圓表面上的吸附機率、矽的堆積機率變低,所以使得提高成膜速度變難,又,也變得難以確保膜厚均勻性。又,若將HCD/DCS定為低於6%則使得HCD或DCS朝晶圓表面上吸附、矽朝晶圓表面上堆積會難飽和。若使HCD/DCS比50%大則副生成物或粒子變多的可能性(potential)升高。原料成本降低效果也會變小。即,藉由將HCD/DCS定為3%以上、50%以下,便能提高DCS朝晶圓表面上吸附、矽朝晶圓表面上堆積的機率,能增高成膜速度,又,也能使膜厚均勻性提高。進一步地,亦可抑制副生成物的生成或粒子的發生,可大幅降低原料成本。又,藉由將HCD/DCS定為6%以上、50%以下,容易使HCD或DCS朝晶圓表面上吸附、矽朝晶圓表面上堆積飽和,所以能進一步提高成膜速度,又,亦能進一步使膜厚均勻性提高。進一步地,亦可抑制副生成物的生成或粒子的發生,亦可大幅降低原料成本。
此情況,如第4圖所示,亦可以使HCD氣體的供給流量比DCS氣體的供給流量少,同時供給HCD氣體及DCS氣體的方式來進行。即,亦可以使HCD氣體的供給流量比DCS氣體的供給流量少,同時進行HCD氣體及DCS氣體之開始供給及停止供給,使HCD氣體的供給時間及DCS氣體的供給時間相等的方式來進行。在第4圖的供給方法的情況,能使閥243e與閥243g的開關的時序一致,而使閥開關控制變得容易。
又,如第5圖所示,以使HCD氣體的供給流量與DCS氣體的供給流量相等,同時供給HCD氣體及DCS氣體後,先停止供給HCD氣體的方式來進行。即,以使HCD氣體的供給流量與DCS氣體的供給流量相等,同時開始供給HCD氣體及DCS氣體,在停止供給HCD氣體後,仍繼續單獨供給DCS氣體一段既定時間才停止的方式來進行,亦可以使HCD氣體的供給時間比DCS氣體的供給時間短的方式來進行。在第5圖的供給方法的情況,相較於第4圖的供給方法,能將在供給HCD氣體與DCS氣體的初期中HCD氣體的供給量增多,能在供給初期,快速地作出DCS氣體容易吸附於晶圓表面的狀態,能在之後單獨供給DCS氣體時提高DCS氣體朝晶圓表面吸附的效率。
又,如第6圖所示,可以使HCD氣體的供給流量與DCS氣體的供給流量相等,在供給DCS氣體前先供給HCD氣體的方式來進行。即,以使HCD氣體的供給流量與DCS氣體的供給流量相等,在開始供給HCD氣體後,與停止供給HCD氣體同時地開始供給DCS氣體,之後停止供給DCS氣體的方式來進行,亦可以使HCD氣體的供給時間比DCS氣體的供給時間短的方式來進行。在此情況,亦可以開始供給HCD氣體後,不停止供給HCD氣體地開始供給DCS氣體,之後在繼續供給DCS氣體的狀態下,停止供給HCD氣體的方式來進行。在此情況下,亦以使HCD氣體之供給時間比DCS氣體之供給時間短的方式來進行。在第6圖的供給方法的情況,藉由使HCD氣體比DCS氣體先行供給,能在供給DCS氣體前,作出DCS氣體易吸附在晶圓表面的狀態,之後當單獨供給DCS氣體時能提高DCS氣體朝晶圓表面吸附的效率。
又,亦可適宜地組合這些供給方法。例如,亦可以使HCD氣體的供給流量比DCS氣體的供給流量少,進一步地,使HCD氣體的供給時間比DCS的供給時間短的方式來進行。
又,只要是使HCD氣體的供給量比DCS氣體的供給量少的話,則例如,可以使HCD氣體的供給流量比DCS氣體的供給流量少,使HCD氣體的供給時間比DCS氣體的供給時間長之方式來進行,亦可以使HCD氣體的供給流量比DCS氣體的供給流量多,使HCD氣體的供給時間比DCS氣體的供給時間短之方式來進行。
如此一來,藉由使HCD氣體的供給流量比DCS氣體的供給流量少,或使HCD氣體的供給時間比DCS氣體的供給時間短,或者是,使HCD氣體的供給流量比DCS氣體的供給流量少同時使HCD氣體的供給時間比DCS氣體的供給時間短,來使HCD氣體的供給量比DCS氣體的供給量少。
此時,適當正確地調整APC閥242,將處理室201內的壓力維持成低於大氣壓,例如10~1000Pa的範圍內的壓力。以質量流量控制器241e控制之HCD氣體的供給流量,係定為例如1~500sccm範圍內的流量。晶圓200曝露於HCD氣體的時間,係定為例如1~120秒範圍內的時間。以質量流量控制器241g控制之DCS氣體的供給流量,係定為例如1~5000sccm範圍內的流量。晶圓200曝露於DCS氣體的時間,係定為例如1~120秒範圍內的時間。加熱器207的溫度,係以成為在處理室201內使CVD反應發生的溫度的方式設定。即,以使晶圓200的溫度成為例如350~850℃,較佳為400~700℃範圍內的溫度的方式設定加熱器207的溫度。又,若使晶圓200的溫度成為低於350℃,則會使HCD或DCS變得難以吸附在晶圓200上,而使HCD或DCS變得難以分解。又,若使晶圓200的溫度成為低於400℃,則成膜速率會降到實用水準下。又,若晶圓200的溫度超過700℃,尤其是850℃,則CVD反應變強,均勻性變得容易惡化。因此,晶圓200的溫度可定為350~850℃,較佳為400~700℃。
藉由利用上述的條件將HCD氣體及DCS氣體供給至處理室201內,在晶圓200(表面的基底膜)上形成低於1原子層至數原子層之作為含矽層的矽層(Si層)。含矽層亦可為HCD氣體的化學吸附層或DCS氣體的化學吸附層。又,在HCD氣體或DCS氣體會自己分解的條件下,藉由矽堆積在晶圓200上來形成矽層。在HCD氣體或DCS氣體不會自己分解的條件下,藉由HCD氣體或DCS氣體化學吸附在晶圓200上來形成HCD氣體或DCS氣體的化學吸附層。若在晶圓200上所形成的含矽層厚度超過數原子層,則在後述的步驟3的氧化作用會變得無法到達整體含矽層。又,可形成在晶圓200上的含矽層的最小值低於1原子層。因此,含矽層的厚度較佳地定為低於1原子層至數原子層。
作為含矽之第1原料,除了HCD等無機原料以外,亦可使用胺基矽烷系的4DMAS(肆二甲基胺基矽烷,Si[N(CH3 )2 ]4 )、3DMAS(參二甲基胺基矽烷,Si[N(CH3 )2 ]3 H)、2DEAS(雙二乙基胺基矽烷,Si[N(C2 H5 )2 ]2 H2 )、BTBAS(雙三級丁基胺基矽烷,SiH2 [NH(C4 H9 )]2 )等有機原料。又,作為含矽的第2原料,除了DCS以外,亦可使用TCS(四氯矽烷,SiCl4 )、SiH4 (單矽烷)、Si2 H6 (二矽烷)等無機原料。
作為惰性氣體,除了N2 氣體以外,亦可使用Ar、He、Ne、Xe等稀有氣體。又,能藉由使用不含氮(N)的氣體之Ar或He等稀有氣體來作為惰性氣體,來減低所形成之氧化矽膜的膜中N不純物濃度。因此,較佳為使用Ar、He等稀有氣體作為惰性氣體。在後述的步驟2、3、4中也可說是同樣的。
[步驟2]
在晶圓200上形成含矽層後,關閉第3氣體供給管232c的閥243e,停止供給HCD氣體。又,關閉第4氣體供給管232d的閥243g,停止供給DCS氣體。此時,使排氣管231的APC閥242在打開的狀態下,利用真空幫浦246來將處理室201內真空排氣,將殘留的HCD氣體或DCS氣體從處理室201內排除。此時,若將惰性氣體供給至處理室201內,則將殘留的HCD氣體或DCS氣體排除的效果進一步升高(除去殘留氣體)。此時的加熱器207的溫度,係設定為使晶圓200的溫度成為與供給HCD氣體及DCS氣體時相同的350~850℃,較佳為400~700℃範圍內的溫度。
[步驟3]
除去處理室201內的殘留氣體後,打開第1氣體供給管232a的閥243a、第1惰性氣體供給管234a的閥243c,O2 氣體流入第1氣體供給管232a,惰性氣體流入第1惰性氣體供給管234a。惰性氣體,流自第1惰性氣體供給管234a,利用質量流量控制器241c予以流量調整。O2 氣體流自第1氣體供給管232a,利用質量流量控制器241a予以流量調整。經流量調整的O2 氣體,係在第1氣體供給管232a內與經流量調整的惰性氣體混合,從第1噴嘴233a的氣體供給孔248a,供給至經加熱的減壓狀態的處理室201內而從排氣管231排氣。
與此同時,打開第2氣體供給管232b的閥243b、第2惰性氣體供給管234b的閥243d,H2 氣體流入第2氣體供給管232b,惰性氣體流入第2惰性氣體供給管234b。惰性氣體,流自第2惰性氣體供給管234b,利用質量流量控制器241d予以流量調整。H2 氣體流自第2氣體供給管232b,利用質量流量控制器241b予以流量調整。經流量調整的H2 氣體,係在第2氣體供給管232b內與經流量調整的惰性氣體混合,從第2噴嘴233b的氣體供給孔248b,供給至經加熱的減壓狀態的處理室201內而從排氣管231排氣(供給O2 及H2 )。又,O2 氣體及H2 氣體係不以電漿活性化地供給至處理室201內。
此時,適當正確地調整APC閥242,將處理室201內的壓力維持為低於大氣壓,例如1~1000Pa範圍內的壓力。以質量流量控制器241a控制的O2 氣體的供給流量,係定為例如1sccm~20000sccm(20slm)範圍內的流量。以質量流量控制器241b控制的H2 氣體的供給流量,係定為例如1sccm~20000sccm(20slm)範圍內的流量。又,晶圓200曝露於O2 氣體及H2 氣體的時間,定為例如1~120秒範圍內的時間。加熱器207的溫度,係以使晶圓200的溫度成為例如350~1000℃範圍內的溫度的方式設定。又,確認了只要是此範圍內的溫度的話,便可獲得在減壓氣體環境下利用將H2 氣體添加至O2 氣體之提高氧化力的效果。又,也確認了若晶圓200的溫度過低則無法獲得提高氧化力的效果。但是若考慮產能(throughput),則較佳為以使晶圓200的溫度成為可獲得提高氧化力的效果之溫度、與步驟1之供給HCD氣體時相同的溫度的方式,即以在步驟1及步驟3將處理室201內的溫度保持在相同溫度的方式來設定加熱器207的溫度。在此情況,以使在步驟1及步驟3晶圓200的溫度,即處理室201內的溫度成為350~850℃,較佳為400~700℃範圍內之一定溫度的方式來設定加熱器207的溫度。進一步地,更佳為以涵蓋步驟1~步驟4(後述)地將處理室201內的溫度保持在相同溫度的方式來設定加熱器207的溫度。在此情況,以涵蓋步驟1~步驟4(後述)地使處理室201內的溫度成為350~850℃,較佳為400~700℃範圍內之一定溫度的方式來設定加熱器207的溫度。又,就在減壓氣體環境下獲得利用將H2 氣體添加至O2 氣體所產生之提高氧化力的效果而言,必須將處理室201內的溫度定為350℃以上,較佳是處理室201內的溫度定為400℃以上,更佳是定為450℃以上。將處理室201內的溫度定為400℃以上的話,便能獲得超過由在400℃以上溫度下進行之O3 氧化處理所產生的氧化力的氧化力,將處理室201內的溫度定為450℃以上的話,便能獲得超過由在450℃以上溫度下進行之O2 電漿氧化處理所產生的氧化力的氧化力。
藉由以上述條件將O2 氣體及H2 氣體供給至處理室201內,O2 氣體及H2 氣體係在經加熱的減壓氣體環境下被無電漿活性化而反應,藉此生成包含原子狀氧等的O的氧化種。然後,主要藉由此氧化種,對已在步驟1形成在晶圓200上的含矽層進行氧化處理。然後,藉由此氧化處理,含矽層被改質為氧化矽層(SiO2 層,以下亦簡稱為SiO層)。
作為含氧氣體,除了氧(O2 )氣以外,也可使用臭氧(O3 )氣體等。又,在上述的溫度帶,嘗試添加含氫氣體至一氧化氮(NO)氣體或亞氧化氮(N2 O)氣體的效果,確認了相較於單獨供給NO氣體或單獨供給N2 O氣體並無法獲得提高氧化力的效果。即,作為含氧氣體,較佳為使用不含氮的含氧氣體(不含氮之含氧的氣體)。作為含氫氣體,除了氫(H2 )氣以外,也可使用氘(D2 )氣等。又,若使用氨(NH3 )氣或甲烷(CH4 )氣體等,則考量氮(N)不純物或碳(C)不純物混入膜中。即,作為含氫氣體,較佳為使用不含其他元素的含氫氣體(不含其他元素之含氫或氘的氣體)。即,作為含氧氣體,能使用選自由O2 氣體及O3 氣體所構成的群組之至少一個氣體,作為含氫氣體,能使用選自由H2 氣體及D2 氣體所構成的群組之至少一個氣體。
[步驟4]
將含矽層改質成氧化矽層後,關閉第1氣體供給管232a的閥243a,停止供給O2 氣體。又,關閉第2氣體供給管232b的閥243b,停止供給H2 氣體。此時,使排氣管231的APC閥242在打開的狀態下,利用真空幫浦246來將處理室201內真空排氣,將殘留的O2 氣體或H2 氣體從處理室201內排除。此時,若將惰性氣體供給至處理室201內,則將殘留的O2 氣體或H2 氣體排除的效果會進一步提高(除去殘留氣體)。此時的加熱器207的溫度,係設定為使晶圓200的溫度成為與供給O2 氣體及H2 氣體時相同的350~850℃,較佳為400~700℃範圍內的溫度之溫度。
以上述步驟1~4為1個循環,藉由重複此循環複數次,能在晶圓200上形成既定膜厚的氧化矽膜(SiO2 膜,以下亦簡稱為SiO膜)。
若形成既定膜厚的氧化矽膜,則藉由將惰性氣體供給、排氣至處理室201內來以惰性氣體沖洗處理室201內(沖洗(purge))。之後,處理室201內的氣體環境被惰性氣體取代,處理室201內的壓力回歸為常壓(回歸大氣壓)。
之後,利用晶舟升降梯115來降下密封蓋219,將歧管209的下端開口,同時處理完畢的晶圓200係在保持於晶舟217的狀態下從歧管209的下端搬出(卸載晶舟(boat unload))至製程管203的外部。之後,從晶舟217取出處理完畢的晶圓200(排出晶圓(wafer discharge))。
在上述的步驟1,藉由將HCD氣體的供給量定為比DCS氣體的供給量還微量,同時或依序供給比DCS氣體貴但培養期時間短的HCD氣體、及比HCD氣體便宜但培養期時間長的DCS氣體,則即使是在低溫區域成膜的情況下,亦可提升成膜速率、縮短處理時間。即使是在單獨使用DCS氣體的情況下不能成膜的低溫區域中亦可進行成膜。又,即使在比過去還低溫的區域亦可進行成膜。又,相較於單獨使用HCD氣體的情況,能不會使成膜控制性劣化地,大幅抑制原料成本,可大幅降低半導體裝置的生產成本。
在上述的步驟3,在經加熱的減壓氣體環境下使O2 氣體及H2 氣體反應而生成包含原子狀氧等之O的氧化種,使用此氧化種,進行將含矽層改質成氧化矽層的改質製程,藉此,氧化種持有的能量會將含矽層中所包含的Si-N、Si-Cl、Si-H、Si-C鍵結切斷。用於形成Si-O鍵結的能量比Si-N、Si-Cl、Si-H、Si-C的鍵結能量還高,所以利用將形成Si-O鍵結所須的能量賦予氧化處理對象的含矽層,便可將含矽層中的Si-N、Si-Cl、Si-H、Si-C鍵結切斷。經切斷與Si的鍵結的N、H、Cl、C被從膜中除去,而作為N2 、H2 、Cl2 、HCl、CO2 等予以排出。又,因與N、H、Cl、C的鍵結被切斷而空出來的Si鍵結手,會與氧化種所包含的O連結而被改質成SiO2 層。利用本實施形態的成膜序列形成的SiO2 膜之膜中氮、氫、氯、碳濃度極低,Si/O比率極接近化學計量組成的0.5,確認了成為良質的膜。
又,比較此步驟3的氧化處理、O2 電漿氧化處理、及O3 氧化處理,確認了在450℃以上、850℃以下之低溫氣體環境下,此步驟3的氧化處理的氧化力是最強的力。正確地說,係確認了在400℃以上、850℃以下,由步驟3的氧化處理所產生的氧化力超過由O3 氧化處理所產生的氧化力,在450℃以上、850℃以下,由步驟3的氧化處理所產生的氧化力超過由O3 氧化處理及O2 電漿氧化處理所產生的氧化力。藉此,清楚瞭解了此步驟3的氧化處理在如此的低溫氣體環境下是非常有效的。又,在O2 電漿氧化處理的情況,必須有電漿產生器,在O3 氧化處理的情況,必須有臭氧產生器,但利用此步驟3的氧化處理的話,便不須要它們,而有能降低裝置成本等之優點。但是,在本實施形態中,也可選擇使用O3 或O2 電漿作為含氧氣體,並未否定使用這些氣體。藉由將含氫氣體添加至O3 或O2 電漿,能生成能量更高的氧化種,藉由利用此氧化種進行氧化處理,亦可認為有裝置特性提高等效果。
又,確認了利用本實施形態之成膜序列來形成氧化矽膜的話,便使成膜速率、晶圓面內的膜厚均勻性成為比利用一般的CVD法所形成氧化矽膜的情況還良好者。又,一般的CVD法,係指同時供給無機原料的DCS及N2 O而以CVD法形成氧化矽膜(HTO(High Temperature Oxide)膜)的方法。又,確認了利用本實施形態的成膜序列所形成的氧化矽膜的膜中的氮、氯等不純物濃度,遠低於利用一般的CVD法所形成的氧化矽膜。又,確認了利用本實施形態的成膜序列所形成的氧化矽膜的膜中的不純物濃度,遠低於使用有機系矽原料、利用CVD法所形成的氧化矽膜。又,確認了利用本實施形態的成膜序列的話,則即使是在使用有機系矽原料的情況下,成膜速率、晶圓面內的膜厚均勻性、膜中的不純物濃度也會成為良好者。
<本發明的其他實施形態>
在上述的實施形態,係就作為含氫氣體的H2 氣體,如第4圖、第5圖、第6圖所示般間斷地,即,只在步驟3中供給的例子加以說明,但亦可以連續地,即,重複步驟1~4的期間中,一直持續供給的方式來進行。又,即使是在間斷地供給H2 氣體的情況,亦可以只在步驟1及3中供給的方式來進行,亦可以涵蓋步驟1~3地供給的方式來進行。又,亦可以涵蓋步驟2~3地供給的方式來進行,亦可以涵蓋步驟3~4地供給的方式來進行。
考量藉由在步驟1中,即當供給HCD氣體及DCS氣體時供給H2 氣體,將HCD氣體及DCS氣體中的Cl拔除,而考量有提高成膜速率、降低膜中Cl不純物的效果。又,考量藉由在步驟2中,即在停止供給HCD氣體及DCS氣體後比O2 氣體先開始供給H2 氣體,而有效控制膜厚均勻性。又,考量藉由在步驟2中,即比O2 氣體先開始供給H2 氣體,成為能對例如金屬及矽露出的部分,選擇性地對矽形成氧化膜。又,考量藉由在步驟4中,即在停止供給O2 氣體後、在開始供給HCD氣體及DCS氣體前,供給H2 氣體,能使在步驟3所形成的SiO層表面成為氫封端(hydrogen termination)而使其改質,使得在接下來的步驟1中供給之HCD氣體及DCS氣體變得容易吸附在SiO層表面。
又,在上述的本實施形態,係就藉由交替地重複:利用對基板供給包含矽之至少2種原料氣體(HCD氣體、DCS氣體),而在基板上形成含矽層之製程;及利用對基板供給反應氣體(O2 氣體、H2 氣體)而將含矽層改質成氧化矽層之製程,來在基板上形成既定膜厚的氧化矽膜(SiO膜)的例子加以說明,但是本發明並非限定於上述的實施形態,可在不脫離其主旨的範圍下進行各種變更。
(對SiN成膜之應用)
例如本發明,亦能藉由將含矽層改質成氮化矽層來取代改質成氧化矽層,而應用至在基板上形成既定膜厚的氮化矽膜的情況(SiN成膜)。此情況,使用含氮氣體作為反應氣體。作為含氮氣體係使用例如NH3 氣體。此情況之成膜流程與上述實施形態之成膜流程只是步驟3、4不同,其他則與上述實施形態之成膜流程相同。以下,就將本發明應用於SiN成膜的情況的成膜序列加以說明。
第9圖係顯示將本發明應用於SiN成膜之情況的成膜序列之氣體供給時序的圖。在本成膜序列,藉由交替地重複:利用將作為含矽之至少2種原料氣體之含矽的第1原料氣體(HCD氣體)、及含矽的第2原料氣體(DCS氣體)供給至已收容基板的處理容器內,而在基板上形成含矽層之製程;及利用將作為與第1原料氣體及第2原料氣體不同的反應氣體之含氮氣體(NH3 氣體)供給至處理容器內,而將含矽層改質成氮化矽層之製程,來在基板上形成既定膜厚的氮化矽膜。又,第1原料氣體係反應性比第2原料氣體高,在基板上形成含矽層的製程,係使第1原料氣體的供給量比第2原料氣體的供給量少。又,在第9圖,係顯示如下的例子:以在基板上形成含矽層的製程中同時供給HCD氣體及DCS氣體後,先停止供給HCD氣體,繼續單獨供給DCS氣體一段既定時間後才停止的方式進行,使HCD氣體的供給時間比DCS氣體的供給時間短。在本案例,裝填晶圓、搬入晶舟、調整壓力、調整溫度、步驟1、步驟2、沖洗、回歸大氣壓、卸載晶舟、排出晶圓係與上述實施形態中之成膜流程同樣地進行。步驟3、4係如以下般進行。
[步驟3]
除去處理室201內的殘留氣體後,打開第2氣體供給管232b的閥243b、第2惰性氣體供給管234b的閥243d,NH3 氣體流入第2氣體供給管232b,惰性氣體流入第2惰性氣體供給管234b。惰性氣體,流自第2惰性氣體供給管234b,利用質量流量控制器241d予以流量調整。NH3 氣體流自第2氣體供給管232b,利用質量流量控制器241b予以流量調整。經流量調整的NH3 氣體,係在第2氣體供給管232b內與經流量調整的惰性氣體混合,從第2噴嘴233b的氣體供給孔248b,供給至經加熱的減壓狀態的處理室201內而從排氣管231排氣(供給NH3 )。又,NH3 氣體係不以電漿活性化地供給至處理室201內。
此時,適當正確地調整APC閥242,將處理室201內的壓力維持為低於大氣壓,例如10~3000Pa範圍內的壓力。以質量流量控制器241b控制的NH3 氣體的供給流量,係定為例如10sccm~10000sccm(10slm)範圍內的流量。又,晶圓200曝露於NH3 氣體的時間,定為例如1~120秒範圍內的時間。加熱器207的溫度,係以使晶圓200的溫度成為例如350~850℃,較佳為400~700℃範圍內的溫度的方式設定。NH3 氣體係反應溫度高,在如上述的晶圓溫度下難以進行反應,所以藉由將處理室201內的壓力作成如上述的比較高的壓力而可熱活性化。又,NH3 氣體,相較於以電漿使其活性化,以熱使其活性化來供給者,能使軟性反應產生,能軟性地進行後述的氮化。
此時,流入處理室201內的氣體係已在無電漿下予以熱活性化的NH3 氣體,HCD氣體及DCS氣體都不會流入處理室201內。因此,NH3 氣體不會引起氣相反應,經活性化的NH3 氣體係與在步驟1形成在晶圓200上的含矽層反應。藉此,含矽層被氮化,被改質成氮化矽層(Si3 N4 層,以下亦簡稱為SiN層)。
作為含氮氣體,除了NH3 氣體以外,亦可使用N2 H4 氣體或N3 H8 氣體等。
[步驟4]
將含矽層改質成氮化矽層後,關閉第2氣體供給管232b的閥243b,停止供給NH3 氣體。此時,使排氣管231的APC閥242在打開的狀態下,利用真空幫浦246來將處理室201內真空排氣,將殘留的NH3 氣體從處理室201內排除。此時,若將惰性氣體供給至處理室201內,則將殘留的NH3 氣體排除的效果會進一步提高(除去殘留氣體)。此時的加熱器207的溫度,係設定為使晶圓200的溫度成為與供給NH3 氣體時相同的350~850℃,較佳為400~700℃範圍內的溫度。
以上述步驟1~4為1個循環,藉由重複複數次此循環,能在晶圓200上形成既定膜厚的氮化矽膜(Si3 N4 膜,以下亦簡稱為SiN膜)。
(對SiON成膜之應用)
又例如本發明,亦能藉由將含矽層改質成氮氧化矽層來取代改質成氧化矽層,而應用至在基板上形成既定膜厚的氮氧化矽膜的情況(SiON成膜)。此情況,作為反應氣體係使用含氮氣體及含氧氣體。作為含氮氣體係使用例如NH3 氣體。作為含氧氣體係使用例如O2 氣體。此情況之成膜流程與上述實施形態之成膜流程只是步驟3、4不同,其他則與上述實施形態之成膜流程相同。以下,就將本發明應用於SiON成膜的情況的成膜序列加以說明。
第10圖係顯示將本發明應用於SiON成膜之情況的成膜序列之氣體供給時序的圖。在本成膜序列,藉由交替地重複:利用將作為含矽之至少2種原料氣體之含矽的第1原料氣體(HCD氣體)、及含矽的第2原料氣體(DCS氣體)供給至已收容基板的處理容器內,而在基板上形成含矽層之製程;利用將作為與第1原料氣體及第2原料氣體不同的反應氣體之含氮氣體(NH3 氣體)供給至處理容器內,而將含矽層改質成氮化矽層之製程;及利用將作為與第1原料氣體及第2原料氣體不同的反應氣體之含氧氣體(O2 氣體)供給至處理容器內,而將氮化矽層改質成氮氧化矽層之製程,來在基板上形成既定膜厚的氮氧化矽膜。又,第1原料氣體係反應性比第2原料氣體高,在基板上形成含矽層的製程,係使第1原料氣體的供給量比第2原料氣體的供給量少。又,在第10圖,係顯示如下的例子:以在基板上形成含矽膜的製程中同時供給HCD氣體及DCS氣體後,先停止供給HCD氣體,繼續單獨供給DCS氣體一段既定時間後才停止的方式進行,使HCD氣體的供給時間比DCS氣體的供給時間短。在本案例,裝填晶圓、搬入晶舟、調整壓力、調整溫度、步驟1、步驟2、沖洗、回歸大氣壓、卸載晶舟、排出晶圓係與上述實施形態中之成膜流程同樣地進行。步驟3、4係取代為以下的步驟3、4、5、6進行。
[步驟3]
步驟3係與上述之對SiN成膜之應用的步驟3同樣地進行。
[步驟4]
步驟4係與上述之對SiN成膜之應用的步驟4同樣地進行。
[步驟5]
除去處理室201內的殘留氣體後,打開第1氣體供給管232a的閥243a、第1惰性氣體供給管234a的閥243c,O2 氣體流入第1氣體供給管232a,惰性氣體流入第1惰性氣體供給管234a。惰性氣體,流自第1惰性氣體供給管234a,利用質量流量控制器241c予以流量調整。O2 氣體流自第1氣體供給管232a,利用質量流量控制器241a予以流量調整。經流量調整的O2 氣體,係在第1氣體供給管232a內與經流量調整的惰性氣體混合,從第1噴嘴233a的氣體供給孔248a,供給至經加熱的減壓狀態的處理室201內而從排氣管231排氣。
此時,適當正確地調整APC閥242,將處理室201內的壓力維持為低於大氣壓,例如1~1000Pa範圍內的壓力。以質量流量控制器241a控制的O2 氣體的供給流量,係定為例如1sccm~20000sccm(20slm)範圍內的流量。又,晶圓200曝露於O2 氣體的時間,定為例如1~120秒範圍內的時間。加熱器207的溫度,係以使晶圓200的溫度成為例如350~850℃,較佳為400~700℃範圍內的溫度的方式設定。O2 氣體,相較於以電漿使其活性化,以熱使其活性化者,其活性化量被抑制,能抑制SiN層的氧化量,能使軟性反應產生。
此時,流入處理室201內的氣體係在無電漿下已使其熱活性化的O2 氣體,HCD氣體、DCS氣體及NH3 氣體都不會流入處理室201內。因此,O2 氣體不會引起氣相反應,經活性化的O2 氣體係與在步驟3形成在晶圓200上的SiN層反應。藉此,SiN層被氧化,被改質成氮氧化矽層(SiON層)。
作為含氧氣體,除了O2 氣體以外,也可使用O3 氣體、NO氣體、N2 O4 氣體、N2 O氣體、H2 O氣體等。又,亦可如在上述的實施形態中的步驟3般,以使用將含氫氣體添加至含氧氣體的氣體之方式來進行。
[步驟6]
將SiN層改質成氮氧化矽層後,關閉第1氣體供給管232a的閥243a,停止供給O2 氣體。此時,使排氣管231的APC閥242在打開的狀態下,利用真空幫浦246來將處理室201內真空排氣,將殘留的O2 氣體從處理室201內排除。此時,若將惰性氣體供給至處理室201內,則將殘留的O2 氣體排除的效果會進一步提高(除去殘留氣體)。此時的加熱器207的溫度,係設定為使晶圓200的溫度成為與供給O2 氣體時相同的350~850℃,較佳為400~700℃範圍內的溫度。
以上述步驟1~6為1個循環,藉由重複此循環複數次,能在晶圓200上形成既定膜厚的氮氧化矽膜。
又,在上述之對SiON成膜之應用,係就藉由交替地重複:利用對基板供給含矽的至少2種原料氣體(HCD氣體、DCS氣體),而在基板上形成含矽層之製程(步驟1);利用對基板供給反應氣體(NH3 氣體),而將含矽層改質成氮化矽層之製程(步驟3);及利用對基板供給反應氣體(O2 氣體),而將氮化矽層改質成氮氧化矽層之製程(步驟5),來在基板上形成既定膜厚的氮氧化矽膜的例子加以說明,但亦可交換步驟3(及4)及步驟5(6)。即,藉由交替地重複:利用對基板供給含矽的至少2種原料氣體(HCD氣體、DCS氣體),而在基板上形成含矽層之製程(步驟1);利用對基板供給反應氣體(O2 氣體),而將含矽層改質成氧化矽層之製程(步驟5);及利用對基板供給反應氣體(NH3 氣體),而將氧化矽層改質成氮氧化矽層之製程(步驟3),來在基板上形成既定膜厚的氮氧化矽膜。
又,在上述的實施形態,係就使用含矽的第1原料氣體(HCD氣體)、及含矽的第2原料氣體(DCS氣體)來作為包含作為既定元素之矽的至少2種原料氣體的例子加以說明,但亦可以使用含矽之3種以上的原料氣體的方式來進行。例如,亦可以使用含矽的第1原料氣體(HCD氣體)、含矽的第2原料氣體(DCS氣體)、及含矽的第3原料氣體(SiCl4 氣體(以下稱為TCS氣體))的方式來進行。在此情況,在第1原料氣體(HCD氣體)的反應性比第2原料氣體(DCS氣體)高,第2原料氣體(DCS氣體)的反應性比第3原料氣體(TCS氣體)高,在基板上形成含矽層的製程,係使第1原料氣體的供給量比第2原料氣體的供給量少,同時比第3原料氣體的供給量少。即,使反應性最高的原料氣體的供給量比其他原料氣體的供給量少。藉此,可獲得與上述實施形態同樣的作用。
(對氧化金屬膜、氮化金屬膜或氮氧化金屬膜的成膜之應用)
又本發明,亦能藉由使用包含作為既定元素之鈦(Ti)、鋯(Zr)、鉿(Hf)、鋁(Al)等金屬元素的原料氣體來取代使用包含作為既定元素之矽(Si)等半導體元素的原料氣體,而應用於在基板上形成既定膜厚的氧化金屬膜、氮化金屬膜或氮氧化金屬膜的情況。
例如,在形成氧化金屬膜的情況,係藉由交替地重複:利用將作為包含作為既定元素的金屬元素之至少2種原料氣體之含金屬元素的第1原料氣體、及含金屬元素的第2原料氣體供給至已收容基板的處理容器內,而在基板上形成作為含既定元素層之含金屬層之製程;及利用將作為與第1原料氣體及第2原料氣體不同的反應氣體之含氧氣體,或者是,含氧氣體及含氫氣體供給至處理容器內,而將含金屬層改質成氧化金屬層之製程,來在基板上形成既定膜厚的氧化金屬膜。
又例如,在形成氮化金屬膜的情況,係藉由交替地重複:利用將作為包含作為既定元素的金屬元素之至少2種原料氣體之含金屬元素的第1原料氣體、及含金屬元素的第2原料氣體供給至已收容基板的處理容器內,而在基板上形成作為含金屬層之製程;及利用將作為與第1原料氣體及第2原料氣體不同的反應氣體之含氮氣體供給至處理容器內,而將含金屬層改質成氮化金屬層之製程,來在基板上形成既定膜厚的氮化金屬膜。
又例如,在形成氮氧化金屬膜的情況,係藉由交替地重複:利用將作為包含作為既定元素的金屬元素之至少2種原料氣體之含金屬元素的第1原料氣體、及含金屬元素的第2原料氣體供給至已收容基板的處理容器內,而在基板上形成含金屬層之製程;利用將作為與第1原料氣體及第2原料氣體不同的反應氣體之含氮氣體供給至處理容器內,而將含金屬層改質成氮化金屬層之製程;及利用將作為與第1原料氣體及第2原料氣體不同的反應氣體之含氧氣體,或者是,含氧氣體及含氫氣體供給至處理容器內,而將氮化金屬層改質成氮氧化金屬層之製程,來在基板上形成既定膜厚的氮氧化金屬膜。
又,不論是那種情況,第1原料氣體的反應性皆比第2原料氣體高,在基板上形成含金屬層的製程,使第1原料氣體的供給量比第2原料氣體的供給量少。即,藉由使第1原料氣體的供給流量比第2原料氣體的供給流量少,或使第1原料氣體的供給時間比第2原料氣體的供給時間短,或者是,使第1原料氣體的供給流量比第2原料氣體的供給流量少,同時使第1原料氣體的供給時間比第2原料氣體的供給時間短,來使第1原料氣體的供給量比第2原料氣體少。
例如,在使用含鈦的原料氣體作為含金屬元素的原料氣體,在基板上分別形成氧化鈦膜(TiO2 膜)、氮化鈦膜(TiN膜)、或氮氧化鈦膜(TiON膜)作為既定膜厚的氧化金屬膜、氮化金屬膜、或氮氧化金屬膜的情況,分別使用例如TDMAT(肆二甲基胺基鈦,Ti[N(CH3 )2 ]4 )氣體、TiCl4 (四氯化鈦)氣體作為含鈦的第1原料氣體、含鈦的第2原料氣體。作為含氧氣體、含氫氣體、含氮氣體,能分別使用在上述實施形態中例示的氣體。又,在這些情況,將處理溫度(基板溫度)定為例如100~500℃範圍內的溫度,將處理壓力(處理室內壓力)定為例如1~3000Pa範圍內的壓力,將TDMAT氣體的供給流量定為例如1~500sccm範圍內的流量,將TiCl4 氣體的供給流量定為例如1~5000sccm範圍內的流量。含氧氣體、含氫氣體、含氮氣體的供給流量係定為在上述的實施形態中分別例示的範圍內的流量。又,TDMAT氣體的反應性比TiCl4 氣體高,即熱分解溫度比TiCl4 氣體低,在同樣的條件(condition)下比TiCl4 氣體容易吸附至基板上。在基板上形成含鈦層作為含金屬層的製程,使TDMAT氣體的供給量比TiCl4 氣體的供給量少。
又例如,在使用含鋯的原料氣體作為含金屬元素的原料氣體,在基板上分別形成氧化鋯膜(ZrO2 膜)、氮化鋯膜(ZrN膜)、或氮氧化鋯膜(ZrON膜)作為既定膜厚的氧化金屬膜、氮化金屬膜、或氮氧化金屬膜的情況,分別使用例如TEMAZ(肆乙基甲基胺基鋯,Zr[N(CH3 )C2 H5 ]4 )氣體、ZrCl4 (四氯化鋯)氣體作為含鋯的第1原料氣體、含鋯的第2原料氣體。作為含氧氣體、含氫氣體、含氮氣體,能分別使用在上述實施形態中例示的氣體。又,在這些情況,將處理溫度(基板溫度)定為例如100~400℃範圍內的溫度,將處理壓力(處理室內壓力)定為例如1~1000Pa範圍內的壓力,將TEMAZ氣體的供給流量定為例如1~500sccm範圍內的流量,將ZrCl4 氣體的供給流量定為例如1~5000sccm範圍內的流量。含氧氣體、含氫氣體、含氮氣體的供給流量係定為在上述的實施形態中分別例示的範圍內的流量。又,TEMAZ氣體的反應性比ZrCl4 氣體高,即熱分解溫度比ZrCl4 氣體低,在同樣的條件下比ZrCl4 氣體容易吸附至基板上。在基板上形成含鋯層作為含金屬層的製程,使TEMAZ氣體的供給量比ZrCl4 氣體的供給量少。
又例如,在使用含鉿的原料氣體作為含金屬元素的原料氣體,在基板上分別形成氧化鉿膜(HfO2 膜)、氮化鉿膜(HfN膜)、或氮氧化鉿膜(HfON膜)作為既定膜厚的氧化金屬膜、氮化金屬膜、或氮氧化金屬膜的情況,分別使用例如TEMAH(肆乙基甲基胺基鉿,Hf[N(CH3 )C2 H5 ]4 )氣體、HfCl4 (四氯化鉿)氣體作為含鉿的第1原料氣體、含鉿的第2原料氣體。作為含氧氣體、含氫氣體、含氮氣體,能分別使用在上述實施形態中例示的氣體。又,在這些情況,將處理溫度(基板溫度)定為例如100~400℃範圍內的溫度,將處理壓力(處理室內壓力)定為例如1~1000Pa範圍內的壓力,將TEMAH氣體的供給流量定為例如1~500sccm範圍內的流量,將HfCl4 氣體的供給流量定為1~5000sccm範圍內的流量。含氧氣體、含氫氣體、含氮氣體的供給流量係定為在上述的實施形態中分別例示的範圍內的流量。又,TEMAH氣體的反應性比HfCl4 氣體高,即熱分解溫度比HfCl4 氣體低,在同樣的條件下比HfCl4 氣體容易吸附至基板上。在基板上形成含鉿層作為含金屬層的製程,使TEMAH氣體的供給量比HfCl4 氣體的供給量少。
又例如,在使用含鋁的原料氣體作為含金屬元素的原料氣體,在基板上分別形成氧化鋁膜(Al2 O3 膜)、氮化鋁膜(AlN膜)、或氮氧化鋁膜(AlON膜)作為既定膜厚的氧化金屬膜、氮化金屬膜、或氮氧化金屬膜的情況,分別使用例如TMA(三甲基鋁,Al(CH3 )3 )氣體、AlCl3 (三氯化鋁)氣體作為含鋁的第1原料氣體、含鋁的第2原料氣體。作為含氧氣體、含氫氣體、含氮氣體,能分別使用在上述實施形態中例示的氣體。又,在這些情況,將處理溫度(基板溫度)定為例如100~400℃範圍內的溫度,將處理壓力(處理室內壓力)定為例如1~1000Pa範圍內的壓力,將TMA氣體的供給流量定為1~500sccm範圍內的流量,將AlCl3 氣體的供給流量定為1~5000sccm範圍內的流量。含氧氣體、含氫氣體、含氮氣體的供給流量係定為在上述的實施形態中分別例示的範圍內的流量。又,TMA氣體的反應性比AlCl3 氣體高,即熱分解溫度比AlCl3 氣體低,在同樣的條件下比AlCl3 氣體容易吸附至基板上。在基板上形成含鋁層作為含金屬層的製程,使TMA氣體的供給量比AlCl3 氣體的供給量少。
[實施例]
藉由本實施形態的第4圖的成膜序列來在晶圓上形成氧化矽膜,測定成膜速度(SiO成膜速度)及晶圓面內膜厚均勻性(SiO膜厚均勻性)。HCD流量、DCS流量以外的成膜條件(在各步驟的處理條件),係定為在上述實施形態記載的處理條件範圍內的條件。DCS流量係固定為1~2slm範圍內之某流量,使HCD流量在0~0.2slm範圍內變化,使HCD/DCS流量比依(A)0%、(B)3%、(C)6%、(D)10%之4項變化。以下,將它們分別稱為流量條件(A)、流量條件(B)、流量條件(C)、流量條件(D)。又,流量條件(A)的HCD/DCS流量比:0%,係表示不將HCD添加至DCS的案例(單獨供給DCS的案例)。又,在本實施形態,HCD的供給時間及DCS的供給時間相同,所以使HCD/DCS流量比成為與HCD氣體的供給量對DCS氣體的供給量的比(HCD/DCS)相同的值。
將其結果顯示在第11圖、第12圖。第11圖、第12圖分別顯示HCD/DCS流量比與SiO成膜速度的關係、HCD/DCS流量比與SiO膜厚均勻性的關係。第11圖、第12圖的橫軸皆表示HCD/DCS流量比(%),第11圖的縱軸表示SiO成膜速度(任意單位(a.u.)),第12圖的縱軸表示SiO膜厚均勻性(a.u.)。又,在第11圖,係將成膜速度表示為:將當以比流量條件(D)之HCD流量還大的流量,單獨供給HCD而形成氧化矽膜時之成膜速度定為1(基準)的情況之成膜速度比率。又,在第12圖,係將膜厚均勻性表示為:將當以比流量條件(D)之HCD流量還大的流量,單獨供給HCD而形成氧化矽膜時之膜厚均勻性定為1(基準)的情況之膜厚均勻性比率。又,膜厚均勻性,係顯示在基板面內之膜厚分布的變異程度,該值越小則表示在基板面內之膜厚均勻性越好。
由第11圖,可發現隨著HCD/DCS流量比的增加,即,HCD添加至DCS的量增加而成膜速度會提升,知道若使HCD/DCS流量比成為6%以上,則成膜速度會飽和。認為這是因為在本實施例的處理條件下,藉由將HCD添加至DCS,可補充DCS朝基板上吸附、矽朝基板上堆積,將HCD/DCS流量比定為6%以上,則DCS及HCD朝基板上的吸附、矽朝基板上的堆積會飽和。又,在將HCD/DCS流量比定為3%以上的情況,則DCS及HCD朝基板上的吸附、矽朝基板上的堆積不會飽和,使成膜速度變得比單獨供給DCS的情況還高。又,由第12圖可知在任何一個流量條件下皆可獲得良好的膜厚均勻性。尤其是,知道藉由將HCD/DCS流量比定為6%以上,可獲得更良好的膜厚均勻性。即,從確保成膜速度、膜厚均勻性的觀點,可謂較佳為將HCD/DCS流量比定為3%以上,更佳為6%以上。又,若使HCD/DCS流量比比50%大,則副生成物或粒子變多的可能性升高。降低原料成本的效果也會變小。由此看來,較佳為將HCD/DCS流量比定為3%以上、50%以下,更佳為6%以上、50%以下。又,如上所述在本實施例中,HCD的供給時間與DCS的供給時間相同,所以HCD/DCS流量比(HCD氣體流量/DCS氣體流量)成為與HCD/DCS(HCD氣體供給量/DCS氣體供給量)相同的值。即,藉由本實施例,HCD氣體的供給量對DCS氣體的供給量的比(HCD/DCS),較佳為3%以上、50%以下,更佳為6%以上、50%以下。
<本發明的較佳態樣>
以下,就本發明的較佳態樣加以附註。
根據本發明之一態樣,提供一種半導體裝置之製造方法,具有:將基板搬入處理容器內之製程;進行藉由交替地重複:利用將包含既定元素之第1原料氣體及包含前述既定元素之第2原料氣體供給排氣至前述處理容器內,而在前述基板上形成含既定元素層之製程;及利用將與前述第1原料氣體及前述第2原料氣體不同的反應氣體供給排氣至前述處理容器內,而將前述含既定元素層改質成氧化層、氮化層、或氮氧化層之製程,來在前述基板上形成既定膜厚的氧化膜、氮化膜、或氮氧化膜的處理之製程;及將處理完畢的基板從前述處理容器內搬出之製程;前述第1原料氣體係反應性比前述第2原料氣體高,在前述形成含既定元素層之製程,使前述第1原料氣體的供給量比前述第2原料氣體的供給量少。
較佳地,在前述形成含既定元素層之製程,使前述第1原料氣體的供給流量比前述第2原料氣體的供給流量少。
又較佳地,在前述形成含既定元素層之製程,使前述第1原料氣體的供給時間比前述第2原料氣體的供給時間短。
又較佳地,在前述形成含既定元素層之製程,將前述第1原料氣體的供給量對前述第2原料氣體的供給量的比定為3%以上、50%以下。
又較佳地,在前述形成含既定元素層之製程,將前述第1原料氣體的供給量對前述第2原料氣體的供給量的比定為6%以上、50%以下。
又較佳地,在前述形成含既定元素層之製程,在停止供給前述第2原料氣體前,先停止供給前述第1原料氣體。
又較佳地,在前述形成含既定元素層之製程,同時開始供給前述第1原料氣體及供給前述第2原料氣體,在停止供給前述第2原料氣體前,先停止供給前述第1原料氣體。
又較佳地,在前述形成含既定元素層之製程,在開始供給前述第2原料氣體前,先開始供給前述第1原料氣體。
又較佳地,在前述形成含既定元素層之製程,在開始供給前述第2原料氣體前,先開始供給前述第1原料氣體,在停止供給前述第2原料氣體前,先停止供給前述第1原料氣體。
又較佳地,前述既定元素係半導體元素或金屬元素。
根據本發明之另一態樣,提供一種半導體裝置之製造方法,具有:將基板搬入處理容器內之製程;進行藉由交替地重複:利用將包含矽之第1原料氣體及包含矽之第2原料氣體供給排氣至前述處理容器內,而在前述基板上形成含矽層之製程;及利用將與前述第1原料氣體及前述第2原料氣體不同的反應氣體供給排氣至前述處理容器內,而將前述含矽層改質成氧化矽層、氮化矽層、或氮氧化矽層之製程,來在前述基板上形成既定膜厚的氧化矽膜、氮化矽膜、或氮氧化矽膜的處理之製程;及將處理完畢的基板從前述處理容器內搬出之製程;前述第1原料氣體係反應性比前述第2原料氣體高,在前述形成含矽層之製程,使前述第1原料氣體的供給量比前述第2原料氣體的供給量少。
較佳地,在前述形成含矽層之製程,使前述第1原料氣體的供給流量比前述第2原料氣體的供給流量少。
又較佳地,在前述形成含矽層之製程,使前述第1原料氣體的供給時間比前述第2原料氣體的供給時間短。
又較佳地,在前述形成含矽層之製程,將前述第1原料氣體的供給量對前述第2原料氣體的供給量的比定為3%以上、50%以下。
又較佳地,在前述形成含矽層之製程,將前述第1原料氣體的供給量對前述第2原料氣體的供給量的比定為6%以上、50%以下。
又較佳地,在前述形成含矽層之製程,在停止供給前述第2原料氣體前,先停止供給前述第1原料氣體。
又較佳地,在前述形成含矽層之製程,同時開始供給前述第1原料氣體及供給前述第2原料氣體,在停止供給前述第2原料氣體前,先停止供給前述第1原料氣體。
又較佳地,在前述形成含矽層之製程,在開始供給前述第2原料氣體前,先開始供給前述第1原料氣體。
又較佳地,在前述形成含矽層之製程,在開始供給前述第2原料氣體前,先開始供給前述第1原料氣體,在停止供給前述第2原料氣體前,先停止供給前述第1原料氣體。
又較佳地,前述第1原料氣體係六氯二矽烷氣體,前述第2原料氣體係二氯矽烷氣體。
根據本發明之另一態樣,提供一種半導體裝置之製造方法,具有:將基板搬入處理容器內之製程;進行藉由交替地重複:利用將六氯二矽烷氣體及二氯矽烷氣體供給排氣至前述處理容器內,而在前述基板上形成含矽層之製程;及利用將反應氣體供給排氣至前述處理容器內,而將前述含矽層改質成氧化矽層、氮化矽層、或氮氧化矽層之製程,來在前述基板上形成既定膜厚的氧化矽膜、氮化矽膜、或氮氧化矽膜的處理之製程;及將處理完畢的基板從前述處理容器內搬出之製程;在前述形成含矽層之製程,使前述六氯二矽烷氣體的供給量比前述二氯矽烷氣體的供給量少。
根據本發明之另一態樣,提供一種基板處理裝置,具有:處理容器,係收容基板;第1原料氣體供給系統,係將包含既定元素之第1原料氣體供給至前述處理容器內;第2原料氣體供給系統,係將包含前述既定元素之第2原料氣體供給至前述處理容器內;反應氣體供給系統,係將與前述第1原料氣體及前述第2原料氣體不同的反應氣體供給至前述處理容器內;排氣系統,係將前述處理容器內排氣;及控制部,係以進行藉由交替地重複:利用將前述第1原料氣體及前述第2原料氣體供給排氣至已收容基板的前述處理容器內,而在前述基板上形成含既定元素層的處理;及利用將前述反應氣體供給排氣至前述處理容器內,而將前述含既定元素層改質成氧化層、氮化層或氮氧化層的處理,來在前述基板上形成既定膜厚的氧化膜、氮化膜、或氮氧化膜的處理的方式,控制前述第1原料氣體供給系統、前述第2原料氣體供給系統、前述反應氣體供給系統、及前述排氣系統,前述第1原料氣體係反應性比前述第2原料氣體高,前述控制部,係進一步構成為:在前述形成含既定元素層的處理中,以使前述第1原料氣體的供給量比前述第2原料氣體的供給量少的方式來控制前述第1原料氣體供給系統及前述第2原料氣體供給系統。
根據本發明之另一態樣,提供一種半導體裝置之製造方法,具有:將基板搬入處理容器內之製程;進行藉由交替地重複:利用將包含既定元素之至少2種原料氣體供給排氣至前述處理容器內,而在前述基板上形成含既定元素層之製程;及利用將與前述原料氣體不同的反應氣體供給排氣至前述處理容器內,而將前述含既定元素層改質成氧化層、氮化層、或氮氧化層之製程,來在前述基板上形成既定膜厚的氧化膜、氮化膜、或氮氧化膜的處理之製程;及將處理完畢的基板從前述處理容器內搬出之製程;在前述形成含既定元素層之製程,使前述至少2種原料氣體當中反應性最高的原料氣體的供給量比其他原料氣體的供給量少。
較佳地,在前述形成含既定元素層之製程,使前述反應性最高的原料氣體的供給流量比前述其他原料氣體的供給流量少。
又較佳地,在前述形成含既定元素層之製程,使前述反應性最高的原料氣體的供給時間比前述其他原料氣體的供給時間短。
根據本發明之另一態樣,提供一種半導體裝置之製造方法,具有:將基板搬入處理容器內之製程;進行藉由交替地重複:利用將包含矽之至少2種原料氣體供給排氣至前述處理容器內,而在前述基板上形成含矽層之製程;及利用將與前述原料氣體不同的反應氣體供給排氣至前述處理容器內,而將前述含矽層改質成氧化矽層、氮化矽層、或氮氧化矽層之製程,來在前述基板上形成既定膜厚的氧化矽膜、氮化矽膜、或氮氧化矽膜的處理之製程;及將處理完畢的基板從前述處理容器內搬出之製程;在前述形成含矽層之製程,使前述至少2種原料氣體當中反應性最高的原料氣體的供給量比其他原料氣體的供給量少。
根據本發明之另一態樣,提供一種基板處理裝置,具有:處理容器,係處理基板;原料氣體供給系統,係將包含既定元素之至少2種原料氣體供給至前述處理容器內;反應氣體供給系統,係將與前述原料氣體不同的反應氣體供給至前述處理容器內;排氣系統,係將前述處理容器內排氣;及控制部,係以進行藉由交替地重複:利用將前述至少2種原料氣體供給排氣至已收容基板的前述處理容器內,而在前述基板上形成含既定元素層的處理;及利用將前述反應氣體供給排氣至前述處理容器內,而將前述含既定元素層改質成氧化層、氮化層、或氮氧化層的處理,來在前述基板上形成既定膜厚的氧化膜、氮化膜、或氮氧化膜的處理,同時在前述形成含既定元素層的處理中,使前述至少2種原料氣體當中反應性最高的原料氣體的供給量比其他原料氣體的供給量少的方式,控制前述原料氣體供給系統、前述反應氣體供給系統、及前述排氣系統。
200...晶圓
201...處理室
202...處理爐
203...反應管
207...加熱器
231...排氣管
232a...第1氣體供給管
232b...第2氣體供給管
232c...第3氣體供給管
232d...第4氣體供給管
第1圖係在本實施形態中所適合使用的基板處理裝置之縱型處理爐的概略構成圖,以縱剖面顯示處理爐部分的圖。
第2圖係在本實施形態中所適合使用的基板處理裝置之縱型處理爐的概略構成圖,以第1圖之A-A’線剖面圖顯示處理爐部分的圖。
第3圖係顯示本實施形態中之成膜流程圖的圖。
第4圖係顯示在本實施形態的成膜序列(sequence)之氣體供給時序(timing)的圖,顯示在同時供給HCD氣體及DCS氣體後,同時停止供給HCD氣體及DCS氣體,之後供給O2 氣體及H2 氣體的例子。
第5圖係顯示在本實施形態的成膜序列之氣體供給時序的圖,顯示在同時供給HCD氣體及DCS氣體後,先停止供給HCD氣體,再停止供給DCS氣體後,供給O2 氣體及H2 氣體的例子。
第6圖係顯示在本實施形態的成膜序列之氣體供給時序的圖,顯示在供給DCS氣體前先供給HCD氣體,先停止供給HCD氣體,再停止供給DCS氣體後,供給O2 氣體及H2 氣體的例子。
第7圖係表示分別在單獨使用DCS氣體的情況、在使用將HCD氣體微量添加至DCS氣體的情況、單獨使用微量HCD氣體的情況中SiO2 膜的成膜速度及膜厚均勻性的實驗結果的圖。
第8圖係表示HCD氣體供給量與SiO2 膜的成膜速度之關係的曲線圖。
第9圖係顯示將本發明應用於SiN成膜情況的成膜序列之氣體供給時序的圖,顯示在同時供給HCD氣體及DCS氣體後,先停止供給HCD氣體,再停止供給DCS氣體後,供給NH3 氣體的例子。
第10圖係顯示將本發明應用於SiON成膜情況的成膜序列之氣體供給時序的圖,顯示在同時供給HCD氣體及DCS氣體後,先停止供給HCD氣體,再停止供給DCS氣體後,供給NH3 氣體,之後供給O2 氣體的例子。
第11圖係顯示本發明實施例之HCD/DCS流量比與SiO成膜速度的關係的圖。
第12圖係顯示本發明實施例之HCD/DCS流量比與SiO膜厚均勻性的關係的圖。

Claims (20)

  1. 一種半導體裝置之製造方法,具有:將基板搬入處理容器內之製程;進行藉由交替地重複:利用將包含既定元素之第1原料氣體及包含前述既定元素之第2原料氣體供給至前述處理容器內,而在前述基板上形成含既定元素層之製程;及利用將與前述第1原料氣體及前述第2原料氣體不同的反應氣體供給至前述處理容器內,而將前述含既定元素層改質成氧化層、氮化層、或氮氧化層之製程,來在前述基板上形成既定膜厚的氧化膜、氮化膜、或氮氧化膜的處理之製程;及將處理完畢的基板從前述處理容器內搬出之製程;前述第1原料氣體係反應性比前述第2原料氣體高,在前述形成含既定元素層之製程,使前述第1原料氣體的供給量比前述第2原料氣體的供給量少。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中在前述形成含既定元素層之製程,使前述第1原料氣體的供給流量比前述第2原料氣體的供給流量少。
  3. 如申請專利範圍第1項之半導體裝置之製造方法,其中在前述形成含既定元素層之製程,使前述第1原料氣體的供給時間比前述第2原料氣體的供給時間短。
  4. 如申請專利範圍第1項之半導體裝置之製造方法,其中在前述形成含既定元素層之製程,將前述第1原料氣體的供給量對前述第2原料氣體的供給量的比定為3%以上、50%以下。
  5. 如申請專利範圍第1項之半導體裝置之製造方法,其中在前述形成含既定元素層之製程,將前述第1原料氣體的供給量對前述第2原料氣體的供給量的比定為6%以上、50%以下。
  6. 如申請專利範圍第1項之半導體裝置之製造方法,其中在前述形成含既定元素層之製程,在停止供給前述第2原料氣體前,先停止供給前述第1原料氣體。
  7. 如申請專利範圍第1項之半導體裝置之製造方法,其中在前述形成含既定元素層之製程,同時開始供給前述第1原料氣體及供給前述第2原料氣體,在停止供給前述第2原料氣體前,先停止供給前述第1原料氣體。
  8. 如申請專利範圍第1項之半導體裝置之製造方法,其中在前述形成含既定元素層之製程,在開始供給前述第2原料氣體前,先開始供給前述第1原料氣體。
  9. 如申請專利範圍第1項之半導體裝置之製造方法,其中在前述形成含既定元素層之製程,在開始供給前述第2原料氣體前,先開始供給前述第1原料氣體,在停止供給前述第2原料氣體前,先停止供給前述第1原料氣體。
  10. 如申請專利範圍第1項之半導體裝置之製造方法,其中前述既定元素係半導體元素或金屬元素。
  11. 如申請專利範圍第1項之半導體裝置之製造方法,其中在前述形成含既定元素層之製程,係在停止供給前述第1原料氣體後,開始供給前述第2原料氣體。
  12. 如申請專利範圍第1項之半導體裝置之製造方法,其中在前述形成含既定元素層之製程,係在朝前述處理容器內供給前述第1原料氣體後,朝前述處理容器內供給前述第2原料氣體。
  13. 一種半導體裝置之製造方法,具有:將基板搬入處理容器內之製程;進行藉由交替地重複:利用將包含矽之第1原料氣體及包含矽之第2原料氣體供給至前述處理容器內,而在前述基板上形成含矽層之製程;及利用將與前述第1原料氣體及前述第2原料氣體不同的反應氣體供給至前述處理容器內,而將前述含矽層改質成氧化矽層、氮化矽層、或氮氧化矽層之製程,來在前述基板上形成既定膜厚的氧化矽膜、氮化矽膜、或氮氧化矽膜的處理之製程;及將處理完畢的基板從前述處理容器內搬出之製程;前述第1原料氣體係反應性比前述第2原料氣體高,在前述形成含矽層之製程,使前述第1原料氣體的 供給量比前述第2原料氣體的供給量少。
  14. 如申請專利範圍第13項之半導體裝置之製造方法,其中在前述形成含矽層之製程,使前述第1原料氣體的供給流量比前述第2原料氣體的供給流量少。
  15. 如申請專利範圍第13項之半導體裝置之製造方法,其中在前述形成含矽層之製程,使前述第1原料氣體的供給時間比前述第2原料氣體的供給時間短。
  16. 如申請專利範圍第13項之半導體裝置之製造方法,其中在前述形成含矽層之製程,將前述第1原料氣體的供給量對前述第2原料氣體的供給量的比定為3%以上、50%以下。
  17. 如申請專利範圍第13項之半導體裝置之製造方法,其中在前述形成含矽層之製程,將前述第1原料氣體的供給量對前述第2原料氣體的供給量的比定為6%以上、50%以下。
  18. 如申請專利範圍第13項之半導體裝置之製造方法,其中前述第1原料氣體係六氯二矽烷氣體,前述第2原料氣體係二氯矽烷氣體。
  19. 一種基板處理裝置,具有:處理容器,係收容基板;第1原料氣體供給系統,係將包含既定元素之第1原料氣體供給至前述處理容器內;第2原料氣體供給系統,係將包含前述既定元素之 第2原料氣體供給至前述處理容器內;反應氣體供給系統,係將與前述第1原料氣體及前述第2原料氣體不同的反應氣體供給至前述處理容器內;及控制部,係以進行藉由交替地重複:利用將前述第1原料氣體及前述第2原料氣體供給至已收容基板的前述處理容器內,而在前述基板上形成含既定元素層的處理;及利用將前述反應氣體供給至前述處理容器內,而將前述含既定元素層改質成氧化層、氮化層或氮氧化層的處理,來在前述基板上形成既定膜厚的氧化膜、氮化膜、或氮氧化膜的處理的方式,控制前述第1原料氣體供給系統、前述第2原料氣體供給系統、及前述反應氣體供給系統,前述第1原料氣體係反應性比前述第2原料氣體高,前述控制部,係進一步構成為:在前述形成含既定元素層的處理中,以使前述第1原料氣體的供給量比前述第2原料氣體的供給量少的方式來控制前述第1原料氣體供給系統及前述第2原料氣體供給系統。
  20. 一種基板處理方法,具有:將基板搬入處理容器內之製程;進行藉由交替地重複:利用將包含既定元素之第1原料氣體及包含前述既定元素之第2原料氣體供給至前 述處理容器內,而在前述基板上形成含既定元素層之製程;及利用將與前述第1原料氣體及前述第2原料氣體不同的反應氣體供給至前述處理容器內,而將前述含既定元素層改質成氧化層、氮化層、或氮氧化層之製程,來在前述基板上形成既定膜厚的氧化膜、氮化膜、或氮氧化膜的處理之製程;及將處理完畢的基板從前述處理容器內搬出之製程;前述第1原料氣體係反應性比前述第2原料氣體高,在前述形成含既定元素層之製程,使前述第1原料氣體的供給量比前述第2原料氣體的供給量少。
TW99132920A 2009-09-30 2010-09-29 半導體裝置之製造方法、基板處理裝置及基板處理方法 TWI473167B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009226420 2009-09-30
JP2010152031A JP5467007B2 (ja) 2009-09-30 2010-07-02 半導体装置の製造方法および基板処理装置

Publications (2)

Publication Number Publication Date
TW201120956A TW201120956A (en) 2011-06-16
TWI473167B true TWI473167B (zh) 2015-02-11

Family

ID=43780860

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103120788A TWI509694B (zh) 2009-09-30 2010-09-29 半導體裝置之製造方法、基板處理裝置及基板處理方法
TW99132920A TWI473167B (zh) 2009-09-30 2010-09-29 半導體裝置之製造方法、基板處理裝置及基板處理方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103120788A TWI509694B (zh) 2009-09-30 2010-09-29 半導體裝置之製造方法、基板處理裝置及基板處理方法

Country Status (5)

Country Link
US (2) US8076251B2 (zh)
JP (1) JP5467007B2 (zh)
KR (2) KR101149380B1 (zh)
CN (2) CN102034702B (zh)
TW (2) TWI509694B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11965239B2 (en) 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8822350B2 (en) * 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US8937022B2 (en) * 2010-11-29 2015-01-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP5588856B2 (ja) * 2010-12-27 2014-09-10 東京エレクトロン株式会社 カーボン膜上への酸化物膜の成膜方法及び成膜装置
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
EP3929326A3 (en) * 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5864360B2 (ja) * 2011-06-30 2016-02-17 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5514162B2 (ja) * 2011-07-22 2014-06-04 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
JP5722450B2 (ja) 2011-08-25 2015-05-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP2013077805A (ja) * 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6042656B2 (ja) * 2011-09-30 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5854112B2 (ja) * 2011-09-30 2016-02-09 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2013054655A1 (ja) * 2011-10-14 2013-04-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5829196B2 (ja) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
JP5793398B2 (ja) * 2011-10-28 2015-10-14 東京エレクトロン株式会社 シード層の形成方法及びシリコン含有薄膜の成膜方法
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6035161B2 (ja) * 2012-03-21 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014011234A (ja) * 2012-06-28 2014-01-20 Tokyo Electron Ltd シリコン酸化膜の形成方法およびその形成装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6022272B2 (ja) 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6199570B2 (ja) * 2013-02-07 2017-09-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6125279B2 (ja) 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
JP6349234B2 (ja) 2014-02-19 2018-06-27 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20170088948A1 (en) * 2014-03-26 2017-03-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus and furnace opening cover
JP6347544B2 (ja) 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
JP5886381B2 (ja) 2014-07-23 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5840268B1 (ja) * 2014-08-25 2016-01-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
JP6496510B2 (ja) * 2014-10-02 2019-04-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
WO2017037927A1 (ja) 2015-09-03 2017-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102454894B1 (ko) * 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
JP6635839B2 (ja) * 2016-03-23 2020-01-29 東京エレクトロン株式会社 窒化膜の形成方法
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6368743B2 (ja) 2016-06-22 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018154823A1 (ja) * 2017-02-23 2018-08-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6759137B2 (ja) 2017-03-24 2020-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6703496B2 (ja) * 2017-03-27 2020-06-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
JP6754493B2 (ja) * 2017-04-19 2020-09-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6919350B2 (ja) 2017-06-09 2021-08-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6830878B2 (ja) * 2017-09-28 2021-02-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP6781745B2 (ja) * 2018-03-12 2020-11-04 キヤノン株式会社 撮像装置の製造方法
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102480740B1 (ko) * 2018-03-20 2022-12-23 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10483407B2 (en) * 2018-04-19 2019-11-19 Micron Technology, Inc. Methods of forming si3nX, methods of forming insulator material between a control gate and charge-storage material of a programmable charge-storage transistor, and methods of forming an array of elevationally-extending strings of memory cells and a programmable charge-storage transistor manufactured in accordance with methods
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6826173B2 (ja) * 2019-09-17 2021-02-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN112823410B (zh) * 2019-09-18 2024-04-02 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
JP7182572B2 (ja) 2020-01-09 2022-12-02 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7306300B2 (ja) * 2020-03-13 2023-07-11 株式会社島津製作所 推定器および真空バルブ
JP7076490B2 (ja) * 2020-03-24 2022-05-27 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7198854B2 (ja) * 2021-03-17 2023-01-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
JP7194216B2 (ja) * 2021-03-17 2022-12-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024047713A1 (ja) * 2022-08-29 2024-03-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN117568780A (zh) * 2023-11-16 2024-02-20 无锡松煜科技有限公司 一种利用ald法制备氧化铝钝化膜的方法及装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037627A1 (en) * 2001-11-30 2005-02-17 Christian Dussarrat Method for depositing silicon nitride films and silicon oxynitride films by chemical vapor deposition
US20050118837A1 (en) * 2002-07-19 2005-06-02 Todd Michael A. Method to form ultra high quality silicon-containing compound layers
US20060032442A1 (en) * 2004-07-15 2006-02-16 Kazuhide Hasebe Method and apparatus for forming silicon oxide film
US20090170345A1 (en) * 2007-12-26 2009-07-02 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW430883B (en) * 1997-12-24 2001-04-21 Asahi Chemical Micro Syst Method of manufacturing semiconductor device
JP2001068468A (ja) * 1999-08-30 2001-03-16 Tokyo Electron Ltd 成膜方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2002367990A (ja) * 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
JP2007281082A (ja) * 2006-04-04 2007-10-25 Tokyo Electron Ltd 成膜方法及び成膜装置並びに記憶媒体
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
KR101593352B1 (ko) * 2007-06-28 2016-02-15 인티그리스, 인코포레이티드 이산화규소 간극 충전용 전구체
JP2009049316A (ja) * 2007-08-22 2009-03-05 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2009178309A (ja) 2008-01-30 2009-08-13 Nohmi Bosai Ltd 消火栓装置
JP2008211211A (ja) * 2008-02-18 2008-09-11 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037627A1 (en) * 2001-11-30 2005-02-17 Christian Dussarrat Method for depositing silicon nitride films and silicon oxynitride films by chemical vapor deposition
US20050118837A1 (en) * 2002-07-19 2005-06-02 Todd Michael A. Method to form ultra high quality silicon-containing compound layers
US20060032442A1 (en) * 2004-07-15 2006-02-16 Kazuhide Hasebe Method and apparatus for forming silicon oxide film
US20090170345A1 (en) * 2007-12-26 2009-07-02 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11965239B2 (en) 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films

Also Published As

Publication number Publication date
KR20110035935A (ko) 2011-04-06
US8415258B2 (en) 2013-04-09
CN102034702A (zh) 2011-04-27
TW201438106A (zh) 2014-10-01
US20110076857A1 (en) 2011-03-31
CN102915910B (zh) 2015-07-22
JP5467007B2 (ja) 2014-04-09
CN102915910A (zh) 2013-02-06
US8076251B2 (en) 2011-12-13
TW201120956A (en) 2011-06-16
KR20110139179A (ko) 2011-12-28
CN102034702B (zh) 2013-11-27
US20120045905A1 (en) 2012-02-23
KR101149380B1 (ko) 2012-05-30
JP2011097017A (ja) 2011-05-12
TWI509694B (zh) 2015-11-21
KR101189495B1 (ko) 2012-10-11

Similar Documents

Publication Publication Date Title
TWI473167B (zh) 半導體裝置之製造方法、基板處理裝置及基板處理方法
TWI543259B (zh) 半導體裝置之製造方法及基板處理裝置
TWI411014B (zh) 半導體裝置之製造方法及基板處理裝置
KR101097726B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP5562434B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI508174B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
TWI404144B (zh) 半導體裝置之製造方法及基板處理裝置
TWI421940B (zh) 半導體裝置之製造方法、基板處理方法及基板處理裝置
JP5686487B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5982045B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびガス供給系
JP5770892B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012221978A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP7076490B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7194216B2 (ja) 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
JP5797255B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置