KR101189495B1 - 반도체 장치의 제조 방법 및 기판 처리 장치 - Google Patents

반도체 장치의 제조 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR101189495B1
KR101189495B1 KR1020110120253A KR20110120253A KR101189495B1 KR 101189495 B1 KR101189495 B1 KR 101189495B1 KR 1020110120253 A KR1020110120253 A KR 1020110120253A KR 20110120253 A KR20110120253 A KR 20110120253A KR 101189495 B1 KR101189495 B1 KR 101189495B1
Authority
KR
South Korea
Prior art keywords
gas
supply
film
source gases
substrate
Prior art date
Application number
KR1020110120253A
Other languages
English (en)
Other versions
KR20110139179A (ko
Inventor
나오노리 아카에
요시로 히로세
유신 타카사와
요스케 오타
료타 사사지마
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20110139179A publication Critical patent/KR20110139179A/ko
Application granted granted Critical
Publication of KR101189495B1 publication Critical patent/KR101189495B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

저온 하(下)에서도, 높은 성막율을 유지하면서, 저비용으로 막 두께 균일성이 양호한 절연막을 형성한다.
기판을 처리 용기 내에 반입하는 공정; 각각 소정 원소를 포함하는 적어도 두 가지 유형(type)의 원료 가스들을 공급하여 상기 기판 상에 소정 원소 함유층을 형성하는 공정 및 상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들과는 다른 반응 가스를 공급하여 상기 소정 원소 함유층을 개질하는 공정을 교호적으로 반복하여, 상기 기판 상에 막을 형성하는 처리를 수행하는 공정; 및 처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.

Description

반도체 장치의 제조 방법 및 기판 처리 장치{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS}
본 발명은, 기판 상에 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법 및 기판 처리 장치에 관한 것이다.
플래시 메모리는, 절연막으로 둘러싸여진 전하 축적 영역[부유(浮游) 게이트]을 구비하고, 얇은 터널 산화막을 개재한 전하의 교환에 의해서, 정보의 기입을 수행함과 동시에, 이 얇은 산화막의 절연성을 이용하여 장시간에 걸쳐 전하를 보지(保持)하여 기억을 유지하는 것이 동작 원리이다. 플래시 메모리에 기억되는 정보는, 외부로부터의 동작이 수행되지 않아도 10년이나 장시간 보지할 필요가 있어, 부유 게이트라고 불리는 전하 축적 영역을 둘러싸는 절연막에 대한 요구가 엄격해지고 있다. 메모리 셀(memory cell) 동작을 제어하기 위한 제어 게이트와의 사이에 설치된 층간(層間) 절연막에는 일반적으로 ONO라고 불리는 산화막(SiO2)/질화막(Si3N4)/산화막(SiO2)의 적층 구조가 이용되고, 높은 리크(leak) 전류 특성을 가지는 것이 기대되고 있다.
종래, ONO 적층 구조에 있어서의 SiO2 절연막 형성은, 예컨대 SiH2Cl2 가스와 N2O 가스를 이용해서 CVD법에 의해서 800℃ 부근의 고온에서 수행되어 왔으나, 디바이스가 한층 더 미세화 함에 따라 ONO 적층막 중의 질화막의 용량 저하가 일어나기 때문에, 용량 확보의 관점으로부터 질화막 층 대신에 고(高) 유전체막의 채용이 검토되고 있다.
고 유전체 막 상에 형성하는 SiO2 절연막은 고 유전체막의 결정화를 억제하기 위해서, 고 유전체막 형성 온도보다도 저온으로 형성될 필요가 있다.
1. 일본 특허 출원 제2009-178309호
SiO2 절연막을 형성하는 경우, 형성 온도의 저온화에 따라, 막의 성장 속도(성막율)는 늦어지는 경향이 있다. 그 때문에, 반응성이 높고, 기판 상으로의 원료 흡착이 용이한 무기 원료나 유기 원료를 이용하게 된다. 그러나, 이들의 원료는 종래 원료와 비교하여 유통량이 적고 원료 가격이 높기 때문에, 형성한 반도체 디바이스의 단가가 높아진다는 문제가 있었다. 또한, 이들의 원료를 이용하는 경우, 형성되는 절연막의 막 두께 균일성을 확보하는 것이 어려운 문제도 있었다.
따라서 본 발명의 목적은, 상기 과제를 해결하고, 저온 하(下)에서도, 높은 성막율을 유지하면서, 저비용으로 막 두께 균일성이 양호한 절연막을 형성할 수 있는 반도체 장치의 제조 방법 및 기판 처리 장치를 제공하는 것에 있다.
본 발명의 일 형태에 의하면, 기판을 처리 용기 내에 반입하는 공정; 소정 원소를 포함하는 적어도 두 가지 유형(type)의 원료 가스들 각각을 공급하여 상기 기판 상에 소정 원소 함유층을 형성하는 공정 및 상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들과는 다른 반응 가스를 공급하여 상기 소정 원소 함유층을 개질하는 공정을 교호적으로 반복하여, 상기 기판 상에 막을 형성하는 처리를 수행하는 공정; 및 처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면, 기판을 처리 용기 내에 반입하는 공정; 소정 원소를 포함하는 적어도 두 가지 유형의 원료 가스들 각각을 공급하는 공정 및 상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들과는 다른 반응 가스를 공급하는 공정을 교호적으로 반복하여, 상기 기판 상에 막을 형성하는 처리를 수행하는 공정; 및 처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 또 다른 형태에 의하면, 기판을 처리 용기 내에 반입하는 공정; 소정 원소를 포함하는 적어도 두 가지 유형의 원료 가스들 각각을 공급하여 상기 기판 상에 소정 원소 함유층을 형성하는 공정 및 상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들과는 다른 반응 가스를 공급하여 상기 소정 원소 함유층을 개질하는 공정을 교호적으로 반복하여, 상기 기판 상에 막을 형성하는 처리를 수행하는 공정; 및 처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정을 포함하는 기판 처리 방법이 제공된다.
본 발명의 또 다른 형태에 의하면, 기판을 수용하는 처리 용기; 상기 처리 용기 내에 소정 원소를 포함하는 적어도 두 가지 유형의 원료 가스들 각각을 공급하는 원료 가스 공급계; 상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들과는 다른 반응 가스를 공급하는 반응 가스 공급계; 및 상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들을 공급하여 상기 기판 상에 소정 원소 함유층을 형성하는 처리와, 상기 처리 용기 내에 상기 반응 가스를 공급하여 상기 소정 원소 함유층을 개질하는 처리를 교호적으로 반복하는 것으로, 상기 기판 상에 막을 형성하는 처리를 수행하도록, 상기 원료 가스 공급계 및 상기 반응 가스 공급계를 제어하는 제어부를 포함하는 기판 처리 장치가 제공된다.
본 발명에 따르면, 저온 하에서도, 높은 성막율을 유지하면서, 저비용으로 막 두께 균일성이 양호한 절연막을 형성할 수 있는 반도체 장치의 제조 방법 및 기판 처리 장치를 제공할 수 있다.
도 1은 본 실시 형태에서 바람직하게 이용할 수 있는 기판 처리 장치의 종형(縱型) 처리로(處理爐)의 개략 구성도이며, 처리로 부분을 종단면으로 도시하는 도면이다.
도 2는 본 실시 형태에서 바람직하게 이용할 수 있는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A'선단면도로 도시하는 도면이다.
도 3은 본 실시 형태에 있어서의 성막 흐름도를 도시하는 도면이다.
도 4는 본 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍을 도시하는 도면이며, HCD 가스와 DCS 가스를 동시에 공급한 후, HCD 가스와 DCS 가스와의 공급을 동시에 정지하고, 그 후 O2 가스와 H2 가스를 공급하는 예를 도시하고 있다.
도 5는 본 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍을 도시하는 도면이며, HCD 가스와 DCS 가스를 동시에 공급한 후, HCD 가스의 공급을 먼저 정지하고, DCS 가스의 공급을 정지한 후, O2 가스와 H2 가스를 공급하는 예를 도시하고 있다.
도 6은 본 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍을 도시하는 도면이며, DCS 가스의 공급보다도 선행(先行)하여 HCD 가스의 공급을 수행하고, HCD 가스의 공급을 먼저 정지하고, DCS 가스의 공급을 정지한 후, O2 가스와 H2 가스를 공급하는 예를 도시하고 있다.
도 7은 DCS 가스를 단독으로 이용한 경우, DCS 가스에 HCD 가스를 미량 첨가한 가스를 이용한 경우, 미량 HCD 가스를 단독으로 사용한 경우의 각각에 있어서의 SiO2막의 성막 속도 및 막 두께 균일성의 실험 결과를 도시하는 도면이다.
도 8은 HCD 가스의 공급량과 SiO2막의 성막 속도와의 관계를 도시하는 그래프 이다.
도 9는 본 발명을 SiN 성막에 적용한 경우의 성막 시퀀스에 있어서의 가스 공급의 타이밍을 나타내는 도면이며, HCD 가스와 DCS 가스를 동시에 공급한 후, HCD 가스의 공급을 먼저 정지하고, DCS 가스의 공급을 정지한 후, NH3 가스를 공급하는 예를 도시하고 있다.
도 10은 본 발명을 SiON 성막에 적용한 경우의 성막 시퀀스에 있어서의 가스 공급의 타이밍을 나타내는 도면이며, HCD 가스와 DCS 가스를 동시에 공급한 후, HCD 가스의 공급을 먼저 정지하고, DCS 가스의 공급을 정지한 후, NH3 가스를 공급하고, 그 후 O2 가스를 공급하는 예를 도시하고 있다.
도 11은 본 발명의 실시예에 따른 HCD/DCS유량비와 SiO 성막 속도와의 관계를 도시하는 도면이다.
도 12는 본 발명의 실시예에 관련되는 HCD/DCS유량비와 SiO막 두께 균일성과의 관계를 도시하는 도면이다.
종래의 CVD(Chemical Vapor Deposition)법에 의해서 SiO2막을 형성하는 경우로 널리 이용되고 있는 실리콘 원료[디클로로실란(SiH2Cl2, 약칭 DCS)]에서는, 성막 온도의 저온화에 따라, 반응성이 현저하게 낮아지고, 기판(기판의 표면에 형성되어 있는 SiO2, SiON, SiN 등의 막) 상으로의 흡착 및 퇴적의 인큐베이션(incubation)이 커진다. 그 때문에, 기판 상에 1 원자층 미만으로부터 수 원자층 정도의 실리콘 층을 형성하는 것은 상당히 어려워진다. 또한, 이 때 얻을 수 있는 SiO2막의 막 두께 분포 균일성은, 실리콘 층 형성의 불균일함을 지연시켜, 현저하게 나쁘게 된다.
도 7은, 실리콘 원료 가스와 반응 가스(산소 가스 및 수소 가스)를 교호적(交互的)으로 공급해서 저온(600℃) 하에서 기판 상에 SiO2막을 형성했을 때의 성막 속도 및 막 두께 균일성의 실험 결과를 도시하고 있다. 도 7의 (a)는 실리콘 원료 가스로서 DCS 가스를 단독으로 사용한 경우의 성막 속도 및 막 두께 균일성을 도시하고 있다. 도 7의 (b)는 실리콘 원료 가스로서 DCS 가스에 HCD 가스를 미량 첨가한 가스를 사용한 경우의 성막 속도 및 막 두께 균일성을 도시하고 있다. 도 7의 (c)는 실리콘 원료 가스로서 미량의 HCD 가스를 단독으로 사용한 경우의 성막 속도 및 막 두께 균일성을 도시하고 있다. 또한, 도 7의 실험에서 사용한 DCS 가스의 유량을 1로 한 경우, 미량의 HCD 가스의 유량은 0.03로 나타난다. 즉, 도 7의 실험에서 사용한 DCS 가스의 유량에 대한 HCD 가스의 유량비, 즉, HCD 가스 유량/DCS 가스 유량(HCD/DCS유량비)은 0.03(3%)이 된다. 또한, 도 7에서는, 성막 속도를, 도 7의 (a)의 성막 속도를 1(기준)로 한 경우의 성막 속도 비율로서 표시하고 있고, 막 두께 균일성을, 도 7의 (a)의 막 두께 균일성을 1(기준)로 한 경우의 막 두께 균일성 비율로서 표시하고 있다. 또한, 막 두께 균일성은, 기판면 내에 있어서의 막 두께 분포의 편차(차이)의 정도를 나타내고 있고, 그 값이 작을수록 기판면 내에 있어서의 막 두께 균일성이 양호한 것을 나타내고 있다.
발명자 등은 예의(銳意) 연구 결과, DCS 가스보다도 반응성이 높고, 즉, DCS 가스보다도 열분해 온도가 낮고, 같은 컨디션 하에서 DCS 가스보다도 기판 상에 흡착하기 쉬운 무기 원료의 하나인 헥사클로로디실란(Si2Cl6, 약칭 HCD)가스를 DCS 가스에 미량 첨가하는 것으로, 저온, 예컨대 600℃에서, SiO2막의 성막 속도를 향상시키는 것이 가능하고, 또한, SiO2막의 막 두께 균일성을 향상시키는 것이 가능한 것을 알아냈다. 도 7의 (b)에 도시되는 바와 같이, DCS 가스에 HCD 가스를 미량 첨가하는 것으로, DCS 가스를 단독으로 사용할 경우의 2.2배의 성막 속도가 얻어지는 것을 알 수 있다. 또한, DCS 가스에 HCD 가스를 미량 첨가하는 것으로, DCS 가스를 단독으로 사용하는 경우와 비교하여, 지극히 양호한 막 두께 균일성을 얻을 수 있는 것을 알 수 있다. 또한, 미량의 HCD 가스를 단독으로 사용한 경우의 SiO2막의 성막 속도는, 도 7의 (c)에 도시되는 바와 같이 지극히 낮고, 이 때 얻어지는 SiO2막의 막 두께 분포 균일성도 현저하게 나쁜 것을 알 수 있다.
도 8은, HCD 가스와 반응 가스(산소 가스 및 수소 가스)를 교호적으로 공급하여 저온(600℃) 하에서 SiO2막을 형성했을 때의 HCD 가스의 공급량과 SiO2막의 성막 속도와의 관계를 도시하는 그래프 도면이다. 도 8에서는, 어떤 HCD 공급량을 기준으로 성막 속도를 규격화했을 때의 HCD 가스의 공급량과 SiO2막의 성막 속도와의 관계를 나타내고 있다. 도 8에서, HCD 가스 공급량 저하에 따른 기판 상으로의 실리콘 흡착량 저하에 기인한, SiO2막의 성막 속도의 저하가 보인다. 즉, HCD 가스를 단독으로 사용하는 경우에, HCD 가스의 공급량을 감소시키고, HCD 가스의 공급량을 미량으로 하여도, 성막 속도를 향상시킬 수는 없다. HCD 가스를 단독으로 이용하는 경우에 성막 속도를 확보하기 위해서는, 어느 정도의 HCD 가스의 공급량이 필요하게 된다. 또한, DCS 가스를 단독으로 이용하는 경우에 있어서도, 저온 하에서는 성막 속도를 향상시킬 수 없다. 그러나, DCS 가스에 HCD 가스를 미량 첨가하는 것으로, 예컨대 600℃와 같은 저온 하에 있어서도 성막 속도를 향상시키는 것이 가능해진다.
미량의 HCD 가스를 DCS 가스에 첨가하는 것으로, 성막 속도의 개선이 가능하게 되는 이유로서는, 기판 상으로의 미량의 HCD의 공급에 의해서 실리콘 원료의 흡착 및 실리콘의 퇴적이 어느 정도 수행됨에 따라, 미량의 HCD를 첨가하지 않는 경우와 비교하여, DCS의 흡착 및 실리콘의 퇴적이 필요하게 되는 사이트가 좁아지고, 그 결과로서 그 사이트로의 DCS의 폭로량(暴露量)이 상대적으로 증가하여, DCS의 흡착 확률 및 실리콘의 퇴적 확률이 상당히 향상되기 때문이라고 생각된다.
또한, DCS 가스와 동시에 공급하는 HCD 가스가 열분해하는 것으로, 또한, HCD 가스가 열분해할 때에 발생하는 Cl2가 DCS 가스의 H기(基)와 반응하는 것으로, SiCl4 가스나 Si원자의 생성이 촉진되고, 실리콘 원료의 흡착 및 실리콘의 퇴적이 대폭 촉진되는 것도, 성막 속도의 개선이 가능해지는 이유로서 생각된다. 이 때, 다음과 같은 반응이 진행하는 것으로 생각된다.
2SiH2Cl2 + Si2Cl6 → 2Si + 2SiCl4 + 2HCl + H2
SiH2Cl2 + Si2Cl6 → 2Si + SiCl4 + 2HCl
SiH2Cl2 + Si2Cl6 → Si + 2SiCl4 + H2
그 결과, 성막 속도의 개선과 함께, 기판 상으로의 실리콘 원료의 흡착 및 실리콘의 퇴적을 균일하게 수행하는 것이 가능해 지기 때문에, 본 발명에서 형성되는 SiO2막의 막 두께 균일성(막 두께 분포)도 양호하게 되는 것으로 생각된다. 또한, HCD 가스를 단독으로 이용하는 경우에 비해, 성막 제어성을 저하시키지 않고, 원료 비용을 대폭 저감하는 것도 가능해진다.
제1 실리콘 원료 가스로서의 HCD 가스를 Si원료 A, 제2 실리콘 원료 가스로서의 DCS 가스를 Si원료 B로 했을 때, 각각의 Si원료의 공급 타이밍에 대해서는, 도 4의 Si원료 공급 타이밍1(Si원료 A, B 동시 공급), 도 5의 Si원료 공급 타이밍2(Si원료 A, B 동시 공급, Si원료 B 후 공급 정지), 도 6의 Si원료 공급 타이밍3(Si원료 A 선 공급, Si원료 B 후 공급 정지)을 생각할 수 있고, 어느 공급 타이밍을 이용하여도 좋다. 한편, 도 7의 (b)의 실험 결과는, 도 5의 Si원료 공급 타이밍2에서 수행한 결과이다. 이들의 Si원료 공급 타이밍의 상세에 대해서는 후술한다.
본 발명은, 발명자 등이 얻은 이와 같은 지견(知見)에 기초하여 수행된 것이다. 이하로, 본 발명의 일 실시 형태에 대해서 도면을 참조하면서 설명한다.
도 1은, 본 발명의 일 실시 형태에서 바람직하게 이용할 수 있는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 종단면도로 도시하고 있다. 또한, 도 2는, 도 1에 도시하는 처리로의 A-A'단면도이다. 한편, 본 발명은, 본 실시 형태에 따른 기판 처리 장치에 한하지 않고, 매엽(枚葉)식, 핫월(Hot Wall)형, 콜드월(Cold Wall) 형의 처리로를 포함하는 기판 처리 장치에도 바람직하게 적용할 수 있다.
도 1에 도시되는 바와 같이, 처리로(202)는 가열 수단(가열 기구)로서의 히터(207)를 포함한다. 히터(207)는 원통 형상이며, 보지판(保持板)으로서의 히터 베이스(도시되지 않음)에 지지되는 것에 의해서 수직으로 설치되어 있다.
히터(207)의 내측에는, 히터(207)와 동심원 형상에 반응관으로서의 프로세스 튜브(203)가 배설(配設)되어 있다. 프로세스 튜브(203)는, 예컨대 석영(SiO2) 또는 탄화 실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색(閉塞)하고 하단이 개구(開口)한 원통 형상으로 형성되어있다. 프로세스 튜브(203)의 통중공부(筒中孔部)에는 처리실(201)이 형성되고 있고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해서 수평 자세에서 수직 방향으로 다단(多段)으로 정렬한 상태로 수용 가능하게 구성되어 있다.
프로세스 튜브(203)의 하방(下方)에는, 프로세스 튜브(203)와 동심원 형상으로 매니폴드(209)가 배설되어 있다. 매니폴드(209)는, 예컨대 스텐레스 등으로 이루어지고, 상단 및 하단이 개구한 원통 형상으로 형성되어 있다. 매니폴드(209)는, 프로세스 튜브(203)에 계합(係合)되어 있고, 프로세스 튜브(203)를 지지하도록 설치되어 있다. 또한, 매니폴드(209)와 프로세스 튜브(203)와의 사이에는 씰 부재로서의 O링(220a)이 설치되어 있다. 매니폴드(209)가 히터 베이스에 지지되는 것에 의해서, 프로세스 튜브(203)는 수직하게 설치된 상태가 되어 있다. 프로세스 튜브(203)와 매니폴드(209)에 의해서 반응 용기(처리 용기)가 형성된다.
매니폴드(209)에는, 제1 가스 도입부로서의 제1 노즐(233a)과, 제2 가스 도입부로서의 제2 노즐(233b)과, 제3 가스 도입부로서의 제3 노즐(233c)이, 매니폴드(209)의 측벽을 관통하도록 설치되어 있고, 제1 노즐(233a), 제2 노즐(233b), 제3 노즐(233c)에는, 각각 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제3 가스 공급관(232c)이 접속되어 있다. 또한, 제3 가스 공급관(232c)에는 제4 가스 공급관 (232d)이 접속되어 있다. 이와 같이, 처리실(201) 내에는 복수 종류, 여기서는 4종류의 처리 가스를 공급하는 가스 공급로(供給路)로서, 4개의 가스 공급관이 설치되어 있다.
제1 가스 공급관(232a)에는, 상류 방향부터 순서대로, 유량 제어기(유량제어 수단)인 매스 플로우 컨트롤러(241a) 및 개폐 밸브인 밸브(243a)가 설치되어 있다. 또한, 제1 가스 공급관(232a)의 밸브(243a)보다도 하류측에는, 불활성 가스를 공급하는 제1 불활성 가스 공급관(234a)이 접속되어 있다. 이 제1 불활성 가스 공급관(234a)에는, 상류 방향부터 순서대로, 유량 제어기(유량 제어 수단)인 매스 플로우 컨트롤러(241c) 및 개폐 밸브인 밸브(243c)가 설치되어 있다. 또한, 제1 가스 공급관(232a)의 선단부(先端部)에는, 상술한 제1 노즐(233a)이 접속되어 있다. 제1 노즐(233a)은, 처리실(201)을 구성하고 있는 프로세스 튜브(203)의 내벽과 웨이퍼(200)와의 사이에 있어서의 원호(圓弧) 형상의 공간에, 프로세스 튜브(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향의 상방(上方)을 향하여 입상(立上)으로 설치되어 있다. 제1 노즐(233a)의 측면에는 가스를 공급하는 공급공(供給孔)인 가스 공급 공(248a)이 설치되어 있다. 이 가스 공급공(248a)은, 하부로부터 상부에 걸쳐 각각 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치되어 있다. 주로, 제1 가스 공급관(232a), 매스 플로우 컨트롤러(241a), 밸브(243a), 제1 노즐(233a)에 의해서 제1 가스 공급계가 구성된다. 또 주로, 제1 불활성 가스 공급관(234a), 매스 플로우 컨트롤러(241c), 밸브(243c)에 의해서, 제1 불활성 가스 공급계가 구성된다.
제2 가스 공급관(232b)에는, 상류 방향부터 순서대로, 유량 제어기(유량 제어 수단)인 매스 플로우 컨트롤러(24lb) 및 개폐 밸브인 밸브(243b)가 설치되어 있다. 또한, 제2 가스 공급관(232b)의 밸브(243b)보다도 하류측에는, 불활성 가스를 공급하는 제2 불활성 가스 공급관(234b)이 접속되어 있다. 이 제2 불활성 가스 공급관(234b)에는, 상류 방향부터 순서대로, 유량 제어기(유량 제어 수단)인 매스 플로우 컨트롤러(241d) 및 개폐 밸브인 밸브(243d)가 설치되어 있다. 또한, 제2 가스 공급관(232b)의 선단부에는, 상술한 제2 노즐(233b)이 접속되어 있다. 제2 노즐(233b)은, 처리실(201)을 구성하고 있는 프로세스 튜브(203)의 내벽과 웨이퍼(200)와의 사이에 있어서의 원호 형상의 공간에, 프로세스 튜브(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해서 입상으로 설치되어 있다. 제2 노즐(233b)의 측면에는 가스를 공급하는 공급공인 가스 공급공(248b)이 설치되어 있다. 이 가스 공급공(248b)은, 하부부터 상부에 걸쳐 각각 동일한 개구 면적을 가지고, 또한 동일한 개구 피치로 설치되어 있다. 주로, 제2 가스 공급관(232b), 매스 플로우 컨트롤러(24lb), 밸브(243b), 제2 노즐(233b)에 의해서 제2 가스 공급계가 구성된다. 또 주로, 제2 불활성 가스 공급관(234b), 매스 플로우 컨트롤러(241d), 밸브(243d)에 의해서 제2 불활성 가스 공급계가 구성된다.
제3 가스 공급관(232c)에는, 상류 방향부터 순서대로, 유량 제어기(유량 제어 수단)인 매스 플로우 컨트롤러(241e) 및 개폐 밸브인 밸브(243e)가 설치되어 있다. 또한, 제3 가스 공급관(232c)의 밸브(243e)보다도 하류측에는, 불활성 가스를 공급하는 제3 불활성 가스 공급관(234c)이 접속되어 있다. 이 제3 불활성 가스 공급관(234c)에는, 상류 방향부터 순서대로, 유량 제어기(유량 제어 수단)인 매스 플로우 컨트롤러(241f) 및 개폐밸브인 밸브(243f)가 설치되어 있다. 또한, 제3 가스 공급관(232c)의 밸브(243e)보다도 하류측에는, 제4 가스 공급관(232d)이 접속되어 있다. 이 제4 가스 공급관(232d)에는, 상류 방향부터 순서대로, 유량 제어기(유량제어 수단)인 매스 플로우 컨트롤러(241g) 및 개폐 밸브인 밸브(243g)가 설치되어 있다. 또한, 제3 가스 공급관(232c)의 선단부에는, 상술한 제3 노즐(233c)이 접속되어 있다. 제3 노즐(233c)은, 처리실(201)을 구성하고 있는 프로세스 튜브(203)의 내벽과 웨이퍼(200)와의 사이에 있어서의 원호 형상의 공간에, 프로세스 튜브(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해서 입상으로 설치되어 있다. 제3 노즐(233c)의 측면에는 가스를 공급하는 공급공인 가스 공급공(248c)가 설치되고 있다. 이 가스 공급공(248c)은, 하부부터 상부에 걸쳐 각각 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치되어 있다. 주로, 제3 가스 공급관(232c), 매스 플로우 컨트롤러(241e), 밸브(243e), 제3 노즐(233c)에 의해서 제3 가스 공급계가 구성된다. 또 주로, 제4 가스 공급관(232d), 매스 플로우 컨트롤러(241g), 밸브(243g), 제3 가스 공급관(232c), 제3 노즐(233c)에 의해서 제4 가스 공급계가 구성된다. 또 주로, 제3 불활성 가스 공급관(234c), 매스 플로우 컨트롤러(241f), 밸브(243f)에 의해서 제3 불활성 가스 공급계가 구성된다.
제1 가스 공급관(232a)으로부터는, 산소를 포함하는 가스(산소 함유 가스)로서, 예컨대 산소(O2) 가스가, 매스 플로우 컨트롤러(241a), 밸브(243a), 제1 노즐(233a)을 개재하여 처리실(201) 내에 공급된다. 즉, 제1 가스 공급계는 산소 함유 가스 공급계로서 구성된다. 이 때 동시에, 제1 불활성 가스 공급관(234a)으로부터, 불활성 가스가, 매스 플로우 컨트롤러(241c), 밸브(243c)를 개재해서 제1 가스 공급관(232a) 내에 공급되도록 하여도 좋다.
또한, 제2 가스 공급관(232b)으로부터는, 수소를 포함하는 가스(수소 함유 가스)로서, 예컨대 수소(H2) 가스가, 매스 플로우 컨트롤러(24lb), 밸브(243b), 제2 노즐(233b)을 개재하여 처리실(201) 내에 공급된다. 즉, 제2 가스 공급계는 수소 함유 가스 공급계로서 구성된다. 이 때 동시에, 제2 불활성 가스 공급관(234b)으로부터, 불활성 가스가, 매스 플로우 컨트롤러(241d), 밸브(243d)를 개재하여 제2 가스 공급관(232b) 내에 공급되도록 하여도 좋다.
또한, 제2 가스 공급관(232b)으로부터는, 질소를 포함하는 가스(질소 함유 가스)로서, 예컨대 암모니아(NH3) 가스가, 매스 플로우 컨트롤러(24lb), 밸브(243b), 제2 노즐(233b)을 개재하여 처리실(201) 내에 공급되도록 하여도 좋다. 즉, 제2 가스 공급계는 질소 함유 가스 공급계로서 구성하여도 좋다. 이 때 동시에, 제2 불활성 가스 공급관(234b)으로부터, 불활성 가스가, 매스 플로우 컨트롤러(241d), 밸브(243d)를 개재하여 제2 가스 공급관(232b) 내에 공급되도록 하여도 좋다.
또한, 제3 가스 공급관(232c)으로부터는, 제1 원료 가스, 즉, 실리콘을 포함하는 제1 원료 가스(제1 실리콘 함유 가스)로서, 예컨대 헥사클로로디실란(Si2Cl6, 약칭 HCD) 가스가, 매스 플로우 컨트롤러(241e), 밸브(243e), 제3 노즐(233c)을 개재하여 처리실(201) 내에 공급된다. 즉, 제3 가스 공급계는 제1 원료 가스 공급계(제1 실리콘 함유 가스 공급계)로서 구성된다. 이 때 동시에, 제3 불활성 가스 공급관(234c)으로부터, 불활성 가스가, 매스 플로우 컨트롤러(241f), 밸브(243f)를 개재하여 제3 가스 공급관(232c) 내에 공급되도록 하여도 좋다.
또한, 제4 가스 공급관(232d)으로부터는, 제2 원료 가스, 즉, 실리콘을 포함하는 제2 원료 가스(제2 실리콘 함유 가스)로서, 예컨대 디클로로실란(SiH2Cl2, 약칭 DCS) 가스가, 매스 플로우 컨트롤러(241g), 밸브(243g), 제3 가스 공급관 (232c), 제3 노즐(233c)을 개재하여 처리실(201) 내에 공급된다. 즉, 제4 가스 공급계는 제2 원료 가스 공급계(제2 실리콘 함유 가스 공급계)로서 구성된다. 이 때 동시에, 제3 불활성 가스 공급관(234c)으로부터, 불활성 가스가, 매스 플로우 컨트롤러(241f), 밸브(243f)를 개재하여 제3 가스 공급관(232c) 내에 공급되도록 하여도 좋다.
또한, 제1 가스 공급계와 제2 가스 공급계에 의해서 반응 가스 공급계가 구성되고, 제3 가스 공급계와 제4 가스 공급계에 의해서 원료 가스 공급계가 구성된다.
한편, 본 실시 형태에서는, O2 가스, H2 가스(NH3 가스), HCD 가스 및 DCS 가스를, 각각 별개의 노즐로부터 처리실(201) 내에 공급하도록 하고 있지만, 예컨대, H2 가스와 HCD 가스를 같은 노즐로부터 처리실(201) 내에 공급하도록 하여도 좋다. 또한, O2 가스와 H2 가스를 같은 노즐로부터 처리실(201) 내에 공급하도록 하여도 좋다. 이와 같이, 복수 종류의 가스로 노즐을 공용(共用)으로 하면, 노즐의 개수를 줄일 수 있고, 장치 비용을 저감할 수 있고, 또 메인터넌스(maintenance)도 용이하게 되는 등의 메리트가 있다. 또한, O2 가스와 H2 가스를 같은 노즐로부터 처리실(201) 내로 공급하는 것으로, 산화력 향상 효과 및 산화력 균일화 효과를 향상시키는 것도 가능해진다. 또한, 후술하는 성막 온도대에서는, HCD 가스와 H2 가스는 반응하지 않지만, HCD 가스와 O2 가스는 반응하는 것을 생각할 수 있으므로, HCD 가스와 O2 가스는 별개의 노즐로부터 처리실(201) 내에 공급하는 것이 좋다. 또한, 본 실시 형태에서는, HCD 가스와 DCS 가스를 같은 공급 배관[제3 가스 공급관(232c)] 내에서 사전에 혼합하고, 같은 노즐[제3 노즐(233c)]로부터 처리실(201) 내에 공급하도록 하고 있지만, 별개의 공급 배관, 노즐로부터 처리실(201) 내에 공급하도록 하여도 좋다.
매니폴드(209)에는, 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치되어 있다. 배기관(231)에는, 압력 검출기로서의 압력 센서(245) 및 압력 조정기 (압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(242)를 개재하고, 진공배기 장치로서의 진공 펌프(246)가 접속되고 있다. 한편, APC 밸브(242)는, 밸브를 개폐해서 처리실(201) 내의 진공 배기 및 진공 배기 정지를 할 수 있고, 또한 밸브 개도(開度)를 조절해서 압력 조정 가능하도록 구성되어 있는 개폐 밸브이다. 진공 펌프(246)를 작동시키면서, 압력 센서(245)에 의해서 검출된 압력에 기초하여 APC 밸브(242)의 밸브의 개도를 조절하는 것에 의해서, 처리실(201) 내의 압력이 소정의 압력(진공도)이 되도록 진공 배기할 수 있게 구성되어 있다. 주로, 배기관(231), 압력 센서(245), APC 밸브(242), 진공 펌프(246)에 의해서 배기계가 구성된다.
매니폴드(209)의 하방에는, 매니폴드(209)의 하단 개구를 기밀하게 폐색가능한 노구(爐口) 덮개로서의 씰 캡(219)이 설치되어 있다. 씰 캡(219)은, 매니폴드(209)의 하단에 수직 방향 하측으로부터 당접(當接)되도록 구성되어 있다. 씰 캡(219)은, 예컨대 스텐레스 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 씰 캡(219)의 상면(上面)에는, 매니폴드(209)의 하단과 당접하는 씰 부재로서의 O링(220b)이 설치되어 있다. 씰 캡(219)의 처리실(201)과 반대측에는, 후술하는 기판보지 도구로서의 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은, 씰 캡(219)을 관통해서 보트(217)에 접속되어 있다. 회전 기구(267)는, 보트(217)를 회전시키는 것에 의해서 웨이퍼(200)를 회전시키도록 구성되어 있다. 씰 캡(219)은, 프로세스 튜브(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해서 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는, 씰 캡(219)을 승강시키는 것으로, 보트(217)를 처리실(201) 내에 대하여 반입 및 반출하는 것이 가능하도록 구성되어 있다.
기판 보지 도구로서의 보트(217)는, 예컨대 석영이나 탄화 규소 등의 내열성 재료로 이루어지고, 복수 장의 웨이퍼(200)를 수평 자세로 동시에, 서로 중심을 맞춘 상태로 정렬시켜서 다단으로 보지하도록 구성되어 있다. 또한, 보트(217)의 하부에는, 예컨대 석영이나 탄화 규소 등의 내열성 재료로 이루어지는 단열 부재(218)가 설치되어 있고, 히터(207)로부터의 열이 씰 캡(219) 측에 전해지기 어렵도록 구성되어 있다. 한편, 단열 부재(218)는, 석영이나 탄화 규소 등의 내열성 재료로 이루어지는 복수 장의 단열판과, 이들 단열판을 수평 자세로 다단으로 지지하는 단열판 홀더에 의해서 구성하여도 좋다. 프로세스 튜브(203) 내에는, 온도 검출기로서의 온도 센서(263)가 설치되어 있고, 온도 센서(263)에 의해서 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태를 조정하는 것에 의해서, 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성되어 있다. 온도 센서(263)는, 제1 노즐(233a), 제2 노즐(233b) 및 제3 노즐(233c)과 마찬가지로, 프로세스 튜브(203)의 내벽을 따라 설치되어 있다.
제어부(제어 수단)인 컨트롤러(280)는, 매스 플로우 컨트롤러(241a, 24lb, 241c, 241d, 241e, 241f, 241g), 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g), 압력 센서(245), APC 밸브(242), 히터(207), 온도 센서(263), 진공 펌프(246), 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다. 컨트롤러(280)에 의해서, 매스 플로우 컨트롤러(241a, 24lb, 241c, 241d, 241e, 241f, 241g)에 의한 가스 유량 조정, 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g)의 개폐 동작, APC 밸브(242)의 개폐 및 압력 센서(245)에 기초하는 압력 조정 동작, 온도 센서(263)에 기초하는 히터(207)의 온도 조정, 진공 펌프(246)의 기동 및 정지, 회전 기구(267)의 회전 속도 조절, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작 등의 제어가 수행된다.
그 다음에, 상술한 기판 처리 장치의 처리로(202)를 이용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판 상에 절연막으로서의 산화막을 성막하는 방법의 예에 대해서 설명한다. 또한, 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(280)에 의해서 제어된다.
도 3에, 본 실시 형태에 있어서의 성막 흐름도를, 도 4, 도 5 및 도 6에 본 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍 도를 도시한다. 본 실시 형태의 성막 시퀀스에서는, 기판을 수용한 처리 용기 내에, 소정 원소로서의 실리콘을 포함하는 적어도 2종류의 원료 가스로서 실리콘을 포함하는 제1 원료 가스(HCD 가스)와 실리콘을 포함하는 제2 원료 가스(DCS 가스)를 공급하는 것으로 기판 상에 소정 원소 함유층으로서의 실리콘 함유층을 형성하는 공정과, 처리 용기 내에 제1 원료 가스 및 제2 원료 가스와는 다른 반응 가스로서 산소 함유 가스(O2 가스)와 수소 함유 가스(H2 가스)를 공급하는 것으로 실리콘 함유층을 실리콘 산화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 기판 상에 소정 막 두께의 실리콘 산화막을 형성한다. 또한, 제1 원료 가스는 제2 원료 가스보다도 반응성이 높고, 기판 상에 실리콘 함유층을 형성하는 공정에서는, 제1 원료 가스의 공급량을 제2 원료 가스의 공급량보다도 적게 한다.
기판 상에 실리콘 함유층을 형성하는 공정은, CVD 반응이 생기는 조건 하에서 수행한다. 이 때 기판 상에 1 원자층 미만으로부터 수 원자층 정도의 실리콘 함유층으로서의 실리콘층을 형성한다. 실리콘 함유층은 각 원료 가스의 흡착층, 즉, 제1 원료 가스의 흡착층이나 제2 원료 가스의 흡착층이어도 좋다. 여기서 실리콘층은, 실리콘에 의해서 구성되는 연속적인 층 이외에, 불연속인 층이나, 이들을 중첩하여 만들 수 있는 실리콘 박막도 포함하는 총칭이다. 한편, 실리콘에 의해서 구성되는 연속적인 층을 실리콘 박막이라고 하는 경우도 있다. 또한, 원료 가스의 흡착층은, 원료 가스의 가스 분자의 연속적인 화학 흡착층 이외에, 불연속인 화학 흡착층도 포함한다. 또한, 1 원자층 미만의 층은 불연속으로 형성되는 원자층을 의미하고 있다. 원료 가스가 자기(自己) 분해하는 조건 하에서는, 기판 상에 실리콘이 퇴적하는 것으로 실리콘층이 형성된다. 원료 가스가 자기 분해하지 않는 조건 하에서는, 기판 상에 원료 가스가 흡착하는 것으로 원료 가스의 흡착층이 형성된다. 한편, 기판 상에 원료 가스의 흡착층을 형성하는 것보다도, 기판 상에 실리콘층을 형성하는 쪽이, 성막율을 높게 할 수 있어 바람직하다.
또한, 실리콘 함유층을 실리콘 산화층으로 개질하는 공정에서는, 반응 가스를 열로 활성화시켜서 공급하는 것으로, 실리콘 함유층을 산화해서 실리콘 산화층으로 개질한다. 이 때, 대기압 미만의 압력 분위기 하에 있는 처리 용기 내에서 반응 가스로서의 산소 함유 가스와 수소 함유 가스를 반응시켜서 산소를 포함하는 산화종(酸化種)을 생성하고, 이 산화종에 의해서 실리콘 함유층을 산화해서 실리콘 산화층으로 개질한다. 이 산화 처리에 의하면, 산소 함유 가스를 단독으로 공급하는 경우에 비해, 산화력을 대폭 향상시킬 수 있다. 즉, 갑압 분위기 하에서 산소 함유 가스에 수소 함유 가스를 첨가하는 것으로, 산소 함유 가스 단독 공급의 경우에 비해 대폭적인 산화력 향상 효과를 얻을 수 있다. 실리콘 함유층을 실리콘 산화층으로 개질하는 공정은 논-플라즈마(non-plasma)의 감압 분위기 하에서 수행된다. 또한, 반응 가스로서는, 산소 함유 가스를 단독으로 이용할 수도 있다.
(SiO 성막에의 적용)
이하, 이것을 구체적으로 설명한다.
한편, 본 실시 형태에서는, 실리콘을 포함하는 제1 원료 가스로서 HCD 가스를, 실리콘을 포함하는 제2 원료 가스로서 DCS 가스를, 반응 가스로서의 산소 함유 가스, 수소 함유 가스로서 O2 가스, H2 가스를 각각 이용하고, 도 3의 성막 흐름, 도 4, 도 5 및 도 6의 성막 시퀀스에 의해서, 기판 상에 절연막으로서 실리콘 산화막(SiO2막)을 형성하는 예에 대해서 설명한다.
복수 장의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 챠지)되면, 도 1에 도시되는 바와 같이, 복수 장의 웨이퍼(200)를 보지한 보트(217)는, 보트 엘리베이터(115)에 의해서 들어 올려 져서 처리실(201) 내에 반입(보트 로딩)된다. 이 상태에서, 씰 캡(219)은 O링(220b)을 개재하여 매니폴드(209)의 하단을 씰링한 상태가 된다.
처리실(201) 내가 원하는 압력(진공도)이 되도록 진공 펌프(246)에 의해서 진공 배기된다. 이 때, 처리실(201) 내의 압력은 압력 센서(245)로 측정되어, 이 측정된 압력에 기초하여 APC 밸브(242)가 피드백 제어된다(압력조정). 또한, 처리실(201) 내가 원하는 온도가 되도록 히터(207)에 의해서 가열된다. 이 때, 처리실(201) 내가 원하는 온도 분포가 되도록 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다(온도 조정). 계속해서, 회전 기구(267)에 의해서 보트(217)가 회전되는 것으로 웨이퍼(200)가 회전된다. 그 후, 후술하는 4개의 스텝을 순차 실행한다.
[스텝 1]
제3 가스 공급관(232c)의 밸브(243e), 제3 불활성 가스 공급관(234c)의 밸브(243f)를 열고, 제3 가스 공급관(232c)에 HCD 가스, 제3 불활성 가스 공급관(234c)에 불활성 가스(예컨대 N2 가스)를 흘려보낸다. 또한, 제4 가스 공급관(232d)의 밸브(243g)를 열고, 제4 가스 공급관(232d)에 DCS 가스를 흘려보낸다. 불활성 가스는, 제3 불활성 가스 공급관(234c)로부터 흘러서, 매스 플로우 컨트롤러(241f)에 의해서 유량 조정된다. HCD 가스는, 제3 가스 공급관(232c)로부터 흘러서, 매스 플로우 컨트롤러(241e)에 의해서 유량 조정된다. DCS 가스는, 제4 가스 공급관(232d)으로부터 흘러서, 매스 플로우 컨트롤러(241g)에 의해서 유량 조정된다. 유량 조정된 HCD 가스와, 유량 조정된 DCS 가스와, 유량 조정된 불활성 가스는, 제3 가스 공급관(232c) 내에서 혼합되어서, 제3 노즐(233c)의 가스 공급공(248c)으로부터, 가열된 감압 상태의 처리실(201) 내에 공급되어 배기관(231)으로부터 배기된다(HCD 및 DCS공급).
이 때, 도 4, 도 5 및 도 6에 도시되는 바와 같이, 스텝 1에 있어서의 HCD 가스의 공급량을 DCS 가스의 공급량보다도 적게 한다. 즉, 스텝 1에 있어서의 DCS 가스의 공급량에 대한 HCD 가스의 공급량을 미량으로 한다. 또한, 도 4, 도 5 및 도 6의 각각의 횡축은 시간을, 종축은 각 가스의 공급 유량을 나타내고 있고, 각 스텝에 있어서의 각 가스의 공급 상태를 나타내는 구형(矩形)의 면적이, 각 스텝에 있어서의 각 가스의 공급량을 나타내고 있다.
스텝 1에 있어서의 DCS 가스의 공급량에 대한 HCD 가스의 공급량의 비, 즉 HCD 공급량/DCS공급량(HCD/DCS)은, 0.03(3%)이상 0.5(50%)이하로 하는 것이 바람직하고, 0.06(6%)이상 0.5(50%)이하로 하는 것이 보다 바람직하다. HCD/DCS를 3%미만으로 하면 웨이퍼 표면상으로의 DCS의 흡착 확률 및 실리콘의 퇴적 확률이 낮아지므로, 성막 속도를 높게 하는 것이 어려워지고, 또한, 막 두께 균일성을 확보하는 것도 어려워진다. 또한, HCD/DCS를 6%미만으로 하면 웨이퍼 표면상으로의 HCD나 DCS의 흡착 및 실리콘의 퇴적이 포화하기 어려워진다. HCD/DCS를 50%보다 크게 하면 부생성물(副生成物)이나 파티클(particle)이 많아지는 포텐셜이 높아진다. 원료 비용 저감의 효과도 작아져버린다. 즉, HCD/DCS를 3%이상 50%이하로 하는 것으로, 웨이퍼 표면상으로의 DCS의 흡착 및 실리콘의 퇴적율을 높이고, 성막 속도를 높게 할 수 있고, 또한, 막 두께 균일성을 향상시킬 수도 있게 된다. 또한, 부생성물의 생성이나 파티클의 발생을 억제하는 것도 가능해지고, 원료 비용을 대폭 저감하는 것도 가능해진다. 또한, HCD/DCS를 6%이상 50%이하로 하는 것으로, HCD나 DCS의 웨이퍼 표면상에의 흡착 및 실리콘의 퇴적을 포화시키는 것이 용이하게 되므로, 성막 속도를 보다 높게 할 수 있고, 또한, 막 두께 균일성을 보다 향상시킬 수도 있다. 또한, 부생성물의 생성이나 파티클의 발생을 억제하는 것도 가능해지고, 원료 비용을 대폭 저감하는 것도 가능해진다.
이 경우, 도 4에 도시되는 바와 같이, HCD 가스의 공급 유량을 DCS 가스의 공급 유량보다도 적게 하고, HCD 가스와 DCS 가스를 동시에 공급하도록 해도 좋다. 즉, HCD 가스의 공급 유량을 DCS 가스의 공급 유량보다도 적게 하고, HCD 가스와 DCS 가스의 공급 개시 및 공급 정지를 동시에 수행하고, HCD 가스의 공급 시간과 DCS 가스의 공급 시간을 동일하게 해도 좋다. 도 4의 공급 방법의 경우, 밸브(243e)와 밸브(243g)의 개폐의 타이밍을 맞출 수 있고, 밸브 개폐 제어가 용이하게 된다.
또한, 도 5에 도시되는 바와 같이, HCD 가스의 공급 유량과 DCS 가스의 공급 유량을 동일하게 하여, HCD 가스와 DCS 가스를 동시에 공급한 후, HCD 가스의 공급을 먼저 정지하도록 해도 좋다. 즉, HCD 가스의 공급 유량과 DCS 가스의 공급 유량을 동일하게 해서, HCD 가스와 DCS 가스의 공급 개시를 동시에 수행하고, HCD 가스의 공급을 정지한 후, DCS 가스 단독으로의 공급을 소정 시간 계속하고 나서 정지하도록 하고, HCD 가스의 공급 시간을 DCS 가스의 공급 시간보다도 짧게 하도록 해도 좋다. 도 5의 공급 방법의 경우, 도 4의 공급 방법에 비해, HCD 가스와 DCS 가스를 공급하는 초기에 있어서의 HCD 가스의 공급량을 많게 할 수 있고, 공급 초기에, DCS 가스가 웨이퍼 표면에 흡착하기 쉬운 상태를 신속하게 만들어 낼 수 있고, 그 후 DCS 가스를 단독으로 공급할 때에 DCS 가스의 웨이퍼 표면으로의 흡착 효율을 올릴 수 있다.
또한, 도 6에 도시되는 바와 같이, HCD 가스의 공급 유량과 DCS 가스의 공급 유량을 동일하게 해서, DCS 가스의 공급보다도 선행하여 HCD 가스의 공급을 하도록 해도 좋다. 즉, HCD 가스의 공급 유량과 DCS 가스의 공급 유량을 동일하게 해서, HCD 가스의 공급을 개시한 후, HCD 가스의 공급을 정지함과 동시에 DCS 가스의 공급을 개시하고, 그 후 DCS 가스의 공급을 정지하도록 하고, HCD 가스의 공급 시간을 DCS 가스의 공급 시간보다도 짧게 하도록 해도 좋다. 이 경우, HCD 가스의 공급을 개시한 후, HCD 가스의 공급을 정지하지 않고 DCS 가스의 공급을 개시하고, 그 후 DCS 가스의 공급을 계속한 상태로, HCD 가스의 공급을 정지하도록 해도 좋다. 이 경우에 있어서도 HCD 가스의 공급 시간을 DCS 가스의 공급 시간보다도 짧게 하도록 한다. 도 6의 공급 방법의 경우, HCD 가스를 DCS 가스보다도 선행하여 공급하는 것에 의해서, DCS 가스를 공급하기 전에, DCS 가스가 웨이퍼 표면에 흡착하기 쉬운 상태를 만들어 낼 수 있고, 그 후 DCS 가스를 단독으로 공급할 때에 DCS 가스의 웨이퍼 표면으로의 흡착 효율을 올릴 수 있다.
또한, 이들의 공급 방법을 적당히 조합시켜도 좋다. 예컨대, HCD 가스의 공급 유량을 DCS 가스의 공급 유량보다도 적게 하고, 게다가, HCD 가스의 공급 시간을 DCS 가스의 공급 시간보다도 짧게 하도록 해도 좋다.
한편, HCD 가스의 공급량을 DCS 가스의 공급량보다도 적게 하는 것이라면, 예컨대, HCD 가스의 공급 유량을 DCS 가스의 공급 유량보다도 적게 하고, HCD 가스의 공급 시간을 DCS 가스의 공급 시간보다도 길게 하도록 해도 좋고, HCD 가스의 공급 유량을 DCS 가스의 공급 유량보다도 많게 하고, HCD 가스의 공급 시간을 DCS 가스의 공급 시간보다도 짧게 하도록 해도 좋다.
이렇게, HCD 가스의 공급 유량을 DCS 가스의 공급 유량보다도 적게 할 것인지, HCD 가스의 공급 시간을 DCS 가스의 공급 시간보다도 짧게 할 것인지, 또는, HCD 가스의 공급 유량을 DCS 가스의 공급 유량보다도 적게 하는 동시에 HCD 가스의 공급 시간을 DCS 가스의 공급 시간보다도 짧게 하는 것으로, HCD 가스의 공급량을 DCS 가스의 공급량보다도 적게 한다.
이 때, APC 밸브(242)를 적정하게 조정하고, 처리실(201) 내의 압력을, 대기압 미만, 예컨대 10~1,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(241e)로 제어하는 HCD 가스의 공급 유량은, 예컨대 1~500sccm의 범위 내의 유량으로 한다. HCD 가스에 웨이퍼(200)를 노출하는 시간은, 예컨대 1~120초 사이의 범위 내의 시간으로 한다. 매스 플로우 컨트롤러(241g)에서 제어하는 DCS 가스의 공급 유량은, 예컨대 1~5,000sccm의 범위 내의 유량으로 한다. DCS 가스에 웨이퍼(200)를 노출하는 시간은, 예컨대 1~120초 사이의 범위 내의 시간으로 한다. 히터(207)의 온도는, 처리실(201) 내에서 CVD 반응이 생기는 온도가 되도록 설정한다. 즉 웨이퍼(200)의 온도가, 예컨대 350~850℃, 바람직하게는 400~700℃의 범위 내의 온도가 되도록 히터(207)의 온도를 설정한다. 또한, 웨이퍼(200)의 온도가 350℃미만이 되면 웨이퍼(200) 상에 HCD나 DCS가 흡착하기 어려워지고, HCD나 DCS가 분해되기 어려워진다. 또한, 웨이퍼(200)의 온도가 400℃미만이 되면 성막율이 실용 레벨을 밑돈다. 또한, 웨이퍼(200)의 온도가 700℃, 특히 850℃를 넘으면 CVD 반응이 강해지고, 균일성이 악화되기 쉬워진다. 따라서, 웨이퍼(200)의 온도는 350~850℃, 바람직하게는 400~700℃로 하는 것이 좋다.
상술하는 조건에서 HCD 가스 및 DCS 가스를 처리실(201) 내에 공급하는 것으로, 웨이퍼[200, 표면의 하지막(下地膜)] 상에 1 원자층 미만으로부터 수 원자층의 실리콘 함유층으로서의 실리콘층(Si층)이 형성된다. 실리콘 함유층은 HCD 가스의 화학 흡착층이나 DCS 가스의 화학 흡착층이어도 좋다. 또한, HCD 가스나 DCS 가스가 자기 분해하는 조건 하에서는, 웨이퍼(200) 상에 실리콘이 퇴적하는 것으로 실리콘층이 형성된다. HCD 가스나 DCS 가스가 자기 분해하지 않는 조건 하에서는, 웨이퍼(200) 상에 HCD 가스나 DCS 가스가 화학 흡착하는 것으로 HCD 가스나 DCS 가스의 화학 흡착층이 형성된다. 웨이퍼(200) 상에 형성되는 실리콘 함유층의 두께가 수 원자층을 넘으면, 후술하는 스텝 3에서의 산화의 작용이 실리콘 함유층의 전체(全體)에 도달하지 않게 된다. 또한, 웨이퍼(200) 상에 형성 가능한 실리콘 함유층의 최소값은 1 원자층 미만이다. 따라서, 실리콘 함유층의 두께는 1 원자층 미만으로부터 수 원자층으로 하는 것이 바람직하다.
실리콘을 포함하는 제1 원료로서는, HCD 등의 무기 원료 외에, 아미노실란계의 4DMAS{테트라키스디메틸아미노실란, Si[N(CH3)2]4}, 3DMAS{트리스디메틸아미노실란, Si[N(CH3)2]3H}, 2DEAS{비스디에틸아미노실란, Si[N(C2H5)2]2H2}, BTBAS{비스터셔리부틸아미노실란, SiH2[NH(C4H9)]2} 등의 유기 원료를 이용해도 좋다. 또한, 실리콘을 포함하는 제2 원료로서는, DCS 이외에, TCS(테트라클로로실란, SiCl4), SiH4(모노실란), Si2H6(디실란) 등의 무기 원료를 이용해도 좋다.
불활성 가스로서는, N2 가스 이외에, Ar, He, Ne, Xe 등의 희(希)가스를 이용해도 좋다. 또한, 불활성 가스로서 질소(N)를 포함하지 않는 가스인 Ar이나 He 등의 희가스를 사용하는 것으로, 형성되는 실리콘 산화막의 막중 N 불순물 농도를 저감할 수 있다. 따라서, 불활성 가스로서는, Ar, He 등의 희가스를 이용하는 것이 바람직하다. 후술하는 스텝 2, 스텝 3 및 스텝 4에 있어서도 마찬가지이다.
[스텝 2]
웨이퍼(200) 상에 실리콘 함유층이 형성된 후, 제3 가스 공급관(232c)의 밸브(243e)를 닫고, HCD 가스의 공급을 정지한다. 또한, 제4 가스 공급관(232d)의 밸브(243g)를 닫고, DCS 가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(242)는 열린 상태로 하여, 진공 펌프(246)에 의해서 처리실(201) 내를 진공 배기하고, 잔류한 HCD 가스나 DCS 가스를 처리실(201) 내로부터 배제한다. 이 때, 불활성 가스를 처리실(201) 내에 공급하면, 잔류한 HCD 가스나 DCS 가스를 배제하는 효과가 더욱 높아진다(잔류 가스 제거). 이 때의 히터(207)의 온도는, 웨이퍼(200)의 온도가 HCD 가스 및 DCS 가스의 공급시와 동일하게 350~850℃, 바람직하게는 400~700℃의 범위 내의 온도가 되도록 설정한다.
[스텝 3]
처리실(201) 내의 잔류 가스를 제거한 후, 제1 가스 공급관(232a)의 밸브(243a), 제1 불활성 가스 공급관(234a)의 밸브(243c)를 열고, 제1 가스 공급관( 232a)에 O2 가스, 제1 불활성 가스 공급관(234a)에 불활성 가스를 흘려보낸다. 불활성 가스는, 제1 불활성 가스 공급관(234a)으로부터 흘러서, 매스 플로우 컨트롤러(241c)에 의해서 유량 조정된다. O2 가스는 제1 가스 공급관(232a)으로부터 흘러서, 매스 플로우 컨트롤러(241a)에 의해서 유량 조정된다. 유량 조정된 O2 가스는, 유량 조정된 불활성 가스와 제1 가스 공급관(232a) 내에서 혼합되어서, 제1 노즐(233a)의 가스 공급공(248a)으로부터, 가열된 갑압 상태의 처리실(201) 내에 공급되어 배기관(231)으로부터 배기된다. 이 때 동시에, 제2 가스 공급관(232b)의 밸브(243b), 제2 불활성 가스 공급관(234b)의 밸브(243d)를 열고, 제2 가스 공급관(232b)에 H2 가스, 제2 불활성 가스 공급관(234b)에 불활성 가스를 흘려보낸다. 불활성 가스는, 제2 불활성 가스 공급관(234b)으로부터 흘러서, 매스 플로우 컨트롤러(241d)에 의해서 유량 조정된다. H2가스는 제2 가스 공급관(232b)으로부터 흘러서, 매스 플로우 컨트롤러(24lb)에 의해서 유량 조정된다. 유량 조정된 H2 가스는, 유량 조정된 불활성 가스와 제2 가스 공급관(232b) 내에서 혼합되어서, 제2 노즐(233b)의 가스 공급공(248b)로부터, 가열된 갑압 상태의 처리실(201) 내에 공급되어 배기관(231)으로부터 배기된다(O2 및 H2공급). 또한, O2 가스 및 H2 가스는 플라즈마에 의해서 활성화하지 않고 처리실(201) 내에 공급한다.
이 때, APC 밸브(242)를 적정하게 조정하고, 처리실(201) 내의 압력을, 대기압 미만, 예컨대 1~1,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(241a)에서 제어하는 O2 가스의 공급 유량은, 예컨대 1sccm~20,000sccm(20slm)의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(24lb)에서 제어하는 H2 가스의 공급 유량은, 예컨대 1sccm~20,000sccm(20slm)의 범위 내의 유량으로 한다. 또한, O2 가스 및 H2 가스에 웨이퍼(200)를 노출하는 시간은, 예컨대 1~120초 사이의 범위 내의 시간으로 한다. 히터(207)의 온도는, 웨이퍼(200)의 온도가, 예컨대 350~1,000도의 범위 내의 온도가 되도록 설정한다. 또한, 이 범위 내의 온도라면 갑압 분위기 하에서의 O2 가스로의 H2 가스 첨가에 의한 산화력 향상의 효과를 얻을 수 있는 것을 확인하였다. 또한, 웨이퍼(200)의 온도가 지나치게 낮으면 산화력 향상의 효과를 얻을 수 없는 것도 확인하였다. 단, 스루풋(throughput)을 고려하면, 웨이퍼(200)의 온도가, 산화력 향상의 효과를 얻을 수 있는 온도이며 스텝 1의 HCD 가스의 공급시와 동일한 온도가 되도록, 즉 스텝 1과 스텝 3에서 처리실(201) 내의 온도를 동일한 온도로 보지하도록 히터(207)의 온도를 설정하는 것이 바람직하다. 이 경우, 스텝 1과 스텝 3에서 웨이퍼(200)의 온도, 즉 처리실(201) 내의 온도가 350~850℃, 바람직하게는 400~700℃의 범위 내의 일정한 온도가 되도록 히터(207)의 온도를 설정한다. 그 다음, 스텝 1~스텝 4(후술)에 걸쳐서 처리실(201) 내의 온도를 동일한 온도로 보지하도록 히터(207)의 온도를 설정하는 것이 보다 바람직하다. 이 경우, 스텝 1~스텝 4(후술)에 걸쳐서 처리실 (201) 내의 온도가 350~850℃, 바람직하게는 400~700℃의 범위 내의 일정한 온도가 되도록 히터(207)의 온도를 설정한다. 한편, 갑압 분위기 하에서의 O2 가스로의 H2 가스 첨가에 의한 산화력 향상의 효과를 얻기 위해서는, 처리실(201) 내의 온도를 350℃이상으로 할 필요가 있지만, 처리실(201) 내의 온도는 400℃이상으로 하는 것이 바람직하고, 450℃이상으로 하는 것이 더욱 바람직하다. 처리실(201) 내의 온도를 400℃이상으로 하면, 400℃이상의 온도에서 수행하는 O3 산화 처리에 의한 산화력을 넘는 산화력을 얻을 수 있고, 처리실(201) 내의 온도를 450℃이상으로 하면, 450℃이상의 온도에서 수행하는 O2 플라즈마 산화 처리에 의한 산화력을 넘는 산화력을 얻을 수 있다.
상술한 조건에서 O2 가스 및 H2 가스를 처리실(201) 내에 공급하는 것으로, O2 가스 및 H2 가스는 가열된 감압 분위기 하에서 논 플라즈마로 활성화되어서 반응하고, 그에 의해서 원자상(原子狀) 산소 등의 O를 포함하는 산화종이 생성된다. 그리고, 주로 이 산화종에 의해서, 스텝 1에서 웨이퍼(200) 상에 형성된 실리콘 함유층에 대하여 산화 처리가 수행된다. 그리고, 이 산화 처리에 의해서, 실리콘 함유층은 실리콘 산화층(SiO2층, 이하, 단순히 SiO층이라고 함)으로 개질된다.
산소 함유 가스로서는, 산소(O2) 가스 외에, 오존(O3) 가스 등을 이용해도 좋다. 한편, 상술한 온도대에 있어서, 일산화질소(NO) 가스나 아산화질소(N2O) 가스로의 수소 함유 가스 첨가 효과를 시험해 본 바, NO 가스 단독 공급이나 N2O 가스 단독 공급에 비해서 산화력 향상의 효과를 얻을 수 없는 것을 확인하였다. 즉, 산소 함유 가스로서는 질소 비(非)함유의 산소 함유 가스(질소를 포함하지 않고 산소를 포함하는 가스)를 이용하는 것이 바람직하다. 수소 함유 가스로서는, 수소(H2) 가스 외에, 중수소(D2) 가스 등을 이용해도 좋다. 한편, 암모니아(NH3) 가스나 메탄(CH4) 가스 등을 이용하면, 질소(N) 불순물이나 탄소(C) 불순물의 막중으로의 혼입(混入)을 생각할 수 있다. 즉, 수소 함유 가스로서는, 타 원소 비함유의 수소 함유 가스(다른 원소를 포함하지 않고 수소 또한 중수소를 포함하는 가스)를 이용하는 것이 바람직하다. 즉, 산소 함유 가스로서는, O2 가스 및 O3 가스로 이루어지는 군으로부터 선택되는 적어도 하나의 가스를 이용할 수 있고, 수소 함유 가스로서는, H2 가스 및 D2 가스로 이루어지는 군으로부터 선택되는 적어도 하나의 가스를 이용할 수 있다.
[스텝 4]
실리콘 함유층을 실리콘 산화층으로 개질한 후, 제1 가스 공급관(232a)의 밸브(243a)를 닫고, O2 가스의 공급을 정지한다. 또한, 제2 가스 공급관(232b)의 밸브(243b)를 닫고, H2 가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(242)는 연 상태로 하여, 진공 펌프(246)에 의해서 처리실(201) 내를 진공 배기하고, 잔류한 O2 가스나 H2 가스를 처리실(201) 내로부터 배제한다. 이 때, 불활성 가스를 처리실(201) 내에 공급하면, 잔류한 O2 가스나 H2 가스를 배제하는 효과가 더욱 높아진다(잔류 가스 제거). 이 때의 히터(207)의 온도는, 웨이퍼(200)의 온도가 O2 가스 및 H2 가스의 공급시와 동일하게 350~850℃, 바람직하게는 400~700℃의 범위 내의 온도가 되는 온도로 설정한다.
상술한 스텝 1~스텝 4를 1사이클로 하여, 이 사이클을 복수 회 반복하는 것에 의해서, 웨이퍼(200) 상에 소정 막 두께의 실리콘 산화막(SiO2막, 이하, 단순히 SiO막이라 함)을 성막 할 수 있다.
소정 막 두께의 실리콘 산화막을 성막하면, 불활성 가스가 처리실(201) 내에 공급되어 배기되는 것으로 처리실(201) 내가 불활성 가스로 퍼지된다(퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되어, 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
그 후, 보트 엘리베이터(115)에 의해서 씰 캡(219)이 하강되어서, 매니폴드(209)의 하단이 개구되는 동시에, 처리가 완료된 웨이퍼(200)가 보트(217)에 보지된 상태로 매니폴드(209)의 하단으로부터 프로세스 튜브(203)의 외부로 반출(보트 언로딩)된다. 그 후, 처리가 완료된 웨이퍼(200)는 보트(217)로부터 취출(取出)된다(웨이퍼 디스챠지).
상술한 스텝 1에서는, DCS 가스보다도 고가이지만 인큐베이션 타임이 짧은 HCD 가스와, HCD 가스보다도 저렴하고 인큐베이션 타임이 긴 DCS 가스를, HCD 가스의 공급량을 DCS 가스의 공급량보다도 미량으로서 동시 또한 순차 공급하는 것에 의해서, 저온 영역에서 성막하는 경우라도 성막율의 향상, 처리 시간의 단축이 가능해진다. DCS 가스를 단독으로 사용하는 경우에는 성막할 수 없는 저온 영역에서도, 성막하는 것이 가능해진다. 또한, 종래보다도 저온의 영역에서의 성막도 가능해진다. 또한, HCD 가스를 단독으로 사용하는 경우에 비해, 성막 제어성을 열화시키지 않고, 원료 비용을 대폭 억제할 수 있고, 반도체 디바이스의 생산 비용을 대폭 저감하는 것이 가능해진다.
상술한 스텝 3에서는, 가열된 갑압 분위기 하에서 O2 가스와 H2 가스를 반응시켜서 원자상 산소 등의 O를 포함하는 산화종을 생성하고, 이 산화종을 이용하여, 실리콘 함유층을 실리콘 산화층으로 개질하는 개질 공정을 수행하는 것에 의해서, 산화종이 가지는 에너지가 실리콘 함유층 중에 포함되는 Si-N, Si-Cl, Si-H, Si-C결합을 분리시킨다. Si-O결합을 형성하기 위한 에너지는, Si-N, Si-Cl, Si-H, Si-C의 결합 에너지보다도 높기 때문에, Si-O결합 형성에 필요한 에너지를 산화 처리 대상의 실리콘 함유층에 주는 것으로, 실리콘 함유층 중의 Si-N, Si-Cl, Si-H, Si-C결합은 분리된다. Si와의 결합이 분리된 N, H, Cl, C는 막 중으로부터 제거되어, N2, H2, Cl2, HCl, CO2 등으로서 배출된다. 또한, N, H, Cl, C와의 결합이 분리되는 것에 의해서 남은 Si의 결합수(結合手)는, 산화종에 포함되는 O와 결부되어 SiO2층으로 개질된다. 본 실시 형태의 성막 시퀀스에 의해서 형성한 SiO2막의 막중 질소, 수소, 염소, 탄소 농도는 지극히 낮고, Si/O비율은 화학량론(化學量論) 조성인 0.5에 지극히 가까운, 양질의 막이 되는 것을 확인하였다.
한편, 이 스텝 3의 산화 처리와, O2 플라즈마 산화 처리와, O3 산화 처리를 비교한 바, 450℃이상 850℃이하에 있어서의 저온 분위기 하에서는, 이 스텝 3의 산화 처리의 산화력이 가장 강력한 것을 확인하였다. 정확하게는, 400℃이상 850℃이하에서는, 스텝 3의 산화 처리에 의한 산화력은, O3 산화 처리에 의한 산화력을 상회하고, 450℃이상 850℃이하에서는, 스텝 3의 산화 처리에 의한 산화력은, O3 산화 처리 및 O2 플라즈마 산화 처리에 의한 산화력을 상회하는 것을 확인하였다. 이에 의해서, 이 스텝 3의 산화 처리는, 이러한 저온 분위기 하에서는 대단히 유효한 것이 밝혀졌다. 한편, O2 플라즈마 산화 처리의 경우, 플라즈마 발생기가 필요하게 되고, O3 산화 처리의 경우, 오조나이저가 필요하게 되지만, 이 스텝 3의 산화 처리에 의하면, 이것들이 불필요하게 되고, 장치 비용을 저감할 수 있는 등의 메리트가 있다. 단, 본 실시 형태에 있어서는, 산소 함유 가스로서 O3이나 O2 플라즈마를 사용한다는 선택 사항도 있고, 이들의 가스의 사용을 부정하는 것이 아니다. O3이나 O2 플라즈마에 수소 함유 가스를 첨가하는 것으로, 보다 에너지가 높은 산화종을 생성할 수 있고, 이 산화종에 의해서 산화 처리를 수행하는 것으로, 디바이스 특성이 향상하는 등의 효과도 생각된다.
또한, 본 실시 형태의 성막 시퀀스에 의해서 실리콘 산화막을 형성하면, 성막율, 웨이퍼 면 내에 있어서의 막 두께 균일성은, 일반적인 CVD법에 의해서 실리콘 산화막을 형성하는 경우보다도 양호한 것이 되는 것을 확인하였다. 또한, 일반적인 CVD법은, 무기 원료인 DCS와 N2O를 동시에 공급하여 CVD법에 의해서 실리콘 산화막[HTO(High Temperature Oxide)막]을 형성하는 방법을 나타내고 있다. 또한, 본 실시 형태의 성막 시퀀스에 의해서 형성한 실리콘 산화막의 막 중의 질소, 염소 등의 불순물의 농도는, 일반적인 CVD법에 의해서 형성한 실리콘 산화막보다도 지극히 낮아지는 것을 확인하였다. 또한, 본 실시 형태의 성막 시퀀스에 의해서 형성한 실리콘 산화막의 막 중의 불순물 농도는, 유기계 실리콘 원료를 이용해서 CVD법에 의해서 형성한 실리콘 산화막보다도 지극히 낮아지는 것을 확인하였다. 또한, 본 실시 형태의 성막 시퀀스에 의하면, 유기계 실리콘 원료를 이용한 경우라도, 성막율, 웨이퍼면 내에 있어서의 막 두께 균일성, 막 중의 불순물 농도가 양호한 것이 되는 것을 확인하였다.
<본 발명의 다른 실시 형태>
상술한 실시 형태에서는, 수소 함유 가스로서의 H2 가스는, 도 4, 도 5 및 도 6에 도시되는 바와 같이 간헐적으로, 즉, 스텝 3에서만 공급하는 예에 대해서 설명했지만, 연속적으로, 즉, 스텝 1~스텝 4를 반복하는 동안, 항상 계속해서 공급하도록 해도 좋다. 또한, H2 가스를 간헐적으로 공급하는 경우라도, 스텝 1 및 3에서만 공급하도록 해도 좋고, 스텝 1~스텝 3에 걸쳐서 공급하도록 해도 좋다. 또한, 스텝 2~스텝 3에 걸쳐서 공급하도록 해도 좋고, 스텝 3~스텝 4에 걸쳐서 공급하도록 해도 좋다.
스텝 1에 있어서, 즉 HCD 가스 및 DCS 가스 공급시에 H2 가스를 공급하는 것으로, HCD 가스 및 DCS 가스 중의 Cl을 뽑는 것을 생각할 수 있고, 성막율의 향상, 막 중 Cl 불순물의 저감 효과를 생각할 수 있다. 또한, 스텝 2에 있어서, 즉 HCD 가스 및 DCS 가스의 공급을 정지한 후에 O2 가스보다도 선행하여 H2 가스의 공급을 개시하는 것으로, 막 두께 균일성 제어에 유효하게 되는 것을 생각할 수 있다. 또한, 스텝 2에 있어서, 즉 O2 가스보다도 선행하여 H2 가스의 공급을 개시하는 것으로, 예컨대 금속과 실리콘이 노출한 부분에 대해서는, 선택적으로 실리콘에 산화막을 형성할 수 있게 되는 것을 생각할 수 있다. 또한, 스텝 4에 있어서, 즉 O2 가스의 공급을 정지한 후, HCD 가스 및 DCS 가스의 공급을 개시하기 전에, H2 가스를 공급하는 것으로, 스텝 3에서 형성된 SiO층의 표면을 수소 종단(終端)시켜서 개질시켜, 다음 스텝 1에서 공급하는 HCD 가스 및 DCS 가스가 SiO층의 표면에 흡착하기 쉬워지도록 할 수 있는 것을 생각할 수 있다.
또한, 상술한 본 실시 형태에서는, 기판에 대하여 실리콘을 포함하는 적어도 2종류의 원료 가스(HCD 가스, DCS 가스)를 공급하는 것으로, 기판 상에 실리콘 함유층을 형성하는 공정과, 기판에 대하여 반응 가스(O2 가스, H2 가스)를 공급하는 것으로, 실리콘 함유층을 실리콘 산화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 기판 상에 소정 막 두께의 실리콘 산화막(SiO막)을 형성하는 예에 대해서 설명했지만, 본 발명은 상술한 실시 형태에 한정되는 것이 아니고, 그 요지를 일탈하지 않는 범위에서 종종 변경 가능하다.
(SiN 성막에의 적용)
예컨대 본 발명은, 실리콘 함유층을 실리콘 산화층으로 개질하는 대신 실리콘 질화층으로 개질하는 것으로, 기판 상에 소정 막 두께의 실리콘 질화막을 형성하는 경우(SiN 성막)에도 적용할 수 있다. 이 경우, 반응 가스로서는 질소 함유 가스를 이용한다. 질소 함유 가스로서는, 예컨대 NH3 가스를 이용한다. 이 경우의 성막 흐름은 상술한 실시 형태에 있어서의 성막 흐름과는 스텝 3, 스텝 4만이 다르고, 그 외는 상술한 실시 형태에 있어서의 성막 흐름과 동일하다. 이하, 본 발명을 SiN성막에 적용하는 경우의 성막 시퀀스에 대해서 설명한다.
도 9에 본 발명을 SiN 성막에 적용하는 경우의 성막 시퀀스에 있어서의 가스 공급의 타이밍도를 도시한다. 본 성막 시퀀스에서는, 기판을 수용한 처리 용기 내에, 실리콘을 포함하는 적어도 2종류의 원료 가스로서, 실리콘을 포함하는 제1 원료 가스(HCD 가스)와 실리콘을 포함하는 제2 원료 가스(DCS 가스)를 공급하는 것으로, 기판 상에 실리콘 함유층을 형성하는 공정과, 처리 용기 내에 제1 원료 가스 및 제2 원료 가스와는 다른 반응 가스로서, 질소 함유 가스(NH3 가스)를 공급하는 것으로, 실리콘 함유층을 실리콘 질화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 기판 상에 소정 막 두께의 실리콘 질화막을 형성한다. 한편, 제1 원료 가스는 제2 원료 가스보다도 반응성이 높고, 기판 상에 실리콘 함유층을 형성하는 공정에서는, 제1 원료 가스의 공급량을, 제2 원료 가스의 공급량보다도 적게 한다. 또한, 도 9에서는, 기판 상에 실리콘 함유층을 형성하는 공정에 있어서 HCD 가스와 DCS 가스를 동시에 공급한 후, HCD 가스의 공급을 먼저 정지하고, DCS 가스 단독으로의 공급을 소정 시간 계속하고 나서 정지하도록 하고, HCD 가스의 공급 시간을 DCS 가스의 공급 시간보다도 짧게 하는 예를 도시하고 있다. 본 케이스에서는, 웨이퍼 챠지, 보트 로딩, 압력 조정, 온도 조정, 스텝 1, 스텝 2, 퍼지, 대기압 복귀, 보트 언로딩, 웨이퍼 디스챠지는, 상술한 실시 형태에 있어서의 성막 흐름과 마찬가지로 수행한다. 스텝 3, 스텝 4는 다음과 같이 수행한다.
[스텝 3]
처리실(201) 내의 잔류 가스를 제거한 후, 제2 가스 공급관(232b)의 밸브(243b), 제2 불활성 가스 공급관(234b)의 밸브(243d)를 열고, 제2 가스 공급관 (232b)에 NH3 가스, 제2 불활성 가스 공급관(234b)에 불활성 가스를 흘려보낸다. 불활성 가스는, 제2 불활성 가스 공급관(234b)으로부터 흘러서, 매스 플로우 컨트롤러(241d)에 의해서 유량 조정된다. NH3 가스는 제2 가스 공급관(232b)로부터 흘러서, 매스 플로우 컨트롤러(24lb)에 의해서 유량 조정된다. 유량 조정된 NH3 가스는, 유량 조정된 불활성 가스와 제2 가스 공급관(232b) 내에서 혼합되어서, 제2 노즐(233b)의 가스 공급공(248b)으로부터, 가열된 갑압 상태의 처리실(201) 내에 공급되어 배기관(231)으로부터 배기된다(NH3 공급). 또한, NH3 가스는 플라즈마에 의해서 활성화하지 않고 처리실(201) 내에 공급한다.
이 때, APC 밸브(242)를 적정하게 조정하고, 처리실(201) 내의 압력을, 대기압 미만, 예컨대 10~3,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(24lb)에서 제어하는 NH3 가스의 공급 유량은, 예컨대 10sccm~10,000sccm(10slm)의 범위 내의 유량으로 한다. 또한, NH3 가스에 웨이퍼(200)를 노출하는 시간은, 예컨대 1~120초 사이의 범위 내의 시간으로 한다. 히터(207)의 온도는, 웨이퍼(200)의 온도가, 예컨대 350~850℃, 바람직하게는 400~700℃의 범위 내의 온도가 되도록 설정한다. NH3 가스는 반응 온도가 높고, 상기와 같은 웨이퍼 온도에서는 반응하기 어려우므로, 처리실(201) 내의 압력을 상기와 같은 비교적 높은 압력으로 하는 것에 의해서 열적으로 활성화하는 것을 가능하게 하고 있다. 한편, NH3 가스는 플라즈마로 활성화시키는 것보다, 열로 활성화시켜서 공급하는 것이, 소프트(soft)한 반응을 생기게 할 수 있고, 후술하는 질화를 소프트하게 수행할 수 있다.
이 때, 처리실(201) 내에 흘려보내고 있는 가스는 논 플라즈마로 열적으로 활성화된 NH3 가스이며, 처리실(201) 내에는 HCD 가스도 DCS 가스도 흐르지 않고 있다. 따라서, NH3 가스는 기상(氣相) 반응을 일으키지 않고, 활성화된 NH3 가스는, 스텝 1에서 웨이퍼(200) 상에 형성된 실리콘 함유층과 반응한다. 이에 의해서 실리콘 함유층은 질화되어서, 실리콘 질화층(Si3N4층, 이하, 단순히 SiN층이라고 함)으로 개질된다.
질소 함유 가스로서는, NH3 가스 이외에, N2H4 가스나 N3H8 가스 등을 사용해도 좋다.
[스텝 4]
실리콘 함유층을 실리콘 질화층으로 개질한 후, 제2 가스 공급관(232b)의 밸브(243b)를 닫고, NH3 가스의 공급을 정지한다. 이 때, 배기관(231)의 APC밸브(242)는 연 상태로 하여 진공 펌프(246)에 의해서 처리실(201) 내를 진공 배기하고, 잔류한 NH3 가스를 처리실(201) 내로부터 배제한다. 이 때, 불활성 가스를 처리실(201) 내에 공급하면, 잔류한 NH3 가스를 배제하는 효과가 더욱 높아진다(잔류 가스 제거). 이 때의 히터(207)의 온도는, 웨이퍼(200)의 온도가 NH3 가스의 공급시와 동일하게 350~850℃, 바람직하게는 400~700℃의 범위 내의 온도가 되는 온도로 설정한다.
상술한 스텝 1~스텝 4를 1사이클로 하여, 이 사이클을 복수 회 반복하는 것에 의해서, 웨이퍼(200) 상에 소정 막 두께의 실리콘 질화막(Si3N4막, 이하, 단순히 SiN막이라고 함)을 성막할 수 있다.
(SiON성막에의 적용)
또한 예컨대 본 발명은, 실리콘 함유층을 실리콘 산화층으로 개질하는 대신 실리콘 산질화층으로 개질하는 것으로, 기판 상에 소정 막 두께의 실리콘 산질화막을 형성할 경우(SiON 성막)에도 적용할 수 있다. 이 경우, 반응 가스로서는 질소 함유 가스 및 산소 함유 가스를 이용한다. 질소 함유 가스로서는, 예컨대 NH3가스를 이용한다. 산소 함유 가스로서는, 예컨대 O2 가스를 이용한다. 이 경우의 성막 흐름은 상술한 실시 형태에 있어서의 성막 흐름과는 스텝 3, 스텝 4만이 다르고, 그 외는 상술한 실시 형태에 있어서의 성막 흐름과 동일하다. 이하, 본 발명을 SiON성막에 적용하는 경우의 성막 시퀀스에 대해서 설명한다.
도 10에 본 발명을 SiON 성막에 적용하는 경우의 성막 시퀀스에 있어서의 가스 공급의 타이밍도를 도시한다. 본 성막 시퀀스에서는, 기판을 수용한 처리 용기 내에, 실리콘을 포함하는 적어도 2종류의 원료 가스로서 실리콘을 포함하는 제1 원료 가스(HCD 가스)와 실리콘을 포함하는 제2 원료 가스(DCS 가스)를 공급하는 것으로 기판 상에 실리콘 함유층을 형성하는 공정과, 처리 용기 내에 제1 원료 가스 및 제2 원료 가스와는 다른 반응 가스로서 질소 함유 가스(NH3 가스)를 공급하는 것으로 실리콘 함유층을 실리콘 질화층으로 개질하는 공정과, 처리 용기 내에 제1 원료 가스 및 제2 원료 가스와는 다른 반응 가스로서 산소 함유 가스(O2 가스)를 공급하는 것으로 실리콘 질화층을 실리콘 산질화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 기판 상에 소정 막 두께의 실리콘 산질화막을 형성한다. 또한, 제1 원료 가스는 제2 원료 가스보다도 반응성이 높고, 기판 상에 실리콘 함유층을 형성하는 공정에서는, 제1 원료 가스의 공급량을 제2 원료 가스의 공급량보다도 적게 한다. 또한, 도 10에서는, 기판 상에 실리콘 함유막을 형성하는 공정에 있어서 HCD 가스와 DCS 가스를 동시에 공급한 후, HCD 가스의 공급을 먼저 정지하고, DCS 가스 단독으로의 공급을 소정 시간 계속하고 나서 정지하도록 하고, HCD 가스의 공급 시간을 DCS 가스의 공급 시간보다도 짧게 하는 예를 나타내고 있다. 본 케이스에서는, 웨이퍼 챠지, 보트 로딩, 압력 조정, 온도 조정, 스텝 1, 스텝 2, 퍼지, 대기압 복귀, 보트 언로딩, 웨이퍼 디스챠지는, 상술한 실시 형태에 있어서의 성막 흐름과 동일하게 수행한다. 스텝 3, 스텝 4는, 다음 스텝 3, 스텝 4, 스텝 5, 스텝 6으로 치환하여 수행한다.
[스텝 3]
스텝 3은, 상술한 SiN 성막에의 적용에 있어서의 스텝 3과 마찬가지로 수행한다.
[스텝 4]
스텝 4는, 상술한 SiN 성막에의 적용에 있어서의 스텝 4와 마찬가지로 수행한다.
[스텝 5]
처리실(201) 내의 잔류 가스를 제거한 후, 제1 가스 공급관(232a)의 밸브(243a), 제1 불활성 가스 공급관(234a)의 밸브(243c)를 열고, 제1 가스 공급관 (232a)에 O2 가스, 제1 불활성 가스 공급관(234a)에 불활성 가스를 흘려보낸다. 불활성 가스는, 제1 불활성 가스 공급관(234a)으로부터 흘러서, 매스 플로우 컨트롤러(241c)에 의해서 유량 조정된다. O2 가스는 제1 가스 공급관(232a)으로부터 흘러서, 매스 플로우 컨트롤러(241a)에 의해서 유량 조정된다. 유량 조정된 O2 가스는, 유량 조정된 불활성 가스와 제1 가스 공급관(232a) 내에서 혼합되어서, 제1 노즐(233a)의 가스 공급공(248a)으로부터, 가열된 감압 상태의 처리실(201) 내에 공급되어 배기관(231)으로부터 배기된다.
이 때, APC 밸브(242)를 적정하게 조정하고, 처리실(201) 내의 압력을, 대기압 미만, 예컨대 10~1,000Pa의 범위 내의 압력으로 유지한다. 매스 플로우 컨트롤러(241a)에서 제어하는 O2 가스의 공급 유량은, 예컨대 1sccm~20,000sccm(20slm)의 범위 내의 유량으로 한다. 또한, O2 가스에 웨이퍼(200)를 노출하는 시간은, 예컨대 1~120초 사이의 범위 내의 시간으로 한다. 히터(207)의 온도는, 웨이퍼(200)의 온도가, 예컨대 350~850℃, 바람직하게는 400~700℃의 범위 내의 온도가 되도록 설정한다. O2 가스는 플라즈마로 활성화시키는 것보다도, 열로 활성화시키는 것이, 그 활성화량은 억제되고, SiN층의 산화량을 억제할 수 있고, 소프트한 반응을 생기게 할 수 있게 된다.
이 때, 처리실(201) 내에 흘려보내고 있는 가스는 논 플라즈마로 열적으로 활성화된 O2 가스이며, 처리실(201) 내에는 HCD 가스도 DCS 가스도 NH3 가스도 흐르고 있지 않고 있다. 따라서, O2 가스는 기상 반응을 일으키지 않고, 활성화된 O2 가스는, 스텝 3에서 웨이퍼(200) 상에 형성된 SiN층과 반응한다. 이것에 의해서 SiN층은 산화되어서, 실리콘 산질화층(SiON층)으로 개질된다.
산소 함유 가스로서는, O2 가스 이외에, O3 가스, NO 가스, N2O4 가스, N2O 가스, H2O 가스 등을 사용해도 좋다. 또한, 상술한 실시 형태에 있어서의 스텝 3과 같이, 산소 함유 가스에 수소 함유 가스를 첨가한 가스를 사용하도록 해도 좋다.
[스텝 6]
SiN층을 실리콘 산질화층으로 개질한 후, 제1 가스 공급관(232a)의 밸브(243a)를 닫고, O2 가스의 공급을 정지한다. 이 때, 배기관(231)의 APC 밸브(242)는 연 상태로 하여 진공 펌프(246)에 의해서 처리실(201) 내를 진공 배기하고, 잔류한 O2 가스를 처리실(201) 내로부터 배제한다. 이 때, 불활성 가스를 처리실(201) 내에 공급하면, 잔류한 O2 가스를 배제하는 효과가 더욱 높아진다(잔류 가스 제거). 이 때의 히터(207)의 온도는, 웨이퍼(200)의 온도가 O2 가스의 공급시와 동일하게 350~850℃, 바람직하게는 400~700℃의 범위 내의 온도가 되는 온도로 설정한다.
상술한 스텝 1~스텝 6을 1사이클로 하여, 이 사이클을 복수 회 반복하는 것에 의해서, 웨이퍼(200) 상에 소정 막 두께의 실리콘 산질화막을 성막 할 수 있다.
한편, 상술한 SiON 성막에의 적용에서는, 기판에 대하여 실리콘을 포함하는 적어도 2종류의 원료 가스(HCD 가스, DCS 가스)를 공급하는 것으로 기판 상에 실리콘 함유층을 형성하는 공정(스텝 1)과, 기판에 대하여 반응 가스(NH3 가스)를 공급하는 것으로 실리콘 함유층을 실리콘 질화층으로 개질하는 공정(스텝 3)과, 기판에 대하여 반응 가스(O2 가스)를 공급하는 것으로 실리콘 질화층을 실리콘 산질화층으로 개질하는 공정(스텝 5)을 교호적으로 반복하는 것으로, 기판 상에 소정 막 두께의 실리콘 산질화막을 형성하는 예에 대해서 설명했으나, 스텝 3(및 스텝 4)과 스텝 5(및 스텝 6)를 바꿔 넣어도 좋다. 즉, 기판에 대하여 실리콘을 포함하는 적어도 2종류의 원료 가스(HCD 가스, DCS 가스)를 공급하는 것으로 기판 상에 실리콘 함유층을 형성하는 공정(스텝 1)과, 기판에 대하여 반응 가스(O2 가스)를 공급하는 것으로 실리콘 함유층을 실리콘 산화층으로 개질하는 공정(스텝 5)과, 기판에 대하여 반응 가스(NH3 가스)를 공급하는 것으로 실리콘 산화층을 실리콘 산질화층으로 개질하는 공정(스텝 3)을 교호적으로 반복하는 것으로, 기판 상에 소정 막 두께의 실리콘 산질화막을 형성하도록 해도 좋다.
또한, 상술한 실시 형태에서는, 소정 원소로서의 실리콘을 포함하는 적어도 2종류의 원료 가스로서, 실리콘을 포함하는 제1 원료 가스(HCD 가스)와 실리콘을 포함하는 제2 원료 가스(DCS 가스)를 이용하는 예에 대해서 설명했지만, 실리콘을 포함하는 3종류 이상의 원료 가스를 이용하도록 해도 좋다. 예컨대, 실리콘을 포함하는 제1 원료 가스(HCD 가스)와 실리콘을 포함하는 제2 원료 가스(DCS 가스)와 실리콘을 포함하는 제3 원료 가스[SiCl4 가스(이하, TCS 가스라고 함)]를 이용하도록 해도 좋다. 이 경우, 제1 원료 가스(HCD 가스)는 제2 원료 가스(DCS 가스)보다도 반응성이 높고, 제2 원료 가스(DCS 가스)는 제3 원료 가스(TCS 가스)보다도 반응성이 높고, 기판 상에 실리콘 함유층을 형성하는 공정에서는, 제1 원료 가스의 공급량을, 제2 원료 가스의 공급량보다도 적게 하는 동시에, 제3 원료 가스의 공급량 보다도 적게 한다. 즉, 반응성이 가장 높은 원료 가스의 공급량을, 다른 원료 가스의 공급량보다도 적게 한다. 이에 따라, 상술한 실시 형태와 같은 작용 효과를 얻을 수 있다.
(금속 산화막, 금속 질화막 또는 금속 산질화막의 성막에의 적용)
또 본 발명은, 소정 원소로서의 실리콘(Si) 등의 반도체 원소를 포함하는 원료 가스를 이용하는 대신, 소정 원소로서의 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 알루미늄(Al) 등의 금속 원소를 포함하는 원료 가스를 이용하는 것으로, 기판 상에 소정 막 두께의 금속 산화막, 금속 질화막 또는 금속 산질화막을 형성하는 경우에도 적용할 수 있다.
예컨대, 금속 산화막을 형성하는 경우는, 기판을 수용한 처리 용기 내에, 소정 원소로서의 금속 원소를 포함하는 적어도 2종류의 원료 가스로서, 금속 원소를 포함하는 제1 원료 가스와 금속 원소를 포함하는 제2 원료 가스를 공급하는 것으로, 기판 상에 소정 원소 함유층으로서의 금속 함유층을 형성하는 공정과, 처리 용기 내에 제1 원료 가스 및 제2 원료 가스와는 다른 반응 가스로서, 산소 함유 가스 또는 산소 함유 가스 및 수소 함유 가스를 공급하는 것으로, 금속 함유층을 금속 산화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 기판 상에 소정 막 두께의 금속 산화막을 형성한다.
또한 예컨대, 금속 질화막을 형성하는 경우는, 기판을 수용한 처리 용기 내에, 소정 원소로서의 금속 원소를 포함하는 적어도 2종류의 원료 가스로서, 금속 원소를 포함하는 제1 원료 가스와 금속 원소를 포함하는 제2 원료 가스를 공급하는 것으로, 기판 상에 금속 함유층을 형성하는 공정과, 처리 용기 내에 제1 원료 가스 및 제2 원료 가스와는 다른 반응 가스로서, 질소 함유 가스를 공급하는 것으로, 금속 함유층을 금속 질화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 기판 상에 소정 막 두께의 금속 질화막을 형성한다.
또 예컨대, 금속 산질화막을 형성하는 경우는, 기판을 수용한 처리 용기 내에, 소정 원소로서의 금속 원소를 포함하는 적어도 2종류의 원료 가스로서, 금속 원소를 포함하는 제1 원료 가스와 금속 원소를 포함하는 제2 원료 가스를 공급하는 것으로, 기판 상에 금속 함유층을 형성하는 공정과, 처리 용기 내에 제1 원료 가스 및 제2 원료 가스와는 다른 반응 가스로서, 질소 함유 가스를 공급하는 것으로, 금속 함유층을 금속 질화층으로 개질하는 공정과, 처리 용기 내에 제1 원료 가스 및 제2 원료 가스와는 다른 반응 가스로서, 산소 함유 가스 또는 산소 함유 가스 및 수소 함유 가스를 공급하는 것으로, 금속 질화층을 금속 산질화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 기판 상에 소정 막 두께의 금속 산질화막을 형성한다.
한편, 어느 경우나 제1 원료 가스는 제2 원료 가스보다도 반응성이 높고, 기판 상에 금속 함유층을 형성하는 공정에서는, 제1 원료 가스의 공급량을 제2 원료 가스의 공급량보다도 적게 한다. 즉, 제1 원료 가스의 공급 유량을 제2 원료 가스의 공급 유량보다도 적게 할 것인지, 제1 원료 가스의 공급 시간을 제2 원료 가스의 공급 시간보다도 짧게 할 것인지, 또는 제1 원료 가스의 공급 유량을 제2 원료 가스의 공급 유량보다도 적게 하는 동시에, 제1 원료 가스의 공급 시간을 제2 원료 가스의 공급 시간보다도 짧게 하는 것으로, 제1 원료 가스의 공급량을 제2 원료 가스보다도 적게 한다.
예컨대, 금속 원소를 포함하는 원료 가스로서 티타늄을 포함하는 원료 가스를 이용하여, 기판 상에 소정 막 두께의 금속 산화막, 금속 질화막 또는 금속 산질화막으로서, 각각 티타늄 산화막(TiO2막), 티타늄 질화막(TiN막) 또는 티타늄 산질화막(TiON막)을 형성하는 경우, 티타늄을 포함하는 제1 원료 가스, 티타늄을 포함하는 제2 원료 가스로서, 각각 예컨대 TDMAT(테트라키스디메틸아미노티탄, Ti[N(CH3)2]4) 가스, TiCl4(사염화 티타늄) 가스를 이용한다. 산소 함유 가스, 수소 함유 가스, 질소 함유 가스로서는, 상술한 실시 형태로 있어서 예시한 가스를 각각 이용할 수 있다. 한편, 이 경우, 처리 온도(기판 온도)를 예컨대 100~500℃의 범위 내의 온도로 하고, 처리 압력(처리실 내 압력)을 에컨대 1~3,000Pa의 범위 내의 압력으로 하고, TDMAT 가스의 공급 유량을 예컨대 1~500sccm의 범위 내의 유량으로 하고, TiCl4 가스의 공급 유량을 예컨대 1~5,000sccm의 범위 내의 유량으로 한다. 산소 함유 가스, 수소 함유 가스, 질소 함유 가스의 공급 유량은, 상술한 실시 형태에 있어서 각각 예시한 범위 내의 유량으로 한다. 또한, TDMAT 가스가 TiCl4 가스보다도 반응성이 높고, 즉, TiCl4 가스보다도 열분해 온도가 낮고, 같은 컨디션 하에서 TiCl4 가스보다도 기판 상에 흡착하기 쉽다. 기판 상에 금속 함유층으로서 티타늄 함유층을 형성하는 공정에서는, TDMAT 가스의 공급량을, TiCl4 가스의 공급량보다도 적게 한다.
또 예컨대, 금속 원소를 포함하는 원료 가스로서 지르코늄을 포함하는 원료 가스를 이용하여, 기판 상에 소정 막 두께의 금속 산화막, 금속 질화막 또는 금속 산질화막으로서, 각각 지르코늄 산화막(ZrO2막), 지르코늄 질화막(ZrN막) 또는 지르코늄 산질화막(ZrON막)을 형성하는 경우, 지르코늄을 포함하는 제1 원료 가스, 지르코늄을 포함하는 제2 원료 가스로서, 각각 예컨대 TEMAZ(테트라키스에틸메틸아미노지르코늄, Zr[N(CH3)C2H5]4) 가스, ZrCl4(사염화 지르코늄) 가스를 이용한다. 산소 함유 가스, 수소 함유 가스, 질소 함유 가스로서는, 상술한 실시 형태에 있어서 예시한 가스를 각각 이용할 수 있다. 또한, 이 경우, 처리 온도(기판 온도)를 예컨대 100~400℃의 범위 내의 온도로 하고, 처리 압력(처리실 내 압력)을 예컨대 1~1,000Pa의 범위 내의 압력으로 하고, TEMAZ가스의 공급 유량을 1~500sccm의 범위 내의 유량으로 하고, ZrCl4 가스의 공급 유량을 1~5,000sccm의 범위 내의 유량으로 한다. 산소 함유 가스, 수소 함유 가스, 질소 함유 가스의 공급 유량은, 상술한 실시 형태에 있어서 각각 예시한 범위 내의 유량으로 한다. 또한, TEMAZ 가스가 ZrCl4 가스보다도 반응성이 높고, 즉, ZrCl4 가스보다도 열분해 온도가 낮고, 같은 컨디션 하에서 ZrCl4 가스보다도 기판상에 흡착하기 쉽다. 기판 상에 금속 함유층으로서 지르코늄 함유층을 형성하는 공정에서는, TEMAZ 가스의 공급량을, ZrCl4 가스의 공급량보다도 적게 한다.
또 예컨대, 금속 원소를 포함하는 원료 가스로서 하프늄을 포함하는 원료 가스를 이용하여, 기판 상에 소정 막 두께의 금속 산화막, 금속 질화막 또는 금속 산질화막으로서, 각각 하프늄 산화막(HfO2막), 하프늄 질화막(HfN막) 또는 하프늄 산질화막(HfON막)을 형성하는 경우, 하프늄을 포함하는 제1 원료 가스, 하프늄을 포함하는 제2 원료 가스로서, 각각 예컨대 TEMAH(테트라키스에틸메틸아미노하프늄, Hf[N(CH3)C2H5]4) 가스, HfCl4(사염화 하프늄) 가스를 이용한다. 산소 함유 가스, 수소 함유 가스, 질소 함유 가스로서는, 상술한 실시 형태에 있어서 예시한 가스를 각각 이용할 수 있다. 또한, 이 경우, 처리 온도(기판 온도)를 예컨대 100~400℃의 범위 내의 온도로 하고, 처리 압력(처리실 내 압력)을 예컨대 1~1,000Pa의 범위 내의 압력으로 하고, TEMAH 가스의 공급 유량을 1~500sccm의 범위 내의 유량으로 하고, HfCl4 가스의 공급 유량을 1~5,000sccm의 범위 내의 유량으로 한다. 산소 함유 가스, 수소 함유 가스, 질소 함유 가스의 공급 유량은, 상술한 실시 형태에 있어서 각각 예시한 범위 내의 유량으로 한다. 또한, TEMAH 가스가 HfCl4 가스보다도 반응성이 높고, 즉, HfCl4 가스보다도 열분해 온도가 낮고, 같은 컨디션 하에서 HfCl4 가스보다도 기판 상에 흡착하기 쉽다. 기판 상에 금속 함유층으로서 하프늄 함유층을 형성하는 공정에서는, TEMAH 가스의 공급량을, HfCl4 가스의 공급량보다도 적게 한다.
또 예컨대, 금속 원소를 포함하는 원료 가스로서 알루미늄을 포함하는 원료 가스를 이용하여, 기판 상에 소정 막 두께의 금속 산화막, 금속 질화막 또는 금속 산질화막으로서, 각각 알루미늄 산화막(Al2O3막), 알루미늄 질화막(AlN막)또한 알루미늄 산질화막(AlON막)을 형성하는 경우, 알루미늄을 포함하는 제1 원료 가스, 알루미늄을 포함하는 제2 원료 가스로서, 각각 예컨대 TMA(트리메틸알루미늄, Al(CH3)3) 가스, AlCl3(삼염화 알루미늄) 가스를 이용한다. 산소 함유 가스, 수소 함유 가스, 질소 함유 가스로서는, 상술한 실시 형태로 있어서 예시한 가스를 각각 이용할 수 있다. 또한, 이 경우, 처리 온도(기판 온도)를 예컨대 100~400℃의 범위 내의 온도로 하고, 처리 압력(처리실 내 압력)을 예컨대 1~1,000Pa의 범위 내의 압력으로 하고, TMA 가스의 공급 유량을 1~500sccm의 범위 내의 유량으로 하고, AlCl3 가스의 공급 유량을 1~5,000sccm의 범위 내의 유량으로 한다. 산소 함유 가스, 수소 함유 가스, 질소 함유 가스의 공급 유량은, 상술한 실시 형태에 있어서 각각 예시한 범위 내의 유량으로 한다. 한편, TMA 가스가 AlCl3 가스보다도 반응성이 높고, 즉, AlCl3 가스보다도 열분해 온도가 낮고, 같은 컨디션 하에서 AlCl3 가스보다도 기판 상에 흡착하기 쉽다. 기판 상에 금속 함유층으로서 알루미늄 함유층을 형성하는 공정에서는, TMA 가스의 공급량을 AlCl3 가스의 공급량보다도 적게 한다.
<실시예>
본 실시 형태의 도 4의 성막 시퀀스에 의해서 웨이퍼 상에 실리콘 산화막을 형성하고, 성막 속도(SiO 성막 속도) 및 웨이퍼면 내 막 두께 균일성 (SiO막 두께 균일성)을 측정했다. HCD 유량, DCS 유량 이외의 성막 조건(각 스텝에서의 처리 조건)은, 상술한 실시 형태에 기재된 처리 조건 범위 내의 조건으로 했다. DCS 유량은 1~2slm의 범위 내에 있는 유량으로 고정하고, HCD 유량을 0~0.2slm의 범위 내에서 변화시키고, HCD/DCS유량비를, (A) 0%, (B) 3%, (C) 6%, (D) 10%의 4가지로 변화시켰다. 이하, 각각을 유량 조건(A), 유량 조건(B), 유량 조건(C), 유량 조건(D)이라고 칭한다. 또한, 유량 조건(A)의 HCD/DCS유량비: 0%는, DCS에 HCD를 첨가하지 않은 케이스(DCS를 단독으로 공급한 케이스)를 나타내고 있다. 또한, 본 실시예에 있어서는, HCD의 공급 시간과 DCS의 공급 시간이 같으므로, HCD/DCS유량비는, DCS 가스의 공급량에 대한 HCD 가스의 공급량의 비(HCD/DCS)와 같은 값이 된다.
그 결과를 도 11, 도 12에 도시한다. 도 11, 도 12는, 각각, HCD/DCS유량비와 SiO 성막 속도와의 관계, HCD/DCS유량비와 SiO막 두께 균일성과의 관계를 나타내고 있다. 도 11, 도 12의 횡축은, 모두 HCD/DCS유량비(%)를 나타내고 있고, 도 11의 종축은 SiO성막 속도[임의 단위(a.u.)]를, 도 12의 종축은 SiO막 두께 균일성(a.u.)을 나타내고 있다. 또한, 도 11에서는, 성막 속도를, HCD를 단독으로 유량 조건(D)에 있어서의 HCD 유량보다도 대(大)유량으로 공급해서 실리콘 산화막을 성막 했을 때의 성막 속도를 1(기준)로 한 경우의 성막 속도 비율로서 나타내고 있다. 또한, 도 12에서는, 막 두께 균일성을, HCD를 단독으로 유량 조건(D)에 있어서의 HCD 유량보다도 대유량으로 공급해서 실리콘 산화막을 성막했을 때의 막 두께 균일성을 1(기준)로 한 경우의 막 두께 균일성 비율로서 나타내고 있다. 또한, 막 두께 균일성은, 기판면 내에 있어서의 막 두께 분포의 편차(차이)의 정도를 나타내고 있고, 그 값이 작을 수록 기판면 내에 있어서의 막 두께 균일성이 양호한 것을 나타내고 있다.
도 11에서, HCD/DCS유량비의 증가, 즉, DCS에의 HCD첨가량의 증가에 따른 성막 속도의 상승이 보이고, HCD/DCS유량비가 6%이상이 되면 성막 속도가 포화하는 것을 알 수 있다. 이는, 본 실시예에 있어서의 처리 조건하에서, DCS에의 HCD의 첨가에 의해서, 기판 상으로의 DCS 흡착 및 실리콘의 퇴적이 보충되고, HCD/DCS유량비를 6%이상으로 하는 것으로, DCS와 HCD의 기판 상으로의 흡착 및 실리콘의 퇴적이 포화하는 것이 원인으로 생각된다. 또한, HCD/DCS유량비를 3%이상으로 한 경우, DCS와 HCD의 기판 상으로의 흡착 및 실리콘의 퇴적은 포화하지 않지만, 성막 속도는 DCS 단독 공급의 경우보다도 높아진다. 또한, 도 12로부터, 어느 유량 조건에 있어서도 양호한 막 두께 균일성을 얻을 수 있는 것을 알 수 있다. 특히, HCD/DCS유량비를 6%이상으로 하는 것으로, 보다 양호한 막 두께 균일성을 얻을 수 있는 것을 알 수 있다. 즉, 성막 속도, 막 두께 균일성의 확보의 관점에서, HCD/DCS유량비는, 3%이상으로 하는 것이 바람직하고, 6%이상으로 하는 것이 보다 바람직하다고 할 수 있다. 또한, HCD/DCS유량비를 50%보다 크게 하면 부생성물이나 파티클이 많아지는 포텐셜이 높아져 버린다. 원료 비용 저감의 효과도 작아진다. 이로부터, HCD/DCS유량비는 3%이상 50%이하로 하는 것이 바람직하고, 6%이상 50%이하로 하는 것이 보다 바람직하다. 또한, 상술 한 바와 같이 본 실시예에 있어서는, HCD의 공급 시간과 DCS의 공급 시간이 같으므로, HCD/DCS유량비(HCD 가스 유량/DCS 가스 유량)는, HCD/DCS(HCD 가스의 공급량/DCS 가스의 공급량)과 같은 값이 된다. 즉, 본 실시예로부터, DCS 가스의 공급량에 대한 HCD 가스의 공급량의 비(HCD/DCS)는, 3%이상 50%이하로 하는 것이 바람직하고, 6%이상 50%이하로 하는 것이 보다 바람직하다고 할 수 있다.
<본 발명이 바람직한 형태>
이하, 본 발명이 바람직한 형태에 대해서 부기(付記)한다.
본 발명의 일 형태에 의하면,
기판을 처리 용기 내에 반입하는 공정;
상기 처리 용기 내에 소정 원소를 포함하는 제1 원료 가스와 상기 소정 원소를 포함하는 제2 원료 가스를 공급하여 배기하는 것으로 상기 기판 상에 소정 원소 함유층을 형성하는 공정과, 상기 처리 용기 내에 상기 제1 원료 가스 및 상기 제2 원료 가스와는 다른 반응 가스를 공급하여 배기하는 것으로 상기 소정 원소 함유층을 산화층, 질화층 또는 산질화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 상기 기판 상에 소정 막 두께의 산화막, 질화막 또는 산질화막을 형성하는 처리를 수행하는 공정; 및
처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정을 포함하고,
상기 제1 원료 가스는 상기 제2 원료 가스보다도 반응성이 높고,
상기 소정 원소 함유층을 형성하는 공정에서는, 상기 제1 원료 가스의 공급량을 상기 제2 원료 가스의 공급량보다도 적게 하는 반도체 장치의 제조 방법이 제공된다.
바람직하게는, 상기 소정 원소 함유층을 형성하는 공정에서는, 상기 제1 원료 가스의 공급 유량을 상기 제2 원료 가스의 공급 유량보다도 적게 한다.
또 바람직하게는, 상기 소정 원소 함유층을 형성하는 공정에서는, 상기 제1 원료 가스의 공급 시간을 상기 제2 원료 가스의 공급 시간보다도 짧게 한다.
또 바람직하게는, 상기 소정 원소 함유층을 형성하는 공정에서는, 상기 제2 원료 가스의 공급량에 대한 상기 제1 원료 가스의 공급량의 비를 3%이상 50%이하로 한다.
또 바람직하게는, 상기 소정 원소 함유층을 형성하는 공정에서는 상기 제2 원료 가스의 공급량에 대한 상기 제1 원료 가스의 공급량의 비를 6%이상 50%이하로 한다.
또 바람직하게는, 상기 소정 원소 함유층을 형성하는 공정에서는, 상기 제2 원료 가스의 공급을 정지하는 것보다도 먼저, 상기 제1 원료 가스의 공급을 정지한다.
또 바람직하게는, 상기 소정 원소 함유층을 형성하는 공정에서는, 상기 제1 원료 가스의 공급과 상기 제2 원료 가스의 공급을 동시에 개시하고, 상기 제2 원료 가스의 공급을 정지하는 것보다도 먼저, 상기 제1 원료 가스의 공급을 정지한다.
또 바람직하게는, 상기 소정 원소 함유층을 형성하는 공정에서는, 상기 제2 원료 가스의 공급을 개시하는 것보다도 먼저, 상기 제1 원료 가스의 공급을 개시한다.
또 바람직하게는, 상기 소정 원소 함유층을 형성하는 공정에서는, 상기 제2 원료 가스의 공급을 게시하는 것보다도 먼저, 상기 제1 원료 가스의 공급을 개시하고, 상기 제2 원료 가스의 공급을 정지하는 것보다도 먼저, 상기 제1 원료 가스의 공급을 정지한다.
또 바람직하게는, 상기 소정 원소가 반도체 원소 또는 금속 원소이다.
본 발명의 다른 형태에 의하면,
기판을 처리 용기 내에 반입하는 공정과,
상기 처리 용기 내에 실리콘을 포함하는 제1 원료 가스와 실리콘을 포함하는 제2 원료 가스를 공급하여 배기하는 것으로 상기 기판 상에 실리콘 함유층을 형성하는 공정과, 상기 처리 용기 내에 상기 제1 원료 가스 및 상기 제2 원료 가스와는 다른 반응 가스를 공급하여 배기하는 것으로 상기 실리콘 함유층을 실리콘 산화층, 실리콘 질화층 또는 실리콘 산질화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 상기 기판 상에 소정 막 두께의 실리콘 산화막, 실리콘 질화막 또는 실리콘 산질화막을 형성하는 처리를 수행하는 공정; 및
처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정을 포함하고,
상기 제1 원료 가스는 상기 제2 원료 가스보다도 반응성이 높고,
상기 실리콘 함유층을 형성하는 공정에서는, 상기 제1 원료 가스의 공급량을 상기 제2 원료 가스의 공급량보다도 적게 하는 반도체 장치의 제조 방법이 제공된다.
바람직하게는, 상기 실리콘 함유층을 형성하는 공정에서는, 상기 제1 원료 가스의 공급 유량을 상기 제2 원료 가스의 공급 유량보다도 적게 한다.
또 바람직하게는, 상기 실리콘 함유층을 형성하는 공정에서는, 상기 제1 원료 가스의 공급 시간을 상기 제2 원료 가스의 공급 시간보다도 짧게 한다.
또 바람직하게는, 상기 실리콘 함유층을 형성하는 공정에서는, 상기 제2 원료 가스의 공급량에 대한 상기 제1 원료 가스의 공급량의 비를 3%이상 50%이하로 한다.
또 바람직하게는, 상기 실리콘 함유층을 형성하는 공정에서는, 상기 제2 원료 가스의 공급량에 대한 상기 제1 원료 가스의 공급량의 비를 6%이상 50%이하로 한다.
또 바람직하게는, 상기 실리콘 함유층을 형성하는 공정에서는, 상기 제2 원료 가스의 공급을 정지하는 것보다도 먼저, 상기 제1 원료 가스의 공급을 정지한다.
또 바람직하게는, 상기 실리콘 함유층을 형성하는 공정에서는, 상기 제1 원료 가스의 공급과 상기 제2 원료 가스의 공급을 동시에 개시하고, 상기 제2 원료 가스의 공급을 정지하는 것보다도 먼저, 상기 제1 원료 가스의 공급을 정지한다.
또 바람직하게는, 상기 실리콘 함유층을 형성하는 공정에서는, 상기 제2 원료 가스의 공급을 개시하는 것보다도 먼저, 상기 제1 원료 가스의 공급을 개시한다.
또 바람직하게는, 상기 실리콘 함유층을 형성하는 공정에서는, 상기 제2 원료 가스의 공급을 개시하는 것보다도 먼저, 상기 제1 원료 가스의 공급을 개시하고, 상기 제2 원료 가스의 공급을 정지하는 것보다도 먼저, 상기 제1 원료 가스의 공급을 정지한다.
또 바람직하게는, 상기 제1 원료 가스는 헥사클로로디실란 가스이며, 상기 제2 원료 가스는 디클로로실란 가스이다.
본 발명의 또 다른 형태에 의하면,
기판을 처리 용기 내에 반입하는 공정;
상기 처리 용기 내에 헥사클로로디실란 가스와 디클로로실란 가스를 공급하여 배기하는 것으로 상기 기판 상에 실리콘 함유층을 형성하는 공정과, 상기 처리 용기 내에 반응 가스를 공급하여 배기하는 것으로 상기 실리콘 함유층을 실리콘 산화층, 실리콘 질화층 또는 실리콘 산질화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 상기 기판 상에 소정 막 두께의 실리콘 산화막, 실리콘 질화막 또는 실리콘 산질화막을 형성하는 처리를 수행하는 공정; 및
처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정을 포함하고,
상기 실리콘 함유층을 형성하는 공정에서는, 상기 헥사클로로디실란 가스의 공급량을 상기 디클로로실란 가스의 공급량보다도 적게 하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 또 다른 형태에 의하면,
기판을 수용하는 처리 용기;
상기 처리 용기 내에 소정 원소를 포함하는 제1 원료 가스를 공급하는 제1 원료 가스 공급계;
상기 처리 용기 내에 상기 소정 원소를 포함하는 제2 원료 가스를 공급하는 제2 원료 가스 공급계;
상기 처리 용기 내에 상기 제1 원료 가스 및 상기 제2 원료 가스와는 다른 반응 가스를 공급하는 반응 가스 공급계;
상기 처리 용기 내를 배기하는 배기계; 및
기판을 수용한 상기 처리 용기 내에 상기 제1 원료 가스와 상기 제2 원료 가스를 공급하여 배기하는 것으로 상기 기판 상에 소정 원소 함유층을 형성하는 처리와, 상기 처리 용기 내에 상기 반응 가스를 공급하여 배기하는 것으로 상기 소정 원소 함유층을 산화층, 질화층 또는 산질화층으로 개질하는 처리를 교호적으로 반복하는 것으로 상기 기판 상에 소정 막 두께의 산화막, 질화막 또는 산질화막을 형성하는 처리를 수행하도록, 상기 제1 원료 가스 공급계, 상기 제2 원료 가스 공급계, 상기 반응 가스 공급계 및 상기 배기계를 제어하는 제어부를 포함하고,
상기 제1 원료 가스는 상기 제2 원료 가스보다도 반응성이 높고,
상기 제어부는, 또한, 상기 소정 원소 함유층을 형성하는 처리에 있어서, 상기 제1 원료 가스의 공급량을 상기 제2 원료 가스의 공급량보다도 적게 하도록, 상기 제1 원료 가스 공급계 및 상기 제2 원료 가스 공급계를 제어하도록 구성되는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면,
기판을 처리 용기 내에 반입하는 공정;
상기 처리 용기 내에 소정 원소를 포함하는 적어도 2종류의 원료 가스를 공급하여 배기하는 것으로 상기 기판 상에 소정 원소 함유층을 형성하는 공정과, 상기 처리 용기 내에 상기 원료 가스와는 다른 반응 가스를 공급하여 배기하는 것으로 상기 소정 원소 함유층을 산화층, 질화층 또는 산질화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 상기 기판 상에 소정 막 두께의 산화막, 질화막 또는 산질화막을 형성하는 처리를 수행하는 공정; 및
처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정을 포함하고,
상기 소정 원소 함유층을 형성하는 공정에서는, 상기 적어도 2종류의 원료 가스 가운데 반응성의 가장 높은 원료 가스의 공급량을 다른 원료 가스의 공급량보다도 적게 하는 반도체 장치의 제조 방법이 제공된다.
바람직하게는, 상기 소정 원소 함유층을 형성하는 공정에서는, 상기 반응성의 가장 높은 원료 가스의 공급 유량을 상기 기타의 원료 가스의 공급 유량보다도 적게 한다.
또 바람직하게는, 상기 소정 원소 함유층을 형성하는 공정에서는, 상기 반응성의 가장 높은 원료 가스의 공급 시간을 상기 기타의 원료 가스의 공급 시간보다도 짧게 한다.
본 발명의 또 다른 형태에 의하면,
기판을 처리 용기 내에 반입하는 공정;
상기 처리 용기 내에 실리콘을 포함하는 적어도 2종류의 원료 가스를 공급하여 배기하는 것으로 상기 기판 상에 실리콘 함유층을 형성하는 공정과, 상기 처리 용기 내에 상기 원료 가스와는 다른 반응 가스를 공급하여 배기하는 것으로 상기 실리콘 함유층을 실리콘 산화층, 실리콘 질화층 또는 실리콘 산질화층으로 개질하는 공정을 교호적으로 반복하는 것으로, 상기 기판 상에 소정 막 두께의 실리콘 산화막, 실리콘 질화막 또는 실리콘 산질화막을 형성하는 처리를 수행하는 공정; 및
처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정을 포함하고,
상기 실리콘 함유층을 형성하는 공정에서는, 상기 적어도 2종류의 원료 가스 가운데 반응성의 가장 높은 원료 가스의 공급량을 다른 원료 가스의 공급량보다도 적게 하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 또 다른 형태에 의하면,
기판을 처리하는 처리 용기;
상기 처리 용기 내에 소정 원소를 포함하는 적어도 2종류의 원료 가스를 공급하는 원료 가스 공급계;
상기 처리 용기 내에 상기 원료 가스와는 다른 반응 가스를 공급하는 반응 가스 공급계;
상기 처리 용기 내를 배기하는 배기계; 및
기판을 수용한 상기 처리 용기 내에 상기 적어도 2종류의 원료 가스를 공급하여 배기하는 것으로 상기 기판 상에 소정 원소 함유층을 형성하는 처리와, 상기 처리 용기 내에 상기 반응 가스를 공급하여 배기하는 것으로 상기 소정 원소 함유층을 산화층, 질화층 또는 산질화층으로 개질하는 처리를 교호적으로 반복하는 것으로, 상기 기판 상에 소정 막 두께의 산화막, 질화막 또는 산질화막을 형성하는 처리를 수행하는 동시에, 상기 소정 원소 함유층을 형성하는 처리에 있어서, 상기 적어도 2종류의 원료 가스 가운데 반응성의 가장 높은 원료 가스의 공급량을 다른 원료 가스의 공급량보다도 적게 하도록, 상기 원료 가스 공급계, 상기 반응 가스 공급계 및 상기 배기계를 제어하는 제어부
를 포함하는 기판 처리 장치가 제공된다.
200: 웨이퍼 201: 처리실
202: 처리로 203: 반응관
207: 히터 231: 배기관
232a: 제1 가스 공급관 232b: 제2 가스 공급관
232c: 제3 가스 공급관 232d: 제4 가스 공급관

Claims (18)

  1. 기판을 처리 용기 내에 반입하는 공정;
    소정 원소를 포함하는 적어도 두 가지 유형(type)의 원료 가스들 각각을 공급하여 상기 기판 상에 소정 원소 함유층을 형성하는 공정 및 상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들과는 다른 반응 가스를 공급하여 상기 소정 원소 함유층을 개질하는 공정을 교호적으로 반복하여, 상기 기판 상에 막을 형성하는 처리를 수행하는 공정; 및
    처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정
    을 포함하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 적어도 두 가지 유형의 원료 가스들 각각은 서로 다른 반응성을 가지는 것인 반도체 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 적어도 두 가지 유형의 원료 가스들 중에서 반응성이 가장 높은 원료 가스의 공급량을 상기 적어도 두 가지 유형의 원료 가스들 중의 다른 원료 가스들의 공급량보다 적게 하는 것인 반도체 장치의 제조 방법.
  4. 제1항에 있어서,
    상기 적어도 두 가지 유형의 원료 가스들 중에서 반응성이 가장 높은 원료 가스의 유량을 상기 적어도 두 가지 유형의 원료 가스들 중의 다른 원료 가스들의 유량보다 적게 하는 것인 반도체 장치의 제조 방법.
  5. 제1항에 있어서,
    상기 적어도 두 가지 유형의 원료 가스들 중에서 반응성이 가장 높은 원료 가스의 공급 시간을 상기 적어도 두 가지 유형의 원료 가스들 중의 다른 원료 가스들의 공급 시간보다도 짧게 하는 반도체 장치의 제조 방법.
  6. 제1항에 있어서,
    상기 적어도 두 가지 유형의 원료 가스들 중에서 반응성이 가장 높은 원료 가스의 공급을, 상기 적어도 두 가지 유형의 원료 가스들 중의 다른 원료 가스들의 공급을 정지하는 것보다 먼저, 정지하는 반도체 장치의 제조 방법.
  7. 제1항에 있어서,
    상기 적어도 두 가지 유형의 원료 가스들의 공급을 동시에 개시하고, 상기 적어도 두 가지 유형의 원료 가스들 중에서 반응성이 가장 높은 원료 가스의 공급을, 상기 적어도 두 가지 유형의 원료 가스들 중의 다른 원료 가스들의 공급을 정지하는 것보다 먼저, 정지하는 반도체 장치의 제조 방법.
  8. 제1항에 있어서,
    상기 적어도 두 가지 유형의 원료 가스들 중에서 반응성이 가장 높은 원료 가스의 공급을, 상기 적어도 두 가지 유형의 원료 가스들 중의 다른 원료 가스들의 공급을 개시하는 것보다 먼저, 개시하는 반도체 장치의 제조 방법.
  9. 제1항에 있어서,
    상기 적어도 두 가지 유형의 원료 가스들 중에서 반응성이 가장 높은 원료 가스의 공급을, 상기 적어도 두 가지 유형의 원료 가스들 중의 다른 원료 가스들의 공급을 개시하는 것보다 먼저, 개시하고,
    상기 적어도 두 가지 유형의 원료 가스들 중에서 반응성이 가장 높은 원료 가스의 공급을, 상기 적어도 두 가지 유형의 원료 가스들 중의 다른 원료 가스들의 공급을 정지하는 것보다 먼저, 정지하는 반도체 장치의 제조 방법.
  10. 제1항에 있어서,
    상기 적어도 두 가지 유형의 원료 가스들 중에서 반응성이 가장 높은 원료 가스의 공급을 정지한 이후에, 상기 적어도 두 가지 유형의 원료 가스들 중의 다른 원료 가스들의 공급을 정지하는 반도체 장치의 제조 방법.
  11. 제1항에 있어서,
    상기 적어도 두 가지 유형의 원료 가스들 중에서 반응성이 가장 높은 원료 가스가 상기 처리 용기 내로 공급된 이후에, 상기 적어도 두 가지 유형의 원료 가스들 중의 다른 원료 가스들이 상기 처리 용기 내로 공급되는 반도체 장치의 제조 방법.
  12. 제1항에 있어서,
    상기 막은, 산화막, 질화막 및 산질화막 중 어느 하나를 포함하는 반도체 장치의 제조 방법.
  13. 제1항에 있어서,
    상기 소정 원소는 반도체 원소 및 금속 원소 중 어느 하나를 포함하는 반도체 장치의 제조 방법.
  14. 제1항에 있어서,
    상기 소정 원소는 Si, Ti, Zr, Hf 및 Al 중 어느 하나를 포함하는 반도체 장치의 제조 방법.
  15. 제1항에 있어서,
    상기 막은, 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막, 금속 산화막, 금속 질화막 및 금속 산질화막 중 어느 하나를 포함하는 반도체 장치의 제조 방법.
  16. 기판을 처리 용기 내에 반입하는 공정;
    소정 원소를 포함하는 적어도 두 가지 유형의 원료 가스들 각각을 공급하는 공정 및 상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들과는 다른 반응 가스를 공급하는 공정을 교호적으로 반복하여, 상기 기판 상에 막을 형성하는 처리를 수행하는 공정; 및
    처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정
    을 포함하는 반도체 장치의 제조 방법.
  17. 기판을 처리 용기 내에 반입하는 공정;
    소정 원소를 포함하는 적어도 두 가지 유형의 원료 가스들 각각을 공급하여 상기 기판 상에 소정 원소 함유층을 형성하는 공정 및 상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들과는 다른 반응 가스를 공급하여 상기 소정 원소 함유층을 개질하는 공정을 교호적으로 반복하여, 상기 기판 상에 막을 형성하는 처리를 수행하는 공정; 및
    처리가 완료된 기판을 상기 처리 용기 내로부터 반출하는 공정
    을 포함하는 기판 처리 방법.
  18. 기판을 수용하는 처리 용기;
    상기 처리 용기 내에 소정 원소를 포함하는 적어도 두 가지 유형의 원료 가스들 각각을 공급하는 원료 가스 공급계;
    상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들과는 다른 반응 가스를 공급하는 반응 가스 공급계; 및
    상기 처리 용기 내에 상기 적어도 두 가지 유형의 원료 가스들을 공급하여 상기 기판 상에 소정 원소 함유층을 형성하는 처리와, 상기 처리 용기 내에 상기 반응 가스를 공급하여 상기 소정 원소 함유층을 개질하는 처리를 교호적으로 반복하는 것으로, 상기 기판 상에 막을 형성하는 처리를 수행하도록, 상기 원료 가스 공급계 및 상기 반응 가스 공급계를 제어하는 제어부
    를 포함하는 기판 처리 장치.
KR1020110120253A 2009-09-30 2011-11-17 반도체 장치의 제조 방법 및 기판 처리 장치 KR101189495B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2009226420 2009-09-30
JPJP-P-2009-226420 2009-09-30
JP2010152031A JP5467007B2 (ja) 2009-09-30 2010-07-02 半導体装置の製造方法および基板処理装置
JPJP-P-2010-152031 2010-07-02

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020100093775A Division KR101149380B1 (ko) 2009-09-30 2010-09-28 반도체 장치의 제조 방법 및 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20110139179A KR20110139179A (ko) 2011-12-28
KR101189495B1 true KR101189495B1 (ko) 2012-10-11

Family

ID=43780860

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020100093775A KR101149380B1 (ko) 2009-09-30 2010-09-28 반도체 장치의 제조 방법 및 기판 처리 장치
KR1020110120253A KR101189495B1 (ko) 2009-09-30 2011-11-17 반도체 장치의 제조 방법 및 기판 처리 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020100093775A KR101149380B1 (ko) 2009-09-30 2010-09-28 반도체 장치의 제조 방법 및 기판 처리 장치

Country Status (5)

Country Link
US (2) US8076251B2 (ko)
JP (1) JP5467007B2 (ko)
KR (2) KR101149380B1 (ko)
CN (2) CN102915910B (ko)
TW (2) TWI473167B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160024713A (ko) * 2014-08-25 2016-03-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20180108448A (ko) * 2017-03-24 2018-10-04 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR20180109691A (ko) * 2017-03-27 2018-10-08 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Families Citing this family (360)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
WO2012066977A1 (ja) * 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8937022B2 (en) * 2010-11-29 2015-01-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
JP5588856B2 (ja) * 2010-12-27 2014-09-10 東京エレクトロン株式会社 カーボン膜上への酸化物膜の成膜方法及び成膜装置
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20160093093A (ko) * 2011-06-03 2016-08-05 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5864360B2 (ja) * 2011-06-30 2016-02-17 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) * 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5514162B2 (ja) * 2011-07-22 2014-06-04 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
US9165761B2 (en) 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
JP2013077805A (ja) * 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6042656B2 (ja) * 2011-09-30 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5854112B2 (ja) * 2011-09-30 2016-02-09 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JPWO2013054655A1 (ja) 2011-10-14 2015-03-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP5829196B2 (ja) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
JP5793398B2 (ja) * 2011-10-28 2015-10-14 東京エレクトロン株式会社 シード層の形成方法及びシリコン含有薄膜の成膜方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6039996B2 (ja) * 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6035161B2 (ja) * 2012-03-21 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014011234A (ja) * 2012-06-28 2014-01-20 Tokyo Electron Ltd シリコン酸化膜の形成方法およびその形成装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6022272B2 (ja) 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6199570B2 (ja) * 2013-02-07 2017-09-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6125279B2 (ja) 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
JP6349234B2 (ja) 2014-02-19 2018-06-27 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015146362A1 (ja) * 2014-03-26 2015-10-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および炉口部カバー
JP6347544B2 (ja) 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
JP5886381B2 (ja) 2014-07-23 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6496510B2 (ja) * 2014-10-02 2019-04-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
WO2017037927A1 (ja) 2015-09-03 2017-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102454894B1 (ko) * 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
JP6635839B2 (ja) * 2016-03-23 2020-01-29 東京エレクトロン株式会社 窒化膜の形成方法
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6368743B2 (ja) 2016-06-22 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN110121763B (zh) 2017-02-23 2023-12-26 株式会社国际电气 基板处理装置、半导体装置的制造方法及存储介质
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
WO2018193538A1 (ja) * 2017-04-19 2018-10-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6919350B2 (ja) * 2017-06-09 2021-08-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6830878B2 (ja) * 2017-09-28 2021-02-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP6781745B2 (ja) * 2018-03-12 2020-11-04 キヤノン株式会社 撮像装置の製造方法
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019180805A1 (ja) * 2018-03-20 2019-09-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10483407B2 (en) * 2018-04-19 2019-11-19 Micron Technology, Inc. Methods of forming si3nX, methods of forming insulator material between a control gate and charge-storage material of a programmable charge-storage transistor, and methods of forming an array of elevationally-extending strings of memory cells and a programmable charge-storage transistor manufactured in accordance with methods
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6826173B2 (ja) * 2019-09-17 2021-02-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
SG11202008980YA (en) 2019-09-18 2021-04-29 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus, and program
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7182572B2 (ja) 2020-01-09 2022-12-02 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7306300B2 (ja) * 2020-03-13 2023-07-11 株式会社島津製作所 推定器および真空バルブ
JP7076490B2 (ja) * 2020-03-24 2022-05-27 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7198854B2 (ja) * 2021-03-17 2023-01-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
JP7194216B2 (ja) * 2021-03-17 2022-12-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024047713A1 (ja) * 2022-08-29 2024-03-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW430883B (en) * 1997-12-24 2001-04-21 Asahi Chemical Micro Syst Method of manufacturing semiconductor device
JP2001068468A (ja) * 1999-08-30 2001-03-16 Tokyo Electron Ltd 成膜方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2002367990A (ja) * 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
JP2007281082A (ja) * 2006-04-04 2007-10-25 Tokyo Electron Ltd 成膜方法及び成膜装置並びに記憶媒体
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9337054B2 (en) * 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
JP2009049316A (ja) * 2007-08-22 2009-03-05 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2009178309A (ja) 2008-01-30 2009-08-13 Nohmi Bosai Ltd 消火栓装置
JP2008211211A (ja) * 2008-02-18 2008-09-11 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160024713A (ko) * 2014-08-25 2016-03-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101665373B1 (ko) 2014-08-25 2016-10-24 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20180108448A (ko) * 2017-03-24 2018-10-04 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102128103B1 (ko) * 2017-03-24 2020-06-29 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR20200077500A (ko) * 2017-03-24 2020-06-30 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102309339B1 (ko) * 2017-03-24 2021-10-07 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US11450524B2 (en) 2017-03-24 2022-09-20 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
KR20180109691A (ko) * 2017-03-27 2018-10-08 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102207020B1 (ko) * 2017-03-27 2021-01-22 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US11041240B2 (en) 2017-03-27 2021-06-22 Hitachi Kokusai Electric, Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Also Published As

Publication number Publication date
US8076251B2 (en) 2011-12-13
TW201438106A (zh) 2014-10-01
TWI473167B (zh) 2015-02-11
TW201120956A (en) 2011-06-16
KR20110035935A (ko) 2011-04-06
CN102034702B (zh) 2013-11-27
TWI509694B (zh) 2015-11-21
CN102034702A (zh) 2011-04-27
KR101149380B1 (ko) 2012-05-30
US8415258B2 (en) 2013-04-09
US20110076857A1 (en) 2011-03-31
US20120045905A1 (en) 2012-02-23
JP5467007B2 (ja) 2014-04-09
CN102915910A (zh) 2013-02-06
KR20110139179A (ko) 2011-12-28
JP2011097017A (ja) 2011-05-12
CN102915910B (zh) 2015-07-22

Similar Documents

Publication Publication Date Title
KR101189495B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP5562434B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6042656B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101097726B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP5775947B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101396243B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101509453B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
CN107204273A (zh) 半导体器件的制造方法、衬底处理装置及衬底处理方法
KR20200077500A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP5982045B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびガス供給系
JP2012221978A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150918

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170920

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180920

Year of fee payment: 7