KR102207020B1 - 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 Download PDF

Info

Publication number
KR102207020B1
KR102207020B1 KR1020180030445A KR20180030445A KR102207020B1 KR 102207020 B1 KR102207020 B1 KR 102207020B1 KR 1020180030445 A KR1020180030445 A KR 1020180030445A KR 20180030445 A KR20180030445 A KR 20180030445A KR 102207020 B1 KR102207020 B1 KR 102207020B1
Authority
KR
South Korea
Prior art keywords
nozzle
substrate
gas
processing chamber
raw material
Prior art date
Application number
KR1020180030445A
Other languages
English (en)
Other versions
KR20180109691A (ko
Inventor
고스케 다카기
리사 야마코시
히데키 호리타
아츠시 히라노
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20180109691A publication Critical patent/KR20180109691A/ko
Application granted granted Critical
Publication of KR102207020B1 publication Critical patent/KR102207020B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은, 기판 상에 형성되는 막의 기판 면내 막 두께 분포를 제어한다. 기판에 대하여 주 원소를 포함하는 막을 형성하는 처리가 행하여지는 처리실과, 처리실 내의 기판에 대하여 주 원소를 포함하는 원료를 공급하는 제1 노즐과, 제1 노즐과는 이격된 위치에 설치되어, 처리실 내의 기판에 대하여 원료를 공급하는 제2 노즐과, 처리실 내의 기판에 대하여 반응체를 공급하는 제3 노즐과, 처리실 내의 분위기를 배기하는 복수의 배기구를 갖고, 복수의 배기구 각각을, 평면으로 보아, 제1 노즐의 제1 가스 분출 구멍 및 제2 노즐의 제2 가스 분출 구멍과, 비대향으로 되는 위치에 설치한다.

Description

기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램{SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND PROGRAM}
본 발명은 기판을 처리하는 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램에 관한 것이다.
반도체 장치의 제조 공정의 일 공정으로서, 기판에 대하여 원료 및 반응체를 공급하여, 기판 상에 막을 형성하는 처리가 행하여지는 경우가 있다(예를 들어 특허문헌 1 참조).
일본 특허 공개 제2014-236129호 공보
본 발명의 목적은, 기판 상에 형성되는 막의 기판 면내 막 두께 분포를 제어하는 것이 가능한 기술을 제공하는 데 있다.
본 발명의 일 형태에 의하면,
기판에 대하여 주 원소를 포함하는 막을 형성하는 처리가 행하여지는 처리실과,
상기 처리실 내의 기판에 대하여 상기 주 원소를 포함하는 원료를 공급하는 제1 노즐과,
상기 제1 노즐과는 이격된 위치에 설치되어, 상기 처리실 내의 기판에 대하여 상기 원료를 공급하는 제2 노즐과,
상기 처리실 내의 기판에 대하여 반응체를 공급하는 제3 노즐과,
상기 처리실 내의 분위기를 배기하는 복수의 배기구,
를 갖고,
상기 복수의 배기구 각각을, 평면으로 보아, 상기 제1 노즐의 제1 가스 분출 구멍 및 상기 제2 노즐의 제2 가스 분출 구멍과, 비대향으로 되는 위치에 설치하는 기술이 제공된다.
본 발명에 따르면, 기판 상에 형성되는 막의 기판 면내 막 두께 분포를 제어하는 것이 가능하게 된다.
도 1은 본 발명의 일 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 개략 구성도이며, 처리 로 부분을 종단면도로 도시하는 도면이다.
도 2는 본 발명의 일 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 개략 구성도이며, 처리 로 부분을 도 1의 A-A선 단면도로 도시하는 도면이다.
도 3은 본 발명의 일 실시 형태에서 적합하게 사용되는 기판 처리 장치의 컨트롤러의 개략 구성도이며, 컨트롤러의 제어계를 블록도로 도시하는 도면이다.
도 4는 본 발명의 일 실시 형태의 성막 시퀀스를 도시하는 도면이다.
도 5는 본 발명의 일 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 변형예를 나타내는 단면 구성도이다.
도 6의 (a), (b)는 각각, 본 발명의 일 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 변형예를 나타내는 개략 구성도이다.
도 7의 (a)는 본 발명의 일 실시 형태에서 적합하게 사용되는 제1 내지 제3 노즐의 구성예를 도시하는 도면이며, (b) 내지 (e)는 각각, 제1, 제2 노즐의 변형예를 도시하는 도면이다.
도 8의 (a)는 가스 분출 구멍과 배기구를 대향시켰을 경우의 처리실 내에서의 가스의 흐름을 모식적으로 도시하는 도이며, (b)는 가스 분출 구멍과 배기구를 비대향으로 했을 경우의 처리실 내에서의 가스의 흐름을 모식적으로 도시하는 도이다.
도 9의 (a)는 처리실 내에 공급된 HCDS 가스의 온도를 도시하는 도면이며, (b)는 HCDS 가스의 열분해 특성을 도시하는 도면이다.
<본 발명의 일 실시 형태>
이하에, 본 발명의 일 실시 형태에 대해서, 도 1 내지 도 4 등을 사용해서 설명한다.
(1) 기판 처리 장치의 구성
도 1에 도시한 바와 같이, 처리 로(202)는, 가열 기구(온도 조정부)로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 유지판에 지지됨으로써 수직으로 설치되어 있다. 히터(207)는, 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원 형상으로 반응관(210)이 배치되어 있다. 반응관(210)은, 내부 반응관(이너 튜브)(204)과, 이너 튜브(204)를 동심원 형상으로 둘러싸는 외부 반응관(아우터 튜브)(203)을 구비한 2중관 구성을 갖고 있다. 이너 튜브(204) 및 아우터 튜브(203)는, 각각 예를 들어 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료에 의해 구성되고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성되어 있다. 이너 튜브(204)의 통 중공부에는, 처리실(201)이 형성된다. 처리실(201)은, 기판으로서의 웨이퍼(200)를 수용 가능하게 구성되어 있다.
이너 튜브(204) 및 아우터 튜브(203)는, 각각 매니폴드(209)에 의해 하방으로부터 지지되어 있다. 매니폴드(209)는, 스테인리스(SUS) 등의 금속 재료에 의해 구성되고, 상단 및 하단이 개구된 원통 형상으로 형성되어 있다. 매니폴드(209) 내벽의 상단부에는, SUS 등의 금속 재료에 의해 구성되어, 매니폴드(209)의 직경 방향 내측을 향해서 연장된 환상의 플랜지부(209a)가 설치되어 있다. 이너 튜브(204)의 하단은, 플랜지부(209a)의 상면에 맞닿아 있다. 아우터 튜브(203)의 하단은, 매니폴드(209)의 상단에 맞닿아 있다. 아우터 튜브(203)와 매니폴드(209)와의 사이에는, 시일 부재로서의 O링(220a)이 설치되어 있다. 매니폴드(209)의 하단 개구는, 처리 로(202)의 노구로서 구성되어 있고, 후술하는 보트 엘리베이터(115)에 의해 보트(217)가 상승했을 때, 덮개로서의 원반 형상의 시일 캡(219)에 의해 기밀하게 밀봉된다. 매니폴드(209)와 시일 캡(219)의 사이에는, 시일 부재로서의 O링(220b)이 설치되어 있다.
이너 튜브(204)의 천장부는 플랫 형상으로 형성되어 있고, 아우터 튜브(203)의 천장부는 돔 형상으로 형성되어 있다. 이너 튜브(204)의 천장부를 돔 형상으로 하면, 처리실(201) 내에 공급한 가스가, 복수매의 웨이퍼(200) 사이로 흐르지 못하고, 이너 튜브(204)의 천장부에서의 돔 부분의 내부 공간으로 유입되기 쉬워진다. 이너 튜브(204)의 천장부를 플랫 형상으로 함으로써, 처리실(201) 내에 공급한 가스를, 복수매의 웨이퍼(200) 사이에 효율적으로 흘리는 것이 가능하게 된다. 이너 튜브(204)의 천장부와 후술하는 보트(217)의 천장판의 클리어런스(공간)를 작게 함으로써, 예를 들어 웨이퍼(200)의 배열 간격(피치)과 동일 정도의 크기로 함으로써, 웨이퍼(200) 사이에 효율적으로 가스를 흘리는 것이 가능하게 된다.
도 2에 도시한 바와 같이, 이너 튜브(204)의 측벽에는, 제1 노즐로서의 노즐(249a) 및 제3 노즐로서의 노즐(249c)을 수용하는 노즐 수용실(204a)과, 제2 노즐로서의 노즐(249b)을 수용하는 노즐 수용실(204b)이 형성되어 있다. 노즐 수용실(204a, 204b)은, 각각 이너 튜브(204)의 측벽으로부터 이너 튜브(204)의 직경 방향 외향으로 돌출되어, 수직 방향을 따라서 연장되는 채널 형상으로 형성되어 있다. 노즐 수용실(204a, 204b)의 내벽은, 처리실(201)의 내벽의 일부를 구성하고 있다. 노즐 수용실(204a)과 노즐 수용실(204b)은, 이너 튜브(204)의 내벽을 따라, 즉, 처리실(201) 내에 수용된 웨이퍼(200)의 외주를 따라서, 서로 소정 거리 떨어진 위치에 각각 배치되어 있다. 구체적으로는, 노즐 수용실(204a, 204b)은, 웨이퍼(200)의 중심과 노즐 수용실(204a)의 중심을 연결하는 직선과, 웨이퍼(200)의 중심과 노즐 수용실(204b)의 중심을 연결하는 직선이 만드는 중심각(노즐 수용실(204a, 204b)의 각 중심을 양단으로 하는 호에 대한 중심각)이 예를 들어 30 내지 150°의 범위 내의 각도가 되는 위치에 각각 배치되어 있다. 노즐 수용실(204a) 내에 수용된 노즐(249a)과, 노즐 수용실(204b) 내에 수용된 노즐(249b)은, 소정 거리 떨어진 위치에 각각 배치되어 있다. 노즐 수용실(204a) 내에 수용된 노즐(249a, 249c)은, 근접한 위치에 각각 배치되어 있다.
노즐(249a 내지 249c)은, 노즐 수용실(204a, 204b)의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해서 상승되도록 각각 설치되어 있다. 즉, 노즐(249a 내지 249c)은, 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 각각 설치되어 있다. 도 7의 (a)에 도시한 바와 같이, 노즐(249a 내지 249c)의 측면에는, 제1 내지 제3 가스 분출 구멍으로서의 가스 분출 구멍(250a 내지 250c)이 각각 형성되어 있다. 가스 분출 구멍(250a 내지 250c)은, 처리실(201) 내에 수용된 복수매의 웨이퍼(200)의 한 매 한 매에 대응하도록, 적어도 복수매의 웨이퍼(200)의 수와 동일수가, 노즐(249a 내지 249c)의 하부로부터 상부에 걸치는 전역에 형성되어 있다. 보트(217)가 예를 들어 120매의 웨이퍼(200)를 유지할 경우, 노즐(249a 내지 249c)의 각 수직부의 측면에는, 각각 가스 분출 구멍(250a 내지 250c)이 적어도 120개씩 형성된다. 도 1에 도시한 바와 같이, 가스 분출 구멍(250a 내지 250c)을, 각각 웨이퍼 배열 영역뿐만 아니라, 웨이퍼 배열 영역보다도 하측에 설치하는 것도 가능하다. 가스 분출 구멍(250a 내지 250c)은, 예를 들어 각각이 처리실(201)의 중심을 향하도록 개구되어 있어, 웨이퍼(200)의 중심을 향해서 가스를 공급하는 것이 가능하게 구성되어 있다. 또한, 가스 분출 구멍(250a 내지 250c)은, 예를 들어 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다. 이러한 구성에 의해, 각 웨이퍼(200)의 중심 부근에의 가스의 공급을 재촉하는 것이 가능하게 되고, 후술하는 성막 처리의 웨이퍼 면내 균일성을 향상시키는 것이 가능하게 된다. 또한, 각 웨이퍼(200)에 대하여 공급하는 가스의 유량이나 유속을, 웨이퍼(200) 사이에서 균일화시키는 것이 용이하게 되어, 후술하는 성막 처리의 웨이퍼간 균일성을 향상시키는 것이 가능하게 된다.
도 2에 도시한 바와 같이, 노즐(249a 내지 249c)에는, 가스 공급관(232a 내지 232c)이 각각 접속되어 있다. 가스 공급관(232a 내지 232c)에는, 가스류의 상류측부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241a 내지 241c) 및 개폐 밸브인 밸브(243a 내지 243c)가 각각 설치되어 있다. 가스 공급관(232a 내지 232c)의 밸브(243a 내지 243c)보다도 하류측에는, 불활성 가스를 공급하는 가스 공급관(232d 내지 232f)이 각각 접속되어 있다. 가스 공급관(232d 내지 232f)에는, 가스류의 상류측부터 순서대로 MFC(241d 내지 241f) 및 밸브(243d 내지 243f)가 각각 설치되어 있다.
가스 공급관(232a, 232b)으로부터는, 원료(원료 가스)로서, 예를 들어 형성하고자 하는 막을 구성하는 주 원소로서의 실리콘(Si) 및 할로겐 원소를 포함하는 할로실란 원료 가스가, MFC(241a, 241b), 밸브(243a, 243b), 노즐(249a, 249b)을 통해서 처리실(201) 내에 각각 공급된다.
원료 가스란, 기체 상태의 원료, 예를 들어 상온 상압 하에서 액체 상태인 원료를 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 원료 등이다. 할로실란 원료란, 할로겐기를 갖는 실란 원료이다. 할로겐기에는, 염소(Cl), 불소(F), 브롬(Br), 요오드(I) 등의 할로겐 원소가 포함된다. 할로실란 원료 가스로서는, 예를 들어 Si 및 Cl을 포함하는 원료 가스, 즉, 클로로실란 원료 가스를 사용할 수 있다. 클로로실란 원료 가스는, Si소스로서 작용한다. 클로로실란 원료 가스로서는, 예를 들어 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스를 사용할 수 있다.
가스 공급관(232c)으로부터는, 제1 반응체(제1 반응 가스)로서, 예를 들어 산소(O) 함유 가스가, MFC(241c), 밸브(243c), 노즐(249c)을 통해서 처리실(201) 내에 공급된다. O 함유 가스는, 산화원(산화제, 산화 가스), 즉, O 소스로서 작용한다. O 함유 가스로서는, 예를 들어 산소(O2) 가스를 사용할 수 있다.
가스 공급관(232a)으로부터는, 제2 반응체(제2 반응 가스)로서, 예를 들어 수소(H) 함유 가스가, MFC(241a), 밸브(243a), 노즐(249a)을 통해서 처리실(201) 내에 공급된다. H 함유 가스는, 그 단체로는 산화 작용은 얻어지지 않지만, 후술하는 성막 처리에 있어서, 특정한 조건 하에서 O 함유 가스와 반응함으로써 원자상 산소(atomic oxygen, O) 등의 산화종을 생성하여, 산화 처리의 효율을 향상시키도록 작용한다. H 함유 가스로서는, 예를 들어 수소(H2) 가스를 사용할 수 있다.
가스 공급관(232d 내지 232f)으로부터는, 불활성 가스로서, 예를 들어 질소(N2) 가스가, 각각 MFC(241d 내지 241f), 밸브(243d 내지 243f), 가스 공급관(232a 내지 232c), 노즐(249a 내지 249c)을 통해서 처리실(201) 내에 공급된다. N2 가스는, 퍼지 가스, 캐리어 가스로서 작용한다.
주로, 가스 공급관(232a, 232b), MFC(241a, 241b), 밸브(243a, 243b)에 의해, 제1 공급계(원료 공급계)가 구성된다. 가스 공급관(232a, 232c), MFC(241a, 241c), 밸브(243a, 243c)에 의해, 제2 공급계(반응체 공급계)가 구성된다. 가스 공급관(232d 내지 232f), MFC(241d 내지 241f), 밸브(243d 내지 243f)에 의해, 불활성 가스 공급계가 구성된다.
상술한 각종 공급계 중 어느 하나, 또는 모든 공급계는, 밸브(243a 내지 243f)나 MFC(241a 내지 241f) 등이 집적되어 이루어지는 집적형 공급 시스템(248)으로서 구성되어 있어도 된다. 집적형 공급 시스템(248)은, 가스 공급관(232a 내지 232f) 각각에 대하여 접속되어, 가스 공급관(232a 내지 232f) 내에의 각종 가스의 공급 동작, 즉, 밸브(243a 내지 243f)의 개폐 동작이나 MFC(241a 내지 241f)에 의한 유량 조정 동작 등이, 후술하는 컨트롤러(121)에 의해 제어되도록 구성되어 있다. 집적형 공급 시스템(248)은, 일체형, 또는 분할형의 집적 유닛으로서 구성되어 있고, 가스 공급관(232a 내지 232f) 등에 대하여 집적 유닛 단위로 착탈을 행할 수 있어, 집적형 공급 시스템(248)의 메인터넌스, 교환, 증설 등을, 집적 유닛 단위로 행하는 것이 가능하게 구성되어 있다.
이너 튜브(204)의 측면에는, 예를 들어 슬릿 형상의 관통 구멍으로서 구성된 배기구(배기 슬릿)(204c, 204d)가, 각각 수직 방향으로 가늘고 길게 개설되어 있다. 배기구(204c, 204d)는, 정면에서 보아 예를 들어 직사각형이며, 이너 튜브(204)의 측벽의 하부로부터 상부에 걸쳐 각각 설치되어 있다. 처리실(201) 내와, 이너 튜브(204)와 아우터 튜브(203)의 사이의 원환 형상의 공간인 배기 공간(205)은, 배기구(204c, 204d)를 통해서 연통하고 있다. 배기구(204c, 204d) 각각은, 평면으로 보아, 가스 분출 구멍(250a, 250b)과, 처리실(201) 내에 수용된 웨이퍼(200)의 중심을 사이에 두고 비대향이 되는 위치에 배치되어 있다. 구체적으로는, 가스 분출 구멍(250a, 250b)을 연결하는 선분을 선분 A라 했을 때, 배기구(204c, 204d)는, 평면으로 보아, 각각이 선분 A의 수직 이등분선인 직선 B 상에 배치되어 있다. 또한, 직선 B는 웨이퍼(200)의 중심을 통과하게 된다. 가스 분출 구멍(250a, 250b)을 연결하는 선분 A와, 배기구(204c, 204d)를 통과하는 직선 B는 서로 직교하고 있고, 또한 가스 분출 구멍(250a, 250b)은, 직선 B를 기준으로 해서 선대칭이 되는 위치에 각각 배치되어 있다.
도 1에 도시한 바와 같이, 아우터 튜브(203)의 하부에는, 배기 공간(205)을 통해서 처리실(201) 내의 분위기를 배기하는 배기관(231)이 접속되어 있다. 배기관(231)에는, 배기 공간(205) 내, 즉, 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 통해서, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. APC 밸브(244)는, 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한, 진공 펌프(246)를 작동시킨 상태에서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 배기구(204c, 204d), 배기 공간(205), 진공 펌프(246)를 배기계에 포함해서 생각해도 된다.
매니폴드(209)의 하단 개구는, O링(220b)을 통해서 시일 캡(219)에 의해 기밀하게 밀봉된다. 시일 캡(219)은, SUS 등의 금속 재료에 의해 구성되고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 하방에는, 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은, 시일 캡(219)을 관통해서 보트(217)에 접속되어 있다. 회전 기구(267)는, 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은, 반응관(210)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는, 시일 캡(219)을 승강시킴으로써, 보트(217)에 의해 지지된 웨이퍼(200)를 처리실(201) 내외로 반입 및 반출(반송)하는 반송 장치(반송 기구)로서 구성되어 있다.
기판 지지구로서의 보트(217)는, 복수매, 예를 들어 25 내지 200매의 웨이퍼(200)를, 수평 자세이면서 또한 서로 중심을 맞춘 상태에서 수직 방향으로 정렬시켜 다단으로 지지하도록, 즉, 간격을 두고 배열시키도록 구성되어 있다. 보트(217)는, 예를 들어 석영이나 SiC 등의 내열성 재료에 의해 구성된다. 보트(217)의 하부에는, 예를 들어 석영이나 SiC 등의 내열성 재료에 의해 구성되는 단열판(218)이 다단으로 지지되어 있다.
아우터 튜브(203)와 이너 튜브(204)의 사이에는, 온도 검출기로서의 온도 센서(263)가 설치되어 있다. 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에의 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포로 된다. 온도 센서(263)는, 아우터 튜브(203)의 내벽을 따라 설치되어 있다.
도 3에 도시한 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는, CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는, 내부 버스(121e)를 통해서, CPU(121a)와 데이터 교환 가능하게 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 성막 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하게 저장되어 있다. 프로세스 레시피는, 후술하는 성막 처리에서의 각 수순을 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있게 조합된 것이며, 프로그램으로서 기능한다. 이하, 프로세스 레시피나 제어 프로그램 등을 총칭하여, 간단히 프로그램이라고도 한다. 또한, 프로세스 레시피를, 간단히 레시피라고도 한다. 본 명세서에서 프로그램이라는 말을 사용한 경우에는, 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그들 양쪽을 포함하는 경우가 있다. RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(121d)는, 상술한 MFC(241a 내지 241f), 밸브(243a 내지 243f), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터 제어 프로그램을 판독해서 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 레시피를 판독하도록 구성되어 있다. CPU(121a)는, 판독한 레시피의 내용을 따르도록, MFC(241a 내지 241f)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243f)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작 등을 제어하도록 구성되어 있다.
컨트롤러(121)는, 외부 기억 장치(예를 들어, HDD 등의 자기 디스크, CD 등의 광디스크, MO 등의 광자기 디스크, USB 메모리 등의 반도체 메모리)(123)에 저장된 상술한 프로그램을, 컴퓨터에 인스톨함으로써 구성할 수 있다. 기억 장치(121c)나 외부 기억 장치(123)는, 컴퓨터 판독 가능한 기록 매체로서 구성되어 있다. 이하, 이들을 총칭하여, 간단히 기록 매체라고도 한다. 본 명세서에서 기록 매체라는 말을 사용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그들 양쪽을 포함하는 경우가 있다. 또한, 컴퓨터에의 프로그램의 제공은, 외부 기억 장치(123)를 사용하지 않고, 인터넷이나 전용 회선 등의 통신 수단을 사용해서 행해도 된다.
(2) 기판 처리 공정
상술한 기판 처리 장치를 사용하여, 반도체 장치의 제조 공정의 일 공정으로서, 기판으로서의 웨이퍼(200) 상에 실리콘 산화막(SiO막)을 형성하는 시퀀스 예에 대해서, 도 4를 사용해서 설명한다. 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
도 4에 도시하는 성막 시퀀스에서는,
제1 노즐로서의 노즐(249a)과, 노즐(249a)과는 이격된 위치에 배치된 제2 노즐로서의 노즐(249b)을 통해서, 처리실(201) 내의 웨이퍼(200)에 대하여 원료로서의 HCDS 가스를 공급하고, 평면으로 보아, 노즐(249a)의 가스 분출 구멍(250a) 및 노즐(249b)의 가스 분출 구멍(250b)과 비대향으로 되는 위치에 배치되는 복수의 배기구(204c, 204d)로부터 배기하는 스텝 1과,
제3 노즐로서의 노즐(249c)을 통해서 처리실(201) 내의 웨이퍼(200)에 대하여 반응체로서의 O2 가스를 공급하고, 복수의 배기구(204c, 204d)로부터 배기하는 스텝 2,
를 비동시에 행하는 사이클을 소정 횟수(1회 이상) 행함으로써, 웨이퍼(200) 상에 Si 및 O를 포함하는 막으로서 SiO막을 형성한다.
또한, 상술한 스텝 2는, 웨이퍼(200)에 대하여 반응체로서의 O2 가스와, 반응체로서의 H2 가스를 동시에 공급하는 기간을 포함하고 있다. H2 가스의 공급은 노즐(249a)로부터 행한다.
본 명세서에서는, 도 4에 도시하는 성막 시퀀스를, 편의상, 이하와 같이 나타내는 경우도 있다. 후술하는 변형예나 다른 실시 형태에서의 성막 시퀀스에 대해서도 마찬가지의 표기를 사용한다.
(HCDS→O2+H2)×n ⇒ SiO
본 명세서에서 「웨이퍼」라는 말을 사용한 경우에는, 웨이퍼 그 자체를 의미하는 경우나, 웨이퍼와 그 표면에 형성된 소정의 층이나 막과의 적층체를 의미하는 경우가 있다. 본 명세서에서 「웨이퍼의 표면」이라는 말을 사용한 경우에는, 웨이퍼 그 자체의 표면을 의미하는 경우나, 웨이퍼 상에 형성된 소정의 층 등의 표면을 의미하는 경우가 있다. 본 명세서에서 「웨이퍼 상에 소정의 층을 형성한다」라고 기재했을 경우에는, 웨이퍼 그 자체의 표면 상에 소정의 층을 직접 형성하는 것을 의미하는 경우나, 웨이퍼 상에 형성되어 있는 층 등의 위에 소정의 층을 형성하는 것을 의미하는 경우가 있다. 본 명세서에서 「기판」이라는 말을 사용한 경우도, 「웨이퍼」라는 말을 사용한 경우와 동의이다.
(웨이퍼 차지 및 보트 로드)
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)된다. 그 후, 도 1에 도시한 바와 같이, 복수매의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져서 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은, O링(220b)을 통해서 매니폴드(209)의 하단을 시일한 상태가 된다.
(압력 조정 및 온도 조정)
처리실(201) 내, 즉, 웨이퍼(200)가 존재하는 공간이 원하는 압력(진공도)으로 되도록, 진공 펌프(246)에 의해 처리실(201) 내가 진공 배기(감압 배기)된다. 이때, 처리실(201) 내의 압력은 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다. 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 온도로 되도록, 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)에의 통전 상태가 피드백 제어된다. 또한, 회전 기구(267)에 의한 웨이퍼(200)의 회전을 개시한다. 처리실(201) 내의 배기, 웨이퍼(200)의 가열 및 회전은, 모두 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 계속해서 행하여진다.
(성막 스텝)
그 후, 다음의 스텝 1, 2를 순차 실행한다.
[스텝 1]
이 스텝에서는, 처리실(201) 내의 웨이퍼(200)에 대하여 HCDS 가스를, 떨어진 위치에 배치된 노즐(249a, 249b)로부터 동시에 공급한다.
구체적으로는, 밸브(243a, 243b)를 개방하고, 가스 공급관(232a, 232b) 내에 HCDS 가스를 흘린다. HCDS 가스는, MFC(241a, 241b)에 의해 유량 조정되어, 노즐(249a, 249b)을 통해서 처리실(201) 내에 공급된다. 노즐(249a, 249b)의 가스 분출 구멍(250a, 250b)으로부터 처리실(201) 내에 공급된 HCDS 가스는, 각각 도 2에 일점 쇄선의 화살표로 나타낸 바와 같이 웨이퍼(200)의 중심을 향해서 흘러, 웨이퍼(200)의 중심 근방에서 충돌해서 감속된 후, 웨이퍼(200)의 면내에 확산(분산)하여, 배기구(204c, 204d) 각각을 향해서 흐른다. 이때, 웨이퍼(200)의 면내 전역에 걸쳐 HCDS 가스가 공급된다. 그 후, HCDS 가스는, 배기구(204c, 204d)를 통해서 배기 공간(205) 내에 흘러, 배기관(231)으로부터 배기된다. 이때, 밸브(243d 내지 243f)를 개방하고, 가스 공급관(232d 내지 232f) 내에 N2 가스를 흘리도록 해도 된다. 이 경우, N2 가스는, MFC(241d 내지 241f)에 의해 유량 조정되어, 노즐(249a 내지 249c)을 통해서 처리실(201) 내에 공급되고, 배기구(204c, 204d), 배기 공간(205)을 통해서 배기관(231)으로부터 배기된다.
웨이퍼(200)에 대하여 HCDS 가스를 공급함으로써, 웨이퍼(200)의 표면 상에, Cl을 포함하는 Si 함유층(제1층)이 형성된다. Cl을 포함하는 Si 함유층은, 웨이퍼(200)의 표면에, HCDS가 물리 흡착되거나, HCDS의 일부가 분해한 물질이 화학 흡착되거나, HCDS가 열분해하거나 하는 것 등에 의해 형성된다. 즉, Cl을 포함하는 Si 함유층은, HCDS나 HCDS의 일부가 분해한 물질의 흡착층(물리 흡착층이나 화학 흡착층)이어도 되고, Cl을 포함하는 Si층이어도 된다. 이하, Cl을 포함하는 Si 함유층을, 간단히 Si 함유층이라고도 칭한다.
웨이퍼(200) 상에 Si 함유층을 형성한 후, 밸브(243a, 243b)를 폐쇄하고, 처리실(201) 내에의 HCDS 가스의 공급을 정지한다. 그리고, 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 가스 등을 처리실(201) 내로부터 배제한다. 이때, 밸브(243d 내지 243f)를 개방하고, 처리실(201) 내에 N2 가스를 공급한다. N2 가스는 퍼지 가스로서 작용한다.
[스텝 2]
스텝 1이 종료된 후, 처리실(201) 내의 웨이퍼(200)에 대하여 O2 가스 및 H2 가스를, 근접한 위치에 배치된 노즐(249c, 249a)로부터 동시에 공급한다.
구체적으로는, 밸브(243c, 243a)를 개방하고, 가스 공급관(232c, 232a) 내에 O2 가스, H2 가스를 각각 흘린다. O2 가스, H2 가스는, 각각 MFC(241c, 241a)에 의해 유량 조정되어, 노즐(249c, 249a)을 통해서 처리실(201) 내에 공급된다. 노즐(249c, 249a)의 가스 분출 구멍(250c, 250a)으로부터 처리실(201) 내에 각각 공급된 O2 가스, H2 가스는, 각각 웨이퍼(200)의 중심을 향해서 흘러, 그 과정에서 처리실(201) 내에서 혼합되어 반응하고, 웨이퍼(200)의 면내에 확산하여, 그 후, 배기구(204c, 204d), 배기 공간(205)을 통해서 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 O2 가스 및 H2 가스가 동시에 또한 함께 공급된다. 밸브(243d 내지 243f)의 개폐 제어는, 스텝 1에서의 밸브(243d 내지 243f)의 개폐 제어와 마찬가지로 한다.
처리실(201) 내에 O2 가스 및 H2 가스를 동시에 또한 함께 공급함으로써, 이들 가스는, 가열된 감압 분위기 하에서 논 플라스마로 열적으로 활성화(여기)되어 반응하고, 그에 의해, 원자상 산소(O) 등의 산소를 포함하는 수분(H2O) 비함유의 산화종이 생성된다. 그리고, 주로 이 산화종에 의해, 스텝 1에서 웨이퍼(200) 상에 형성된 Si 함유층에 대하여 산화 처리가 행하여진다. 이 산화종이 갖는 에너지는, Si 함유층 중에 포함되는 Si-Cl 결합 등의 결합 에너지보다도 높기 때문에, 이 산화종의 에너지를 Si 함유층에 부여함으로써, Si 함유층 중에 포함되는 Si-Cl 결합 등은 분리된다. Si와의 결합이 분리된 Cl 등은 층 내로부터 제거되어, Cl2, HCl 등으로서 배출된다. 또한, Cl 등과의 결합이 끊어짐으로써 남은 Si의 결합손은, 산화종에 포함되는 O와 결부되어, Si-O 결합이 형성된다. 이와 같이 하여, Si 함유층은, Si 및 O를 포함하고, Cl 등의 불순물의 함유량이 적은 층, 즉, 고순도의 SiO층(제2층)으로 변화된다(개질된다). 이 산화 처리에 의하면, O2 가스를 단독으로 공급하는 경우나 H2O 가스(수증기)를 단독으로 공급하는 경우에 비해, 산화력을 대폭 향상시킬 수 있다. 즉, 감압 분위기 하에서 O2 가스에 H2 가스를 첨가함으로써, O2 가스 단독 공급의 경우나 H2O 가스 단독 공급의 경우에 비해, 대폭적인 산화력 향상 효과가 얻어지게 된다.
Si 함유층을 SiO층으로 변화시킨 후, 밸브(243c, 243a)를 폐쇄하고, 처리실(201) 내에의 O2 가스 및 H2 가스의 공급을 각각 정지한다. 그리고, 스텝 1과 마찬가지의 처리 수순에 의해, 처리실(201) 내에 잔류하는 가스 등을 처리실(201) 내로부터 배제한다.
[소정 횟수 실시]
스텝 1, 2를 비동시에, 즉, 동기시키지 않고 행하는 사이클을 1회 이상(n회) 행함으로써, 웨이퍼(200) 상에 원하는 막 두께의 SiO막을 형성할 수 있다. 상술한 사이클은, 복수회 반복하는 것이 바람직하다. 즉, 1 사이클당 형성되는 SiO층의 두께를 원하는 막 두께보다도 작게 하여, SiO층을 적층함으로써 형성되는 SiO막의 막 두께가 원하는 막 두께로 될 때까지, 상술한 사이클을 복수회 반복하는 것이 바람직하다.
스텝 1에서의 처리 조건으로서는,
HCDS 가스 공급 유량(가스 공급관마다): 5 내지 2000sccm, 바람직하게는 50 내지 1000sccm
HCDS 가스 공급 시간: 1 내지 120초, 바람직하게는 1 내지 60초
N2 가스 공급 유량(가스 공급관마다): 0 내지 10000sccm
처리 온도: 250 내지 800℃, 바람직하게는 400 내지 700℃
처리 압력: 1 내지 2666Pa, 바람직하게는 67 내지 1333Pa
이 예시된다.
스텝 2에서의 처리 조건으로서는,
O2 가스 공급 유량: 100 내지 10000sccm
H2 가스 공급 유량: 100 내지 10000sccm
O2 가스 및 H2 가스 공급 시간: 1 내지 120초, 바람직하게는 1 내지 60초
처리 압력: 13.3 내지 1333Pa, 바람직하게는 13.3 내지 399Pa
이 예시된다. 다른 처리 조건은, 스텝 1에서의 처리 조건과 마찬가지로 한다.
원료 가스로서는, HCDS 가스 외에, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 테트라클로로실란(SiCl4, 약칭: STC) 가스, 옥타클로로트리실란(Si3Cl8, 약칭: OCTS) 가스 등의 클로로실란 원료 가스를 사용할 수 있다.
제1 반응 가스로서는, O2 가스 외에, 아산화질소(N2O) 가스, 일산화질소(NO) 가스, 이산화질소(NO2) 가스, 오존(O3) 가스, H2O 가스, 일산화탄소(CO) 가스, 이산화탄소(CO2) 가스 등의 O 함유 가스를 사용할 수 있다.
제2 반응 가스로서는, H2 가스 외에, 중수소(D2) 가스 등의 H 함유 가스를 사용할 수 있다.
불활성 가스로서는, N2 가스 외에, 예를 들어 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용할 수 있다.
(애프터 퍼지 내지 대기압 복귀)
성막 스텝이 종료된 후, 가스 공급관(232d 내지 232f) 각각으로부터 N2 가스를 처리실(201) 내에 공급하고, 배기구(204c, 204d), 배기 공간(205)을 통해서 배기관(231)으로부터 배기한다. N2 가스는 퍼지 가스로서 작용한다. 이에 의해, 처리실(201) 내가 퍼지되어, 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(애프터 퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되고, 매니폴드(209)의 하단이 개구된다. 그리고, 처리가 끝난 웨이퍼(200)가, 보트(217)에 지지된 상태에서, 매니폴드(209)의 하단으로부터 반응관(210)의 외부로 반출(보트 언로드)된다. 처리가 끝난 웨이퍼(200)는, 반응관(210)의 외부로 반출된 후, 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3) 본 실시 형태에 의한 효과
본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과가 얻어진다.
(a) 복수의 배기구(204c, 204d) 각각을, 평면으로 보아, 가스 분출 구멍(250a, 250b)과, 처리실(201) 내에 수용된 웨이퍼(200)의 중심을 사이에 두고 비대향으로 되는 위치에 배치함으로써, 웨이퍼(200) 상에 형성되는 SiO막의 웨이퍼 면내 막 두께 분포(이하, 간단히 면내 막 두께 분포라고도 칭함)의 제어성을 높이는 것이 가능하게 된다.
그도 그럴 것이, 도 8의 (a)에 도시한 바와 같이, 이너 튜브에 배기구를 1개 설치하고, 이 배기구를, 평면으로 보아, 제1 가스 분출 구멍과, 처리실 내에 수용된 웨이퍼의 중심을 사이에 두고 대향하는 위치에 배치한 경우, 제1, 제2 가스 분출 구멍으로부터 처리실 내에 공급된 HCDS 가스는, 도면 중에 일점 쇄선의 화살표로 나타내는 바와 같이 흐르는 것이다.
즉, 제1 가스 분출 구멍으로부터 공급된 HCDS 가스는, 웨이퍼의 중심을 경유해서 배기구를 향해서 거의 감속되지 않고 직선적으로 흘러, 배기구를 통해서 처리실 밖으로 배출된다. 또한, 제2 가스 분출 구멍으로부터 공급된 HCDS 가스는, 웨이퍼의 중심에 도달하지 않고 배기구를 향해서 방향을 바꾸어, 배기구를 통해서 처리실 밖으로 배출된다. 즉, 배기구를 도 8의 (a)와 같이 배치한 경우, 제1, 제2 가스 분출 구멍으로부터 동시에 공급한 HCDS 가스를 웨이퍼의 중심 근방에서 충돌, 감속시켜, 웨이퍼의 면내에 분산시키는 것은 곤란해진다. 이 경우, 웨이퍼 상에 형성되는 SiO막의 면내 막 두께 분포는, 웨이퍼의 표면의 주연부(외주부)에서 가장 두껍고, 중앙부에 근접함에 따라서 서서히 얇아지는 분포(이하, 중앙 오목 분포라고도 칭함)가 되고, 또한 그 정도가 강해지는 경우가 있다. 이 경향은, 이너 튜브에 배기구를 복수 설치한 경우에도, 복수의 배기구 중 적어도 어느 하나의 배기구를 제1, 제2 가스 분출 구멍의 어느 하나와 대향하는 위치에 배치한 경우에는, 마찬가지가 된다.
이에 반해, 도 8의 (b)에 도시한 바와 같이, 이너 튜브에 배기구를 2개 설치하고, 이들 2개의 배기구 각각을, 평면으로 보아, 제1, 제2 가스 분출 구멍과, 처리실 내에 수용된 웨이퍼의 중심을 사이에 두고 비대향으로 되는 위치에 배치한 경우, 제1, 제2 가스 분출 구멍으로부터 처리실 내에 공급된 HCDS 가스는, 도면 중에 일점 쇄선의 화살표로 나타내는 바와 같이 흐른다. 즉, 제1, 제2 가스 분출 구멍으로부터 공급된 HCDS 가스는, 웨이퍼의 중심을 향해서 흘러, 웨이퍼의 중심 근방에서 충돌해서 감속되고, 웨이퍼의 면내에 분산해서 2개의 배기구 각각을 향해서 흐른다. 배기구를 도 8의 (b)와 같이 배치한 경우, 웨이퍼 상에 형성되는 SiO막의 중앙 오목 분포의 정도를 완화시키는 것이 가능하게 된다. 그리고, SiO막의 면내 막 두께 분포를, 웨이퍼(200)의 표면의 중앙부로부터 주연부에 걸쳐 막 두께 변화가 적은 평탄한 막 두께 분포(이하, 플랫 분포라고도 칭함)로 하거나, 나아가, 웨이퍼의 표면의 주연부에서 가장 얇고, 중앙부에 근접함에 따라서 서서히 두꺼워지는 분포(이하, 중앙 볼록 분포라고도 칭함)로 하거나 하는 것이 가능하게 된다. 즉, 웨이퍼 상에 형성되는 SiO막의 면내 막 두께 분포를 광범위하게 제어하는 것이 가능하게 되고, 면내 막 두께 균일성을 향상시키는 것도 가능하게 된다.
(b) 본 실시 형태와 같이, 복수의 배기구(204c, 204d) 각각을, 평면으로 보아, 가스 분출 구멍(250a)과 가스 분출 구멍(250b)을 연결하는 선분 A의 수직 이등분선인 직선 B 상에 배치하는 경우에는, 웨이퍼(200) 상에 형성되는 SiO막의 면내 막 두께 분포의 제어성을 더 높이는 것이 가능하게 된다. 그도 그럴 것이, 배기구(204c, 204d)를 이렇게 배치한 경우, 가스 분출 구멍(250a, 250b)으로부터 동시에 공급한 HCDS 가스를, 웨이퍼의 중심 근방에서 충돌, 감속시킬 뿐만 아니라, 웨이퍼(200)가 중심에서 충돌한 HCDS 가스를, 직선 B를 축으로 해서 대략 선대칭으로 확산(분산)시키는 것이 가능하게 되는 것이다. 이에 의해, 웨이퍼(200) 상에 형성되는 SiO막의 면내 막 두께 균일성을 더욱 향상시키는 것이 가능하게 된다.
(c) 상술한 효과는, HCDS 가스 이외의 상술한 원료 가스를 사용하는 경우나, O2 가스 이외의 상술한 O 함유 가스를 사용하는 경우나, H2 가스 이외의 상술한 H 함유 가스를 사용하는 경우나, N2 가스 이외의 상술한 불활성 가스를 사용하는 경우에도, 마찬가지로 얻을 수 있다.
(4) 변형예
본 실시 형태는, 이하의 변형예와 같이 변경할 수 있다. 또한, 이들 변형예는 임의로 조합할 수 있다.
(변형예 1)
도 6의 (a)에 도시한 바와 같이, 이너 튜브(204)의 측벽에 4개의 배기구(204c' 내지 204f')를 설치해도 된다. 이 경우, 4개의 배기구(204c' 내지 204f')를, 평면으로 보아, 가스 분출 구멍(250a, 250b)을 연결하는 선분 A의 수직 이등분선인 직선 B를 기준으로 해서 선대칭으로 배치한다. 즉, 배기구(204c', 204d')를 연결하는 선분 C'와, 직선 B를 서로 직교시키고, 또한 배기구(204c', 204d')를, 직선 B를 기준으로 해서 선대칭이 되는 위치에 각각 배치한다. 또한, 배기구(204e', 204f')를 연결하는 선분 D'와, 직선 B를 서로 직교시키고, 또한 배기구(204e', 204f')를, 직선 B를 기준으로 해서 선대칭이 되는 위치에 각각 배치한다.
또한, 도 6의 (b)에 도시한 바와 같이, 이너 튜브(204)의 측벽에 3개의 배기구(204c" 내지 204e")를 설치해도 된다. 이 경우, 3개의 배기구(204c" 내지 204e") 중 적어도 하나(여기서는 배기구(204e"))를, 평면으로 보아, 가스 분출 구멍(250a, 250b)을 연결하는 선분 A의 수직 이등분선인 직선 B 상에 배치하고, 3개의 배기구(204c" 내지 204e") 중 상기 적어도 하나 이외(여기에서는 배기구(204c", 204d"))를 직선 B를 기준으로 해서 선대칭으로 배치한다. 즉, 배기구(204e")를 직선 B 상에 배치하고, 또한 배기구(204c", 204d")를 연결하는 선분 C"와, 직선 B를 서로 직교시키고, 또한 배기구(204c", 204d")를 직선 B를 기준으로 해서 선대칭이 되는 위치에 각각 배치한다.
이러한 경우에도, 도 4에 도시하는 상술한 성막 시퀀스를 실시했을 때, 도 1, 도 2에 도시하는 기판 처리 장치를 사용한 경우와 마찬가지의 효과가 얻어진다. 또한, 이너 튜브(204)에 설치하는 배기구의 수를 증가시킴으로써, 웨이퍼(200)의 중심에서 충돌한 HCDS 가스를, 웨이퍼(200)의 면내에 보다 균일하게 확산(분산)시킬 수 있어, 웨이퍼(200) 상에 형성되는 SiO막의 면내 막 두께 균일성을 더욱 향상시키는 것이 가능하게 된다.
(변형예 2)
도 5에 도시한 바와 같이, 이너 튜브(204)의 천장면에, 배기구(204c, 204d)와는 상이한 배기구로서, 개구(204t)를 설치할 수도 있다.
이 경우에도, 도 4에 도시하는 상술한 성막 시퀀스를 실시했을 때, 도 1, 도 2에 도시하는 기판 처리 장치를 사용한 경우와 마찬가지의 효과가 얻어진다. 또한, 이너 튜브(204)의 상부에 개구(204t)를 설치함으로써, 스텝 1, 2를 행할 때, 처리실(201)의 상부에서의 가스 치환의 효율을 높이거나, 처리실(201)의 상부에서의 압력 상승을 억제하거나 하는 것이 가능하게 된다. 이에 의해, 처리실(201) 내에서의 각종 가스의 농도 분포(웨이퍼 적재 방향에서의 분포)를 적정화시키는 것이 가능하게 되고, 이에 의해, 웨이퍼간 막 두께 균일성을 향상시키는 것이 가능하게 된다.
또한, 이너 튜브(204)의 상부와 보트(217)의 상단에서의 천장판과의 간극(클리어런스)을 적정하게 조정하거나, 개구(204t)의 구경을 적정하게 조정하거나 함으로써, 웨이퍼간 막 두께 분포를 미세 조정하는 것이 가능하게 된다.
(변형예 3)
도 1 내지 도 4, 도 7의 (a)를 사용해서 설명한 실시 형태에서는, 노즐(249a, 249b)의 가스 분출 구멍(250a, 250b)의 구성(배치, 피치, 구멍수)을 서로 동일하게 하는 예에 대해서 나타냈지만, 본 실시 형태는 이러한 형태에 한정되지 않는다. 즉, 노즐(249a, 249b)의 가스 분출 구멍(250a, 250b)의 구성(배치, 피치, 구멍수)은 서로 상이하게 해도 된다.
예를 들어, 도 7의 (b)에 도시한 바와 같이, 노즐(249a)에 있어서는, 하부로부터 상부에 걸치는 전역에 가스 분출 구멍(250a)을 형성하고, 노즐(249b)에 있어서는, 상부에만 가스 분출 구멍(250b)을 형성하고, 그 이외의 부분에는 가스 분출 구멍(250b)을 형성하지 않아도 된다. 또한 예를 들어, 도 7의 (c)에 도시한 바와 같이, 노즐(249a)에 있어서는, 하부로부터 상부에 걸치는 전역에 가스 분출 구멍(250a)을 형성하고, 노즐(249b)에 있어서는, 하부에만 가스 분출 구멍(250b)을 형성하고, 그 이외의 부분에는 가스 분출 구멍(250b)을 형성하지 않도록(전체 길이를 짧게 해서, 그 측면의 전역에 가스 분출 구멍(250b)을 형성함) 해도 된다. 또한 예를 들어, 도 7의 (d)에 도시한 바와 같이, 노즐(249a)에 있어서는, 상부에는 가스 분출 구멍(250)을 형성하지 않고(전체 길이도 짧게 함), 그 이외의 부분의 전역에 가스 분출 구멍(250a)을 형성하고, 노즐(249b)에 있어서는, 상부에만 가스 분출 구멍(250b)을 형성하고, 그 이외의 부분에는 가스 분출 구멍(250b)을 형성하지 않아도 된다. 또한 예를 들어, 도 7의 (e)에 도시한 바와 같이, 노즐(249a, 249b)에 있어서는, 하부로부터 상부에 걸치는 전역에 가스 분출 구멍(250a, 250b)을 각각 형성하고, 또한 노즐(249a, 249b)의 각각의 천장부에, 수직 방향을 향해서 개구되는 가스 분출 구멍(천장 구멍)(251a, 251b)을 각각 형성해도 된다. 이 경우, 가스 분출 구멍(251a)의 개구 면적(직경)을 가스 분출 구멍(250a)의 개구 면적(직경)보다도 크게 하는 것이 바람직하고, 가스 분출 구멍(251b)의 개구 면적(직경)을 가스 분출 구멍(250b)의 개구 면적(직경)보다도 크게 하는 것이 바람직하다. 예를 들어, 가스 분출 구멍(251a)의 직경을, 가스 분출 구멍(250a)의 직경의 2배 이상 8배 이하의 크기로 하는 것이 바람직하고, 가스 분출 구멍(251b)의 직경을, 가스 분출 구멍(250b)의 직경의 2배 이상 8배 이하의 크기로 하는 것이 바람직하다. 또한, 노즐(249a, 249b) 중 한쪽의 노즐 천장부에 천장 구멍을 형성하지 않도록 해도 된다.
이러한 경우에도, 도 4에 도시하는 상술한 성막 시퀀스를 실시했을 때, 도 1, 도 2에 도시하는 기판 처리 장치를 사용한 경우와 마찬가지의 효과가 얻어진다. 또한, 스텝 1을 행할 때, 처리실(201) 내에서의 HCDS 가스의 농도 분포(웨이퍼 적재 방향에서의 분포)를 미세 조정해서 적정화시키는 것이 가능하게 되고, 이에 의해, 웨이퍼간 막 두께 균일성을 향상시키는 것이 가능하게 된다.
그도 그럴 것이, 처리실 내에 공급된 HCDS 가스는, 가열됨으로써 A×Si+B×SiCl2+C×SiCl4로 열분해한다(여기에서 A, B, C는 각각 임의 수이며, 분해 생성물의 총량에 대한 비율을 나타냄). 이들 분해 생성물 중, SiO막의 형성에 기여하는 성분은 주로 SiCl2이다. 발명자들의 예의 연구에 의하면, 웨이퍼 배열 영역의 중앙부에서는, 열분해에 의한 SiCl2의 생성량이 비교적 많아져, SiO막의 형성 레이트가 높아지는 경향이 있는 것을 알게 된 것이다.
한편, 웨이퍼 배열 영역의 상부나 하부에서는, 열분해에 의한 SiCl2의 생성량이 비교적 적어져, SiO막의 형성 레이트가 낮아지는 경향이 있는 것도 알았다. 도 9의 (a)는 처리실 내에 공급된 HCDS 가스의 온도를 도시하는 도면이다.
도 9의 (a)의 횡축은 HCDS 가스의 온도[℃]를 나타내고 있고, 종축은 웨이퍼의 수용 위치(120이 상부, 0이 하부)를 나타내고 있다. 도 9의 (a)에 도시한 바와 같이, 처리실 내에 공급된 HCDS 가스의 온도는, 웨이퍼 배열 영역의 하부에서는 300℃ 정도인 것에 반해, 상부에서는 700℃ 정도가 되는 것을 알 수 있다. 도 9의 (b)는 HCDS 가스의 열분해 특성을 도시하는 도면이다. 도 9의 (b)의 횡축은 HCDS 가스의 온도[℃]를 나타내고 있고, 종축은 HCDS의 열분해에 의한 SiCl2의 생성량[a.u.]을 나타내고 있다.
도 9의 (b)에 의하면, SiCl2의 생성량은, HCDS 가스의 온도가 350℃ 미만의 범위에서는 적고, 400 내지 500℃의 범위에서 극대가 되며, 그것을 초과해서 예를 들어 600℃ 정도가 되면 다시 적어지는 것을 알 수 있다. 또한, HCDS 가스의 온도가 800℃에 달하면, 2Si2Cl6→Si+3SiCl3이라는 열분해 반응이 진행되어, SiCl2는 거의 생성되지 않게 된다. 이들 결과로부터, 웨이퍼 배열 영역의 중앙부에서는, SiO막의 형성에 기여하는 SiCl2의 생성량이 많아지고, 웨이퍼 배열 영역의 상부나 하부에서는, SiO막의 형성에 기여하는 SiCl2의 생성량이 적어지는 것을 알 수 있다. 이 경우, 웨이퍼 상에 형성되는 SiO막의 웨이퍼간 막 두께 분포는, 웨이퍼 배열 영역의 중앙부에서 가장 두껍고, 웨이퍼 배열 영역의 하부나 상부에서 얇아지는 분포(활 형상 분포)로 되는 경우가 있다.
이와 같은 과제에 대하여, 도 7의 (b) 내지 도 7의 (e) 중 어느 하나에 도시하는 노즐(249a, 249b)을 사용하는 것은 매우 유효하다. 도 7의 (b) 내지 도 7의 (e) 중 어느 하나에 도시하는 노즐(249a, 249b)을 사용함으로써, 웨이퍼 배열 영역의 상부나 하부에 HCDS 가스를 공급(보충)하여, SiO막의 형성에 기여하는 SiCl2의 생성을 촉진시키는 것이 가능하게 된다. 이에 의해, 웨이퍼(200) 상에 형성되는 SiO막의 웨이퍼간 막 두께 균일성을 향상시켜, 상술한 활 형상 분포의 정도를 완화시키는 것이 가능하게 된다.
<다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였다. 단, 본 발명은 상술한 실시 형태에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 상술한 실시 형태에서는, 노즐 수용실(204a, 204b)을 상이한 크기, 형상, 용적으로 하는 예에 대해서 설명했지만, 이들을 동일한 크기, 형상, 용적으로 하도록 해도 된다. 노즐 수용실(204a, 204b)의 크기, 형상, 용적을 동일하게 함으로써, 노즐(249a, 249b)로부터 공급되는 HCDS 가스의 공급 환경, 공급 조건을 정렬시키는 것이 가능하게 되고, SiO막의 면내 막 두께 균일성을 보다 향상시키는 것이 가능하게 된다.
또한 예를 들어, 반응체로서, 암모니아(NH3) 가스 등의 질소(N) 함유 가스, 프로필렌(C3H6) 가스 등의 탄소(C) 함유 가스, 트리에틸아민((C2H5)3N, 약칭: TEA) 가스 등의 N 및 C를 포함하는 가스, 트리클로로보란(BCl3) 가스 등의 붕소(B) 함유 가스 등을 사용하여, 이하에 나타내는 성막 시퀀스에 의해, 기판 상에, 실리콘 산질화막(SiON막), 실리콘 질화막(SiN막), 실리콘 탄질화막(SiCN막), 실리콘 산탄질화막(SiOCN막), 실리콘 붕탄질화막(SiBCN막), 실리콘 붕질화막(SiBN막) 등을 형성하도록 해도 된다. 이들 경우에도, 상술한 실시 형태와 마찬가지의 효과가 얻어진다. 이들 반응체를 공급할 때의 처리 수순, 처리 조건은, 예를 들어 상술한 실시 형태에서 반응체를 공급할 때의 그것들과 마찬가지로 할 수 있다.
(HCDS→NH3→O2)×n ⇒ SiON
(HCDS→NH3)×n ⇒ SiN
(HCDS→TEA)×n ⇒ SiCN
(HCDS→C3H6→NH3)×n ⇒ SiCN
(HCDS→TEA→O2)×n ⇒ SiOCN
(HCDS→C3H6→NH3→O2)×n ⇒ SiOCN
(HCDS→C3H6→BCl3→NH3)×n ⇒ SiBCN
(HCDS→BCl3→NH3)×n ⇒ SiBN
또한 예를 들어, 원료로서, 티타늄테트라클로라이드(TiCl4) 가스나 트리메틸알루미늄(Al(CH3)3, 약칭: TMA) 가스 등을 사용하여, 이하에 나타내는 성막 시퀀스에 의해, 기판 상에, 티타늄 질화막(TiN막), 티타늄산 질화막(TiON막), 티타늄 알루미늄 탄질화막(TiAlCN막), 티타늄 알루미늄 탄화막(TiAlC막), 티타늄 탄질화막(TiCN막), 티타늄 산화막(TiO막) 등을 형성하도록 해도 된다. 이들 경우에도, 상술한 실시 형태와 마찬가지의 효과가 얻어진다. 이들 원료나 반응체를 공급할 때의 처리 수순, 처리 조건은, 상술한 실시 형태에서 원료나 반응체를 공급할 때의 그것들과 마찬가지로 할 수 있다.
(TiCl4→H2O)×n ⇒ TiO
(TiCl4→NH3)×n ⇒ TiN
(TiCl4→NH3→O2)×n ⇒ TiON
(TiCl4→C3H6→NH3)×n ⇒ TiCN
(TiCl4→TMA)×n ⇒ TiAlC
(TiCl4→TMA→NH3)×n ⇒ TiAlCN
기판 처리에 사용되는 레시피는, 처리 내용에 따라 개별로 준비하여, 전기 통신 회선이나 외부 기억 장치(123)를 통해서 기억 장치(121c) 내에 저장해 두는 것이 바람직하다. 그리고, 처리를 개시할 때, CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 레시피 중에서, 기판 처리의 내용에 따라, 적정한 레시피를 적절히 선택하는 것이 바람직하다. 이에 의해, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 막을, 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 부담을 저감할 수 있어, 조작 미스를 피하면서, 처리를 신속하게 개시할 수 있게 된다.
상술한 레시피는, 새롭게 작성하는 경우에 한하지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 변경함으로써 준비해도 된다. 레시피를 변경하는 경우에는, 변경 후의 레시피를, 전기 통신 회선이나 당해 레시피를 기록한 기록 매체를 통해서, 기판 처리 장치에 인스톨해도 된다. 또한, 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 직접 변경하도록 해도 된다.
상술한 실시 형태에서는, 한 번에 복수매의 기판을 처리하는 뱃치식의 기판 처리 장치를 사용해서 막을 형성하는 예에 대해서 설명하였다. 본 발명은 상술한 실시 형태에 한정되지 않고, 예를 들어 한 번에 1매 또는 수매의 기판을 처리하는 매엽식의 기판 처리 장치를 사용해서 막을 형성하는 경우에도, 적합하게 적용할 수 있다. 또한, 상술한 실시 형태에서는, 핫월형의 처리 로를 갖는 기판 처리 장치를 사용해서 막을 형성하는 예에 대해서 설명하였다. 본 발명은 상술한 실시 형태에 한정되지 않고, 콜드월형의 처리 로를 갖는 기판 처리 장치를 사용해서 막을 형성하는 경우에도, 적합하게 적용할 수 있다. 이들 기판 처리 장치를 사용하는 경우에도, 상술한 실시 형태나 변형예와 마찬가지의 시퀀스, 처리 조건에서 성막을 행할 수 있고, 상술한 실시 형태나 변형예와 마찬가지의 효과가 얻어진다.
또한, 상술한 각종 실시 형태는, 적절히 조합해서 사용할 수 있다. 이때의 처리 수순, 처리 조건은, 예를 들어 상술한 실시 형태의 처리 수순, 처리 조건과 마찬가지로 할 수 있다.

Claims (19)

  1. 기판에 대하여 주 원소를 포함하는 막을 형성하는 처리가 행하여지는 처리실과,
    상기 처리실 내의 기판에 대하여 상기 주 원소를 포함하는 원료를 공급하는 제1 노즐과,
    상기 제1 노즐과는 소정 거리 이격된 위치에 설치되고, 상기 처리실 내의 기판에 대하여 상기 제1 노즐로부터 공급되는 상기 원료와 동일한 원료를, 상기 제1 노즐과 동시에, 공급하는 제2 노즐과,
    상기 제1 노즐에 근접한 위치이며 상기 제2 노즐과는 소정 거리 이격된 위치에 배치되고, 상기 처리실 내의 기판에 대하여 반응체를 공급하는 제3 노즐과,
    상기 처리실 내의 분위기를 배기하는 복수의 제1 배기구,
    를 갖고,
    상기 복수의 제1 배기구 각각은, 평면으로 보아, 상기 제1 노즐의 제1 가스 분출 구멍 및 상기 제2 노즐의 제2 가스 분출 구멍과, 상기 기판의 중심을 사이에 두고 비대향으로 되는 위치에 설치되는, 기판 처리 장치.
  2. 제1항에 있어서,
    상기 복수의 제1 배기구는, 평면으로 보아,
    각각이 상기 제1 가스 분출 구멍과 상기 제2 가스 분출 구멍을 연결하는 선분의 수직 이등분선 상에 배치되는, 기판 처리 장치.
  3. 제1항에 있어서,
    상기 복수의 제1 배기구는, 평면으로 보아,
    각각이 상기 제1 가스 분출 구멍과 상기 제2 가스 분출 구멍을 연결하는 선분의 수직 이등분선을 기준으로 해서 선대칭으로 배치되는, 기판 처리 장치.
  4. 제1항에 있어서,
    상기 복수의 제1 배기구는, 평면으로 보아,
    적어도 하나가 상기 제1 가스 분출 구멍과 상기 제2 가스 분출 구멍을 연결하는 선분의 수직 이등분선 상에 배치되고, 상기 적어도 하나 이외가 상기 수직 이등분선을 기준으로 해서 선대칭으로 배치되는, 기판 처리 장치.
  5. 제1항에 있어서,
    외부 반응관과,
    상기 외부 반응관 내에 설치되어 상기 처리실을 형성하는 내부 반응관,
    을 더 갖고,
    상기 복수의 제1 배기구는, 상기 내부 반응관의 측면에 설치되는, 기판 처리 장치.
  6. 제5항에 있어서,
    상기 내부 반응관의 천장면에는, 상기 복수의 제1 배기구와는 상이한 제2 배기구가 설치되는, 기판 처리 장치.
  7. 제1항에 있어서,
    상기 제1 노즐의 상기 제1 가스 분출 구멍의 구성과, 상기 제2 노즐의 상기 제2 가스 분출 구멍의 구성이 동일한, 기판 처리 장치.
  8. 제1항에 있어서,
    상기 제1 노즐의 상기 제1 가스 분출 구멍의 구성과, 상기 제2 노즐의 상기 제2 가스 분출 구멍의 구성이 상이한, 기판 처리 장치.
  9. 제8항에 있어서,
    상기 제1 가스 분출 구멍은, 상기 제1 노즐의 상부 또는 하부에만 형성되고, 그 이외의 부분에는 형성되지 않거나, 또는, 상기 제2 가스 분출 구멍은, 상기 제2 노즐의 상부 또는 하부에만 형성되고, 그 이외의 부분에는 형성되지 않는, 기판 처리 장치.
  10. 제1항에 있어서,
    상기 원료를 공급하는 원료 공급계와,
    상기 반응체를 공급하는 반응체 공급계와,
    상기 처리실 내의 분위기를 상기 복수의 제1 배기구로부터 배기하는 배기계와,
    상기 제1 노즐과 상기 제2 노즐을 통해서 상기 처리실 내의 기판에 대하여 상기 원료를 공급하고, 상기 복수의 제1 배기구로부터 배기하는 제1 처리와, 상기 제3 노즐을 통해서 상기 처리실 내의 상기 기판에 대하여 상기 반응체를 공급하고, 상기 복수의 제1 배기구로부터 배기하는 제2 처리를 비동시에 행하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 상기 주 원소를 포함하는 막을 형성하는 처리를 행하게 하도록, 상기 원료 공급계, 상기 반응체 공급계 및 상기 배기계를 제어하도록 구성되는 제어부,
    를 더 갖는, 기판 처리 장치.
  11. 제10항에 있어서,
    상기 제어부는, 상기 제1 처리에 있어서, 상기 제1 노즐을 통한 상기 원료의 공급과, 상기 제2 노즐을 통한 상기 원료의 공급을 동시에 행하도록, 상기 원료 공급계를 제어하도록 구성되는, 기판 처리 장치.
  12. 제10항에 있어서,
    상기 제어부는, 상기 제1 처리에 있어서, 상기 제1 노즐을 통해서 공급한 상기 원료와, 상기 제2 노즐을 통해서 공급한 상기 원료를 상기 기판의 중앙부에서 충돌시키도록, 상기 원료 공급계 및 상기 배기계를 제어하도록 구성되는, 기판 처리 장치.
  13. 제1항에 있어서,
    상기 제1 노즐, 상기 제2 노즐 및 상기 제3 노즐 각각은, 상기 기판의 측방에 설치되는, 기판 처리 장치.
  14. 제5항에 있어서,
    상기 제1 노즐, 상기 제2 노즐 및 상기 제3 노즐 각각은, 상기 내부 반응관의 측벽에 설치된 노즐 수납실 내에 설치되는, 기판 처리 장치.
  15. 제14항에 있어서,
    상기 노즐 수납실은, 상기 내부 반응관의 측벽으로부터 외향으로 돌출되어 있는, 기판 처리 장치.
  16. 제14항에 있어서,
    상기 노즐 수납실은, 수직 방향을 따라서 연장되는 채널 형상으로 형성되어 있는, 기판 처리 장치.
  17. 기판 처리 장치의 처리실 내에 있어서,
    제1 노즐과, 상기 제1 노즐과는 소정 거리 이격된 위치에 설치된 제2 노즐을 통해서, 상기 처리실 내의 기판에 대하여 형성하고자 하는 막을 구성하는 주 원소를 포함하는 원료를 동시에 공급하고 - 상기 제1 노즐을 통해 공급되는 원료와 상기 제2 노즐을 통해 공급되는 원료는 동일함 -, 평면으로 보아, 상기 제1 노즐의 제1 가스 분출 구멍 및 상기 제2 노즐의 제2 가스 분출 구멍과, 상기 기판의 중심을 사이에 두고 비대향으로 되는 위치에 설치되는 복수의 배기구로부터 배기하는 공정과,
    상기 제1 노즐에 근접한 위치이며 상기 제2 노즐과는 소정 거리 이격된 위치에 배치된 제3 노즐을 통해서 상기 처리실 내의 상기 기판에 대하여 반응체를 공급하고, 상기 복수의 배기구로부터 배기하는 공정,
    을 비동시에 행하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 상기 주 원소를 포함하는 막을 형성하는 공정을 갖는, 반도체 장치의 제조 방법.
  18. 기판 처리 장치의 처리실 내에 있어서,
    제1 노즐과, 상기 제1 노즐과는 소정 거리 이격된 위치에 설치된 제2 노즐을 통해서, 상기 처리실 내의 기판에 대하여 형성하고자 하는 막을 구성하는 주 원소를 포함하는 원료를 동시에 공급하고 - 상기 제1 노즐을 통해 공급되는 원료와 상기 제2 노즐을 통해 공급되는 원료는 동일함 -, 평면으로 보아, 상기 제1 노즐의 제1 가스 분출 구멍 및 상기 제2 노즐의 제2 가스 분출 구멍과, 상기 기판의 중심을 사이에 두고 비대향으로 되는 위치에 설치되는 복수의 배기구로부터 배기하는 수순과,
    상기 제1 노즐에 근접한 위치이며 상기 제2 노즐과는 소정 거리 이격된 위치에 배치된 제3 노즐을 통해서 상기 처리실 내의 상기 기판에 대하여 반응체를 공급하고, 상기 복수의 배기구로부터 배기하는 수순,
    을 비동시에 행하는 사이클을 소정 횟수 행함으로써, 상기 기판 상에, 상기 주 원소를 포함하는 막을 형성하는 수순을 컴퓨터에 의해 상기 기판 처리 장치에 실행시키는, 컴퓨터 판독 가능한 기록 매체에 기록된 프로그램.
  19. 제10항 내지 제12항 중 어느 한 항에 있어서, 상기 제어부는, 상기 제2 처리에 있어서, 상기 제1 노즐로부터도 상기 반응체의 일부를 공급하도록 상기 반응체 공급계를 제어하도록 구성되는, 기판 처리 장치.
KR1020180030445A 2017-03-27 2018-03-15 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 KR102207020B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2017-060939 2017-03-27
JP2017060939A JP6703496B2 (ja) 2017-03-27 2017-03-27 基板処理装置、半導体装置の製造方法およびプログラム

Publications (2)

Publication Number Publication Date
KR20180109691A KR20180109691A (ko) 2018-10-08
KR102207020B1 true KR102207020B1 (ko) 2021-01-22

Family

ID=63581059

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180030445A KR102207020B1 (ko) 2017-03-27 2018-03-15 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Country Status (6)

Country Link
US (1) US11041240B2 (ko)
JP (1) JP6703496B2 (ko)
KR (1) KR102207020B1 (ko)
CN (1) CN108660438B (ko)
SG (1) SG10201802152SA (ko)
TW (1) TWI710026B (ko)

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7055075B2 (ja) * 2018-07-20 2022-04-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP7015923B2 (ja) * 2018-08-03 2022-02-03 株式会社Kokusai Electric 基板処理装置およびデバイス製造方法
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7055219B2 (ja) * 2018-10-31 2022-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) * 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140846A (zh) * 2020-04-17 2021-11-01 荷蘭商Asm Ip私人控股有限公司 注入器、及垂直熔爐
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7365973B2 (ja) * 2020-06-19 2023-10-20 東京エレクトロン株式会社 ガスノズル、基板処理装置及び基板処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7471972B2 (ja) 2020-09-16 2024-04-22 東京エレクトロン株式会社 処理装置及び処理方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7315607B2 (ja) * 2021-03-16 2023-07-26 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001110728A (ja) * 1999-10-06 2001-04-20 Sony Corp 基板処理装置及び基板処理方法
JP2007243201A (ja) * 2006-03-01 2007-09-20 Aviza Technology Inc 横断流ライナを備えた熱加工装置
JP2009004642A (ja) * 2007-06-22 2009-01-08 Hitachi Kokusai Electric Inc 基板処理装置
KR101189495B1 (ko) * 2009-09-30 2012-10-11 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2014236129A (ja) * 2013-06-03 2014-12-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050098107A1 (en) 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5222652B2 (ja) * 2008-07-30 2013-06-26 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2015185837A (ja) * 2014-03-26 2015-10-22 東京エレクトロン株式会社 成膜装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001110728A (ja) * 1999-10-06 2001-04-20 Sony Corp 基板処理装置及び基板処理方法
JP2007243201A (ja) * 2006-03-01 2007-09-20 Aviza Technology Inc 横断流ライナを備えた熱加工装置
JP2009004642A (ja) * 2007-06-22 2009-01-08 Hitachi Kokusai Electric Inc 基板処理装置
KR101189495B1 (ko) * 2009-09-30 2012-10-11 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2014236129A (ja) * 2013-06-03 2014-12-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム

Also Published As

Publication number Publication date
KR20180109691A (ko) 2018-10-08
US11041240B2 (en) 2021-06-22
CN108660438A (zh) 2018-10-16
CN108660438B (zh) 2021-08-24
US20180274098A1 (en) 2018-09-27
JP6703496B2 (ja) 2020-06-03
TWI710026B (zh) 2020-11-11
JP2018164014A (ja) 2018-10-18
SG10201802152SA (en) 2018-10-30
TW201838031A (zh) 2018-10-16

Similar Documents

Publication Publication Date Title
KR102207020B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP6756689B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
KR102142813B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR102540741B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 프로그램 및 기판 처리 장치
KR102309339B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US11753716B2 (en) Method of processing substrate, substrate processing apparatus, recording medium, and method of manufacturing semiconductor device
KR102630574B1 (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR102308807B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
US11387097B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2020205438A (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP2020077890A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant