CN101094732A - 以六氯乙硅烷进行的含硅膜的沉积 - Google Patents

以六氯乙硅烷进行的含硅膜的沉积 Download PDF

Info

Publication number
CN101094732A
CN101094732A CNA2004800283698A CN200480028369A CN101094732A CN 101094732 A CN101094732 A CN 101094732A CN A2004800283698 A CNA2004800283698 A CN A2004800283698A CN 200480028369 A CN200480028369 A CN 200480028369A CN 101094732 A CN101094732 A CN 101094732A
Authority
CN
China
Prior art keywords
gas
described substrate
substrate
exposed
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800283698A
Other languages
English (en)
Other versions
CN101094732B (zh
Inventor
安东尼·迪朴
吴昇昊
艾伦·约翰·利思
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101094732A publication Critical patent/CN101094732A/zh
Application granted granted Critical
Publication of CN101094732B publication Critical patent/CN101094732B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供了一种用于在处理系统中利用低压沉积工艺在衬底上沉积含硅膜的方法。含硅膜可以通过在处理系统的处理室中提供衬底,加热衬底,并将衬底暴露于六氯乙硅烷(HCD)处理气体来在衬底上形成。该方法可以在衬底的硅表面上选择性地沉积外延含硅膜,或者在衬底上非选择性地沉积含硅膜。本发明还提供了一种包括处理系统的处理工具,处理系统用于利用HCD处理气体在衬底上形成含硅膜。

Description

以六氯乙硅烷进行的含硅膜的沉积
技术领域
本发明涉及半导体处理,更具体而言,涉及利用六氯乙硅烷(HCD)处理气体在衬底上沉积含硅膜的工艺和处理工具。
背景技术
含硅膜广泛用于半导体工业中的多种应用。含硅膜包括诸如多晶硅(poly-Si)和外延硅、硅锗(SiGe)、碳化硅锗(SiGeC)、碳化硅(SiC)和氮化硅(SiN)之类的硅膜。随着电路的几何形状收缩到更小的特征尺寸,希望获得更低的沉积温度,这是例如由于半导体器件中新材料的引入,以及源极和漏极区域中浅注入的热预算的减少引起的。此外,很显然,在未来的器件中需要含硅膜的非选择性(均厚)和选择性沉积。例如,半导体制造对外延硅膜的厚度和电阻率有严格的规格限制。外延硅沉积可以是工艺流程中的第一步,其中块硅的晶格通过新的含硅层的生长被延伸,这种新的含硅层与块硅具有不同的掺杂水平。匹配目标外延膜的厚度和电阻率参数对于后续适当功能器件的制作是很重要的。
外延含硅膜的选择性沉积的用途的一个示例是用于具有凸起源极和漏极的绝缘体上硅(SOI)器件的制造。在SOI器件的制作期间,处理可能消耗源极和漏极区域中的整个硅膜,从而要求在这些区域中具有额外的硅,这可以通过含硅膜的选择性外延生长(SEG)来提供。含硅膜的选择性外延沉积可以减少所需要的光刻和刻蚀步骤的次数,从而减少了整个成本和器件制造所涉及的复杂性。尽管优选使用低压沉积工艺,使用传统的硅烷(SiH4)和二氯硅烷(DCS,SiCl2H2)源气体进行的外延硅的热沉积通常还要求高沉积温度(例如,超过约850-900℃)以实现足够高的沉积速率,以使该工艺能够结合到器件制造的工艺中。此外,传统的硅烷和二氯硅烷源气体工艺对于不同的衬底材料的沉积选择性有限。从而,本发明的发明人意识到,需要改进的用于在衬底上沉积含硅膜的方法,该方法允许选择性沉积并且能够在较低温度下沉积。
发明内容
本发明的目的是提供一种用于在处理系统的处理室中在半导体晶片上沉积含硅膜的方法和系统,这种方法和系统部分解决或解决了现有技术沉积系统和方法存在的上述和/或其他的问题。
本发明的另一个目的是提供一种用于在处理系统的处理室中在半导体晶片上实现外延含硅膜的低温选择性沉积的方法和系统。
本发明的另一个目的是提供一种用于在处理系统的处理室中在半导体晶片上实现含硅膜的低温非选择性沉积的方法和系统。
本发明的另一个目的是提供一种用于将含硅膜与半导体应用相集成的低成本机制。
本发明的这些和/或其他目的可由一种在衬底上沉积含硅膜的方法实现。该方法包括在处理系统的处理室中提供衬底,加热衬底,使六氯乙硅烷(HCD)处理气体流入处理室中,并在衬底上沉积含硅膜。
在本发明的另一个方面,提供了一种用于在衬底上沉积含硅膜的处理工具。该处理工具包含被配置用于在处理系统的处理室中提供衬底的转移系统,用于加热衬底的加热器,被配置用于将衬底暴露于HCD处理气体以在衬底上沉积含硅膜的气体注入系统以及被配置用于控制处理工具的控制器。
附图说明
在附图中:
图1A示出了根据本发明实施例用于在衬底上沉积含硅膜的批处理型处理系统的简化框图;
图1B示出了根据本发明实施例用于在衬底上沉积含硅膜的另一种批处理型处理系统的简化框图;
图2示出了根据本发明实施例的处理工具的简化框图;
图3示出了根据本发明实施例用于在衬底上沉积含硅膜的流程图;
图4示出了根据本发明实施例用于在硅衬底上选择性地沉积外延含硅膜的流程图;
图5A示意性地示出了根据本发明实施例的微结构;
图5B示意性地示出了根据本发明实施例具有选择性沉积的外延硅膜的微结构;
图6示出了根据本发明另一个实施例用于在衬底上非选择性地沉积硅膜的流程图;
图7A示意性地示出了根据本发明实施例的微结构;
图7B示意性地示出了根据本发明实施例具有非选择性沉积的硅的微结构;以及
图8示出了可用来实现本发明的通用计算机。
具体实施方式
如前在本发明的背景技术中所述,使用传统的硅源气体不会提供含硅膜的低温沉积或者膜生长的足够选择性。然而,对其他硅源气体的使用并没有进行大量研究,这可能是由于在半导体工业中难以实现新的源气体,以及在批处理型处理室中的不同晶片位置处提供均匀的工艺结果的问题。从而,本发明的发明人已经进行了实验来分析使用六氯乙硅烷(HCD,Si2Cl6)处理气体来在衬底上沉积含硅膜的情形。作为这些实验和分析的结果,本发明的发明人发现低压HCD处理气体提供了在处理系统中的衬底上低温沉积含硅膜的可行机制。
通常,在衬底上的低压硅沉积可能导致单晶(外延)硅膜、多晶硅膜或无定形硅膜的形成。在本发明的一个实施例中,在晶体硅衬底上的硅外延沉积可用来形成单晶硅膜,其中晶体硅衬底充当了单晶生长的“种子”。外延含硅层可以被设计成根据下层的Si晶片而具有不同的组分和电属性,并且设计来满足器件的特定需求。可通过向HCD处理气体添加少量的掺杂气体来掺杂外延含硅膜。掺杂气体的示例包括含磷气体(例如PH3)、含砷气体(例如AsH3)、含氮气体(例如NH3)和含硼气体(例如B2H6和BCl3)。向HCD处理气体添加前述掺杂气体中的任何一种还可以通过加速硅沉积来提高硅沉积的选择性,硅沉积的加速是由于在工艺过程中衬底上氢的存在。另外,向HCD处理气体添加诸如HF、F2和HCl之类的含卤素气体可以通过刻蚀并移去沉积在非硅表面上的硅原子来提高硅表面上硅沉积的选择性。
在本发明的实施例中,包含硅和锗(SiGe)的含硅膜可以利用包含HCD和含锗气体(例如,GeH4或GeCl4)的HCD处理气体以好的选择性进行沉积。SiGe膜可以包含低浓度的锗,例如低于约2个原子百分比的锗,或者SiGe膜可以包含高于2个原子百分比的锗,例如约50个原子百分比。
在本发明的另一个实施例中,HCD处理气体并不暴露于硅表面上,而是暴露于包含诸如氧化物、氮化物或金属之类的材料的其他表面,这时使用HCD处理气体沉积含硅膜可以形成具有精细硅晶粒的含多晶硅膜或者含无定形硅膜。含多晶硅膜中的晶粒大小可以取决于沉积条件以及热处理。
HCD是一种可以商业获得的高度反应性的硅化合物,并且是非常强的去氧剂。作为在处理系统中使用HCD处理气体来沉积含硅膜的实验和分析的结果,本发明的发明人发现使用HCD处理气体采用低压热分解工艺来在衬底上沉积含硅膜可以获得比在相同温度下在存在H2或HCl的情况下用DCS的传统分解所能实现的更高的沉积速率。利用HCD可以获得的较高沉积速率例如可以允许以较低的衬底温度执行制造上可用的沉积工艺,同时实现含硅膜的足够高的沉积速率。尽管前述实验是在批处理型处理系统中执行的,但是本发明并不限于这种处理系统,而是也可以在单晶片处理系统中实施,这是本领域技术人员所能意识到的。
具体而言,含硅膜可以利用HCD处理气体在处理系统中通过低压沉积工艺沉积在衬底上。在所述工艺中,在处理室中提供衬底,利用真空泵吸系统降低室压强,并且稳定室的温度和压强。随后,可以将处理室温度和处理室压强调整到期望值。当达到工艺温度时,衬底可以被处理一段时间,这段时间可以在衬底上形成期望的含硅膜。在工艺结束时,处理室可以被抽空并用惰性气体净化,并且从处理室中移出衬底。另外,在沉积含硅膜之前进行的预处理衬底的工艺可以包括将衬底在900℃的衬底温度下暴露于清洗气体(如H2气体)以从衬底移去污染物和氧化物层。
现在参考附图,图1A示出了根据本发明实施例用于在衬底上沉积含硅膜的批处理型处理系统的简化框图。批处理型处理系统100包括处理室102、气体注入系统104、加热器122、真空泵吸系统106、工艺监视系统108和控制器124。多个衬底110可以利用衬底夹持器112被加载到处理室102中并被处理。此外,处理室102包括外部部分114和内部部分116。在本发明的一个实施例中,内部部分116可以是处理管。
气体注入系统104可以将气体引入到处理室102中以用于净化处理室102,并且用于预处理、清洗并处理衬底110。气体注入系统104例如可以包括液体输送系统(LDS),LDS包含蒸发HCD液体的蒸发器。被蒸发的液体可以借助载气的帮助流入处理室102中。或者,气体注入系统可以包括起泡系统,在起泡系统中,载气通过包含HCD前驱体的贮存器进行起泡。多条气体供应管线可以被安排来使气体流入处理室102中。气体可以被引入到由内部部分116限定的容积118中,并暴露于衬底110。其后,气体可以流入由内部部分116和外部部分114限定的容积120中,并被真空泵吸系统106从处理室102中排出。
衬底110可以利用衬底夹持器112加载到处理室102中并被处理。批处理型处理系统100可以处理大量的紧密层叠的衬底110,从而导致高的衬底吞吐量。衬底批次大小例如可以约为100个衬底(晶片)或者更少。或者,批次大小可以约为25个衬底或者更少。处理室102例如可以处理任何尺寸的衬底,如200mm衬底、300mm衬底或者更大的衬底。衬底110例如可以包括半导体衬底(例如,硅或化合物半导体)、LCD衬底和玻璃衬底。除了洁净衬底外,还可以使用其上形成有多层膜的衬底,这些膜包括但不限于硅膜、金属膜、氧化物膜、氮化物膜和氮氧化物膜。
批处理型处理系统100可以由能够生成控制电压的控制器124控制,该控制电压足以传输并激活到批处理型处理系统100的输入,以及监视来自批处理型处理系统100的输出。此外,控制器124可以耦合到处理室102、气体注入系统104、加热器122、工艺监视系统108和真空泵吸系统106,并与其交换信息。例如,可以利用存储在控制器124的存储器中的程序来根据存储的工艺流程控制批处理型处理系统100的前述组件。控制器124的一个示例是可以从Texas,Dallas的Dell公司获得的DellPrecision Workstation 610TM
可以利用工艺监视系统108执行实时工艺监视。通常,工艺监视系统108是通用监视系统,并且例如可以包括质谱仪(MS)或傅立叶变换红外(FTIR)光谱仪。工艺监视系统108可以提供工艺环境中气相化学物质的定性分析和定量分析。可以监视的工艺参数包括气体流量、气体压强、气相物质之间的比和气体纯度。这些参数可以与现有的工艺结果和沉积的含硅膜的各种物理属性相关。
图1B示出了根据本发明实施例用于在衬底上沉积含硅膜的另一种批处理型处理系统的简化框图。批处理型处理系统1包含处理室10和处理管25,处理管25的上端连接到排气管道80,下端密封地接合到圆柱集流管2的盖27。排气管道80将气体从处理管25中排出到真空泵吸系统88以在处理系统1中维持预定的大气压或低于大气压的压强。用于以层叠方式(以一定的垂直间隔位于各个水平平面中)夹持多个衬底(晶片)40的衬底夹持器35被放置在处理管25中。衬底夹持器35位于转盘26上,转盘26安装在穿过盖27并且由马达28驱动的旋转轴21上。转盘26可以在处理期间旋转以提高整个膜的均匀性,或者,转盘可以在处理期间固定。盖27安装在用于将衬底夹持器35移入和移出反应管25的升降台22上。当盖27位于其最上端的位置时,盖27适合于关闭集流管2的开口端。
多条气体供应管线可以围绕集流管2布置以经由气体供应管线向处理管25供应多种气体。在图1B中,只示出了多条气体供应管线中的一条气体供应管线45。气体供应管线45连接到气体注入系统94。布置有圆筒形热反射器30以覆盖反应管25。热反射器30有镜面抛光的内表面以抑制由主加热器20、底部加热器65、顶部加热器15和排气管道加热器70辐射的辐射热量的散发。在处理室10的壁内形成有螺旋状冷却水通路(未示出)作为冷却介质通路。
真空泵吸系统88包括真空泵86、汽水阀84和自动压强控制器(APC)82。真空泵86例如可以包括泵吸速度能够高至20,000公升每秒(以及更大)的干燥真空泵。在处理期间,气体可以经由气体注入系统94引入到处理室10中,并且工艺压强可以由APC 82调整。汽水阀84可以收集来自处理室10的未反应的前驱体材料和副产物。
工艺监视系统92包括能够进行实时工艺监视的传感器75,并且例如可以包括MS或FTIR光谱仪。控制器90包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到处理系统1的输入,以及监视来自处理系统1的输出。此外,控制器90耦合到气体注入系统94、马达28、工艺监视系统92、加热器20、15、65和70以及真空泵吸系统88,并可以与其交换信息。与图1A的控制器124一样,控制器90可以实现为Dell Precision Workstation 610TM
图2示出了根据本发明实施例的处理工具的简化框图。处理工具200包括处理系统220和230、被配置用于将衬底在处理工具200内进行转移的(机械)转移系统210以及被配置用于控制处理工具200的控制器240。在本发明的另一个实施例中,处理工具200可以包括单个处理系统,或者可以包括多于两个处理系统。在图2中,处理系统220和230例如可以执行以下工艺中的至少一种:(a)预处理衬底,(b)在衬底上沉积含硅膜,和(c)确定衬底和沉积在衬底上的含硅膜中的至少一种的属性。在(a)中,预处理例如可以被执行以从衬底表面移去污染物和/或薄氧化膜(例如,天然的氧化膜或者化学合成的氧化膜)。硅表面上污染物或氧化膜的存在可以阻止适当的硅种子(晶核)层的形成,从而影响外延硅沉积。在一个示例中,预处理可以包括将衬底在约500℃和约1000℃之间的衬底温度(例如900℃)下暴露于H2气体中。在(c)中,膜属性例如可以包括含硅膜的厚度和掺杂水平。在本发明的一个实施例中,工艺(a)-(c)中的每一种可以在不同的处理系统中执行。在本发明的另一个实施例中,工艺(a)-(c)中的至少两种在同一处理系统中执行。在本发明的一个实施例中,处理系统中的至少一种可以包括批处理型处理系统或单晶片处理系统。在本发明的另一个实施例中,处理系统中的至少一种可以包括热处理系统、等离子体处理系统或原子层沉积系统。
与图1A和1B中的控制器一样,控制器240可以实现为Dell PrecisionWorkstation 610TM。此外,图1A-1B和2中的任何一个控制器可以实现为例如结合图8描述的通用计算机系统。
图3示出了根据本发明实施例用于在衬底上沉积含硅膜的流程图。在300中,工艺开始。在302中,在处理系统的处理室中提供衬底。处理系统可以是在图1A或1B中描述的批处理型处理系统,或者可以是例如在图2中描述的处理工具的一部分。在304中,加热衬底,并且在306中,将衬底暴露于HCD处理气体。在本发明的一个实施例中,HCD处理气体可以包含HCD气体和(可选的)惰性气体,并且含硅膜可以是硅膜。惰性气体例如可以选自He、Ne、Ar、Kr、Xe和N2或者不与衬底或室环境发生化学反应的任何其他气体。惰性气体可用作液体形式的HCD的载气,或者用来稀释HCD气体以减少在室环境中化学反应的发生,而不减少衬底表面上化学反应的发生。在本发明的另一个实施例中,HCD处理气体可以包含HCD气体和(可选的)惰性气体以及含氢气体和第二含硅气体中的至少一种。含氢气体例如可以包含H2。我们观察到向HCD气体中添加H2增大了硅沉积速率。第二含硅气体例如可以选自SiH4、SiCl4、Si2H6和SiCl2H2。在本发明的另一个实施例中,HCD处理气体可以包含HCD气体和掺杂气体,掺杂气体例如可以选自含磷气体(例如PH3)、含砷气体(例如AsH3)、含氮气体(例如NH3)和含硼气体(例如B2H6和BCl3)。在本发明的另一个实施例中,HCD处理气体可以包含含卤素气体,含卤素气体例如可以选自HF、F2、Cl2和HCl。在本发明的另一个实施例中,HCD处理气体可以包含HCD气体和含锗气体以沉积SiGe膜,含锗气体例如可以选自GeH4和GeCl4
在本发明的一个实施例中,在图3的流程图中示出的沉积工艺还可以包括在沉积含硅膜之前预处理衬底。预处理工艺例如可以从衬底材料(例如硅)中基本移去氧化物层(例如,天然氧化物或热氧化物)和其他界面污染物,这些氧化物和界面污染物可以阻止适当的硅种子(晶核)层的形成,阻碍在沉积表面上含硅膜的沉积,并减小硅沉积的选择性。在一个示例中,预处理可以包括将硅衬底在900℃的衬底温度下暴露于H2气体。
用于沉积含硅膜的处理条件可以包括小于约100Torr的处理室压强。或者,工艺压强可以小于约1Torr,例如约0.4Torr。工艺条件还可以包括约500℃和约900℃之间的衬底温度,优选地为约800℃。在本发明的一个实施例中,衬底温度可以约为800℃,并且处理室压强可以约为0.4Torr。在308中,通过HCD处理气体的分解在衬底上沉积含硅膜。
在本发明的一个实施例中,发明人发现了一种在批处理型处理系统的处理室中利用HCD处理气体在衬底上选择性地沉积外延含硅膜的方法。在含硅表面区域上观察到含硅膜的选择性外延沉积,而在例如含氧化物(例如,氧化物掩模)或氮化物(例如SiN层)的其他表面区域上几乎没有观察到或者观察到很少的硅沉积。发明人猜测与使用传统DCS处理气体相比,在使用HCD处理气体时观察到的较高硅沉积速率导致了含硅膜的外延沉积更具选择性。通常,在含硅膜沉积的成核时间(孵化时间)对于一种衬底材料相比于另一种衬底材料足够不同时,可以实现在不同衬底材料上沉积的沉积选择性。实际上,如果含硅膜的沉积速率足够高,并且对于不同材料成核时间差足够大,则在具有较长成核时间的材料(例如,氧化物或氮化物)上的沉积开始之前,含硅膜可以生长在具有较短成核时间的材料(例如硅)上。结果,使用HCD处理气体,可以在衬底上的其他区域的沉积开始之前,在洁净硅衬底上生长较厚的外延含硅膜。
图4示出了根据本发明实施例用于在衬底上选择性地沉积外延含硅膜的流程图。在400中,工艺开始。在402中,在处理系统的处理室中提供衬底。或者,处理系统可以是单晶片处理系统。在404中,加热衬底,并且在406中,将处理室中的衬底暴露于HCD处理气体中。在408中,将衬底暴露于HCD处理气体的操作导致在硅表面上外延含硅膜的选择性沉积。当已经选择性沉积了具有期望膜厚的外延含硅膜时,工艺在410结束。
图5A示出了根据本发明实施例的微结构。微结构500是用在器件制造中的示例性结构,并且包含硅衬底510和上覆光刻图案化的氧化物掩模520,掩模520上有暴露硅表面540的开口530。
图5B示意性地示出了根据本发明实施例具有选择性沉积的硅膜的微结构。硅膜550以约7埃每分钟的沉积速率外延形成在微结构500的暴露硅表面540上,而在图案化的掩模520上却没有观察到沉积。沉积工艺按图4的流程图执行。沉积工艺在批处理型处理系统的处理室中使用HCD气体在800℃的衬底温度和0.4Torr的处理室压强下来执行。微结构500在Si沉积之前被用H2在900℃下预处理。在暴露硅区域上外延硅膜550的选择性沉积允许在后续工艺中利用本领域技术人员已知的方法移去氧化物掩模,以在硅衬底410上形成凸起的外延硅膜550。通常,图案化的光掩模520可以包括氧化物掩模(例如SiO2)和氮化物掩模(例如Si3N4)中的至少一种。
图6示出了根据本发明另一个实施例用于在衬底上非选择性地沉积硅膜的流程图。在600中,工艺开始。在602中,在处理系统的处理室中提供衬底。在604中,加热衬底,并且在606中,将处理室中的衬底暴露于HCD处理气体。用于图6所示的硅层的非选择性沉积的处理条件可以包括700℃的衬底温度,而与此相比,用于选择性沉积外延硅层的衬底温度是800℃。在608中,将衬底暴露于HCD处理气体导致含硅膜的非选择性沉积。当已经沉积了具有期望膜厚的硅膜时,工艺在610结束。
图7A示出了根据本发明实施例的微结构。微结构700是用在器件制造中的示例性结构,并且包含硅衬底710和上覆光刻图案化的氧化物掩模720,掩模720上有暴露硅表面740的开口730。
图7B示意性地示出了根据本发明实施例具有非选择性沉积的硅膜的微结构。硅膜750非选择性地沉积(均厚沉积)在氧化物掩模720和硅表面740上。无论衬底材料的类型如何,硅膜都以基本均匀的厚度沉积在整个衬底上。沉积工艺按图6的流程图执行。在批处理型处理系统的处理室中使用HCD气体在700℃的衬底温度和0.4Torr的处理室压强下执行该沉积工艺。
能够形成具有期望膜属性的含硅膜的合适工艺条件可以由直接实验和/或实验设计(DOE)确定。可调整的工艺参数例如可以包括衬底温度、工艺压强、处理气体类型和相对气体流量。如上所述,HCD处理气体例如可以包含HCD气体和(可选的)惰性气体以及含氢气体和第二含硅气体中的至少一种。HCD气体流率例如可以在约5sccm和约1000sccm之间,惰性气体流率例如可以在约5sccm和约20000sccm之间,含氢气体流率例如可以在约5sccm和约5000sccm之间,第二含硅气体流率例如可以在约10sccm和约1000sccm之间。
图8图示了可以在其上实现本发明的实施例的计算机系统1201。计算机系统1201可用作图1A、1B或2的控制器,或者可用于这些附图的系统以执行上述功能的任何一种或全部的类似控制器。计算机系统1201包括用于传输信息的总线1202或其他通信机构,以及与总线1202相耦合以处理信息的处理器1203。计算机系统1201还包括主存储器1204,如随机存取存储器(RAM)或其他动态存储设备(例如,动态RAM(DRAM)、静态RAM(SRAM)和同步DRAM(SDRAM)),主存储器1204耦合到总线1202,用于存储信息和要由处理器1203执行的指令。另外,主存储器1204可用于存储在处理器1203执行指令期间的临时变量或其他中间信息。计算机系统1201还包括只读存储器(ROM)1205或其他静态存储设备(例如,可编程ROM(PROM)、可擦写PROM(EPROM)和电可擦写PROM(EEPROM)),这些设备耦合到总线1202,用于存储静态信息和处理器1203的指令。
计算机系统1201还包括盘控制器1206,盘控制器1206耦合到总线1202,用于控制存储信息和指令的一个或多个存储设备,如磁硬盘1207和可移动介质驱动器1208(例如,软盘驱动器、只读光盘驱动器、读/写光盘驱动器、光盘唱机、磁带驱动器和可移动磁光驱动器)。存储设备可以利用适当的设备接口(例如,小型计算机系统接口(SCSI)、集成设备电子器件(IDE)、增强IDE(E-IDE)、直接存储器访问(DMA)或ultra-DMA)添加到计算机系统1201。
计算机系统1201还可以包括专用逻辑器件(例如,专用集成电路(ASIC))或可配置逻辑器件(例如,简单可编程逻辑器件(SPLD)、复杂可编程逻辑器件(CPLD)和现场可编程门阵列(FPGA))。计算机系统还可以包括一个或多个数字信号处理器(DSP),如来自TexasInstruments的TMS320系列芯片、来自Motorola的DSP56000、DSP56100、DSP56300、DSP56600和DSP69000系列芯片、来自LucentTechnologies的DSP1600和DSP3200系列或者来自Analog Devices的ADSP2100和ADSP21000系列。也可以使用被特别设计为处理已经转换到数字域的模拟信号的其他处理器。
计算机系统1201还可以包括耦合到总线1202用来控制用于向计算机用户显示信息的显示器1210(如阴极射线管(CRT))的显示控制器1209。计算机系统包括诸如键盘1211和点选设备1212之类的输入设备,输入设备用于与计算机用户交互并向处理器1203提供信息。点击设备1212例如可以是鼠标、跟踪球或点选棍,其用于向处理器1203传输方向信息和命令选择,并控制显示器1210上光标的移动。另外,打印机可以提供由计算机系统1201存储和/或生成的数据的打印列表。
计算机系统1201响应于处理器1203执行包含在存储器(如主存储器1204)中的一条或多条指令的一个或多个序列而执行本发明的处理步骤的一部分或全部。这些指令可以从另一种计算机可读介质(如硬盘1207或可移动介质驱动器1208)读取到主存储器1204中。也可以采用多处理布置中的一个或多个处理器来执行包含在主存储器1204中的指令序列。在替换实施例中,可以使用硬线电路来替代软件指令或与软件指令相组合。从而,实施例并不限于硬件电路和软件的任何特定组合。
如上所述,计算机系统1201包括至少一种计算机可读介质或存储器,其用于保存根据本发明的教导编程的指令并且容纳数据结构、表、记录或者上述的其他数据。计算机可读介质的示例是光盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存EPROM)、DRAM、SRAM、SDRAM或者任何其他磁介质、光盘(例如CD-ROM)、或者任何其他光介质、穿孔卡片、纸带、或者具有孔图案的其他物理介质、载波(将在下面描述),或者计算机可以读取的任何其他介质。
本发明包括存储在计算机可读介质中的任何一种或其组合上的软件,该软件用于控制计算机系统1201,驱动用于实现本发明的一个或多个设备,并使计算机系统1201能够与人类用户交互(例如,打印产品个性)。这种软件可以包括但不限于设备驱动器、操作系统、开发工具和应用软件。这种计算机可读介质还包括用于执行在实现本发明中执行的处理的全部或一部分(如果处理是分布式的话)的本发明的计算机程序产品。
本发明的计算机代码设备可以是任何可解释的或可执行的代码机构,包括但不限于脚本、可解释程序、动态链接库(DLL)、Java类和完全可执行程序。此外,本发明的一部分处理可以是分布式的以实现更好的性能、可靠性和/或成本。
这里所用的术语“计算机可读介质”指参与向处理器1203提供指令以供执行的任何介质。计算机可读介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质例如包括光盘、磁盘和磁光盘,如硬盘1207或可移动介质驱动器1208。易失性介质包括动态存储器,如主存储器1204。传输介质包括同轴电缆、铜线和光纤,包括构成总线1202的线路。传输介质还可以采取声波或光波的形式,如在无线电波和红外数据通信期间生成的声波或光波。
计算机可读介质的各种形式可用于承载提供给处理器1203以供执行的一条或多条指令的一个或多个序列。例如,指令可以首先承载在远程计算机的磁盘上。远程计算机可以将用于实现本发明的全部或一部分的指令远程加载到动态存储器中,并利用调制解调器经由电话线发送指令。计算机系统1201本地的调制解调器可以接收电话线上的数据,并使用红外发送器将数据转换为红外信号。耦合到总线1202的红外检测器可以接收在红外信号中承载的数据,并将数据放置到总线1202上。总线1202将数据传输到主存储器1204,处理器1203从主存储器1204取得指令并执行指令。主存储器1204接收的指令可以可选地在处理器1203执行之前或之后存储在存储设备1207或1208上。
计算机系统1201还包括耦合到总线1202的通信接口1213。通信接口1213提供了耦合到网络链路1214的双向数据通信,网络链路1214例如连接到局域网(LAN)1215,或者连接到诸如因特网之类的另一个通信网络1216。例如,通信接口1213可以是附接到任何分组交换LAN的网络接口卡。作为另一个示例,通信接口1213可以是非对称数字用户线(ADSL)卡、集成业务数字网络(ISDN)卡或调制解调器,以提供到相应类型的通信线路的数据通信连接。也可以实现无线链路。在任何这种实现方式中,通信接口1213发送并接收电的、电磁的或光信号,这些信号承载了代表各种类型信息的数字数据流。
网络链路1214一般经由一个或多个网络提供到其他数据设备的数据通信。例如,网络链路1214可以经由本地网络1215(例如LAN)或由服务供应商操作的设备提供到另一个计算机的连接。该服务供应商经由通信网络1216提供通信服务。本地网络1215和通信网络1216例如使用承载数字数据流的电的、电磁的或光信号和关联的物理层(例如,CAT5电缆、同轴电缆、光纤等)。经过各种网络的信号和在网络链路1214上并经过通信接口1213的信号(这些信号承载去往和来自计算机系统1201的数字数据)可以实现为基带信号或基于载波的信号。基带信号将数字数据表达为描述数字数据位流的未调制的电脉冲,其中术语“位”应当广义理解为符号,每个符号表达至少一个或多个信息位。数字数据也可用来调制载波,例如以幅移键控、相移键控和/或频移键控信号来调制,这些信号经由导体媒介传播,或者经由传播媒介发送为电磁波。从而,数字数据可以经由“有线”通信信道发送为未调制的基带数据,以及/或者通过调制载波在不同于基带的预定频带内发送。计算机系统1201可以经由网络1215和1216、网络链路1214和通信接口1213发送和接收包括程序代码在内的数据。此外,网络链路1214可以经由LAN 1215提供到移动设备1217的连接,移动设备1217例如是个人数字助理(PDA)、膝上型计算机或手机。
尽管以上详细只是描述了本发明的某些实施例,但是本领域技术人员很容易意识到,在实质上不脱离本发明的新颖教导和优点的前提下可以对示例性实施例进行许多修改。因此,所有这些修改都应当包括在本发明的范围内。

Claims (46)

1.一种在衬底上沉积含硅膜的方法,所述方法包括:
在处理系统的处理室中提供衬底;
加热所述衬底;
将所述衬底暴露于HCD处理气体;以及
在所述衬底上沉积含硅膜。
2.如权利要求1所述的方法,其中所述暴露操作包括将所述衬底暴露于惰性气体。
3.如权利要求2所述的方法,其中所述暴露操作还包括使流率在约5sccm和约1000sccm之间的HCD气体和流率在约5sccm和约20000sccm之间的惰性气体流入。
4.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于含氢气体。
5.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于H2
6.如权利要求1所述的方法,其中所述流入操作还包括使流率在约5sccm和约5000sccm之间的含氢气体流入。
7.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于第二含硅气体。
8.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于SiH4、SiCl4、Si2H6和SiH2Cl2中的至少一种。
9.如权利要求3所述的方法,其中所述流入操作还包括使流率在约5sccm和约1000sccm之间的第二含硅气体流入。
10.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于含氢气体和第二含硅气体。
11.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于SiH4、SiCl4、Si2H6和SiH2Cl2中的至少一种以及H2
12.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于含磷气体、含硼气体和含氮气体中的至少一种以及HCD气体。
13.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于PH3、B2H6、BCl3和NH3中的至少一种以及HCD气体。
14.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于含卤素气体。
15.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于HF、F2、Cl2和HCl中的至少一种。
16.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于含锗气体。
17.如权利要求16所述的方法,其中所述暴露操作还包括将所述衬底暴露于含氢气体、掺杂气体和含卤素气体中的至少一种。
18.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于GeH4和GeCl4中的至少一种。
19.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于含氢气体和含锗气体。
20.如权利要求1所述的方法,其中所述暴露操作还包括将所述衬底暴露于H2和GeH4
21.如权利要求1所述的方法,其中所述沉积操作包括在硅衬底上选择性地沉积外延含硅膜。
22.如权利要求1所述的方法,其中所述沉积操作包括在硅衬底上非选择性地沉积含多晶硅膜或含无定形硅膜。
23.如权利要求1所述的方法,其中
所述暴露操作包括将所述衬底暴露于包括HCD气体和含锗气体在内的HCD处理气体;以及
所述沉积操作包括在所述衬底上沉积含SiGe膜。
24.如权利要求23所述的方法,其中所述沉积操作包括在硅表面上选择性沉积含SiGe膜。
25.如权利要求23所述的方法,其中所述沉积操作包括沉积锗含量低于约两个原子百分比的含SiGe膜。
26.如权利要求23所述的方法,其中所述沉积操作包括沉积锗含量高于约两个原子百分比的含SiGe膜。
27.如权利要求1所述的方法,其中所述加热操作包括将所述衬底加热到约500℃到约900℃之间。
28.如权利要求1所述的方法,其中所述加热操作包括将所述衬底加热到约700℃到约900℃之间。
29.如权利要求1所述的方法,其中所述加热操作包括将所述衬底加热到约800℃的温度,并且所述沉积操作包括在所述衬底的硅表面上选择性地沉积外延含硅膜。
30.如权利要求1所述的方法,其中所述加热操作包括将所述衬底加热到约700℃的温度,并且所述沉积操作包括在所述衬底上非选择性地沉积含硅膜。
31.如权利要求1所述的方法,还包括提供小于约100Torr的处理室压强。
32.如权利要求1所述的方法,还包括提供小于约10Torr的处理室压强。
33.如权利要求1所述的方法,还包括提供约0.4Torr的处理室压强。
34.如权利要求1所述的方法,还包括:
在将所述衬底暴露于HCD处理气体之前预处理所述衬底。
35.如权利要求34所述的方法,其中所述预处理操作包括将所述衬底在约500℃到约1000℃之间的衬底温度下暴露于H2气体。
36.如权利要求34所述的方法,其中所述预处理操作包括将所述衬底在约900℃的衬底温度处暴露于H2气体。
37.一种包含用于在处理器上执行的程序指令的计算机可读介质,所述程序指令当被所述处理器执行时,使得处理装置执行如权利要求1所述的方法的步骤。
38.一种用于处理衬底的系统,包括:
用于在处理系统的处理室中提供衬底的装置;
用于加热所述衬底的装置;
用于将所述衬底暴露于HCD处理气体以在所述衬底上沉积含硅膜的装置。
39.一种用于在衬底上沉积含硅膜的处理工具,包括:
处理系统;
被配置用于在所述处理系统的处理室中提供所述衬底的转移系统;
用于加热所述衬底的加热器;
被配置用于将所述处理系统中的所述衬底暴露于HCD处理气体的气体注入系统;以及
被配置用于控制所述处理工具的控制器。
40.如权利要求39所述的处理工具,其中所述处理系统包括批处理型处理系统或单晶片处理系统。
41.如权利要求39所述的处理工具,其中所述处理系统包括包含处理管的批处理型处理系统。
42.如权利要求39所述的处理工具,其中所述处理系统包括热处理系统、等离子体处理系统、或者原子层沉积系统。
43.如权利要求39所述的处理工具,还包括被配置用于预处理所述衬底的处理系统。
44.如权利要求39所述的处理工具,还包括工艺监视系统。
45.如权利要求39所述的处理工具,其中所述气体注入系统被配置用于将所述衬底暴露于HCD处理气体,所述HCD处理气体包括含氢气体、含硅气体和含锗气体中的至少一种,HCD和惰性气体。
46.如权利要求40所述的处理工具,其中所述气体注入系统被配置用于将所述衬底暴露于HCD处理气体,所述HCD处理气体包括掺杂气体和含卤素气体中的至少一种,HCD和惰性气体。
CN2004800283698A 2003-09-30 2004-09-20 以六氯乙硅烷进行的含硅膜的沉积 Expired - Fee Related CN101094732B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/673,375 US7468311B2 (en) 2003-09-30 2003-09-30 Deposition of silicon-containing films from hexachlorodisilane
US10/673,375 2003-09-30
PCT/US2004/026744 WO2005036593A2 (en) 2003-09-30 2004-09-20 Deposition of silicon-containing films from hexachlorodisilane

Publications (2)

Publication Number Publication Date
CN101094732A true CN101094732A (zh) 2007-12-26
CN101094732B CN101094732B (zh) 2011-05-04

Family

ID=34376595

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800283698A Expired - Fee Related CN101094732B (zh) 2003-09-30 2004-09-20 以六氯乙硅烷进行的含硅膜的沉积

Country Status (7)

Country Link
US (1) US7468311B2 (zh)
EP (1) EP1668668A2 (zh)
JP (2) JP2007507885A (zh)
KR (1) KR101127332B1 (zh)
CN (1) CN101094732B (zh)
TW (1) TWI300238B (zh)
WO (1) WO2005036593A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102034702A (zh) * 2009-09-30 2011-04-27 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN102414341A (zh) * 2009-05-04 2012-04-11 波音公司 涂层方法
CN102456731A (zh) * 2010-10-18 2012-05-16 联华电子股份有限公司 半导体结构及其制造方法
CN102534561A (zh) * 2011-12-23 2012-07-04 嘉兴科民电子设备技术有限公司 一种工业原子层沉积腔室结构
CN103547704A (zh) * 2011-04-04 2014-01-29 奥克美蒂克公共有限公司 用于在衬底上沉积一个或者多个多晶硅层的方法
CN104781455A (zh) * 2012-09-24 2015-07-15 原子能与替代能源委员会 用于形成硅外延层的方法
CN105047526A (zh) * 2014-04-21 2015-11-11 应用材料公司 沉积中卤素分子用作反应剂增强外延膜中掺杂剂结合的方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6987055B2 (en) * 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US20060021571A1 (en) * 2004-07-28 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Vacuum pump line with nickel-chromium heater layer
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7435665B2 (en) * 2004-10-06 2008-10-14 Okmetic Oyj CVD doped structures
US7384849B2 (en) * 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
JP4832022B2 (ja) * 2005-07-29 2011-12-07 株式会社日立国際電気 基板処理装置
WO2007013464A1 (ja) * 2005-07-29 2007-02-01 Hitachi Kokusai Electric Inc. 半導体装置の製造方法
US7358194B2 (en) 2005-08-18 2008-04-15 Tokyo Electron Limited Sequential deposition process for forming Si-containing films
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
FR2900277B1 (fr) * 2006-04-19 2008-07-11 St Microelectronics Sa Procede de formation d'une portion monocristalline a base de silicium
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) * 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
KR101281863B1 (ko) * 2006-12-08 2013-07-08 도쿄엘렉트론가부시키가이샤 증착 시스템
US20080160786A1 (en) * 2006-12-27 2008-07-03 United Microelectronics Corp. Method for increasing film stress and method for forming high stress layer
DE102007010563A1 (de) * 2007-02-22 2008-08-28 IHP GmbH - Innovations for High Performance Microelectronics/Institut für innovative Mikroelektronik Selektives Wachstum von polykristallinem siliziumhaltigen Halbleitermaterial auf siliziumhaltiger Halbleiteroberfläche
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7816224B2 (en) * 2008-03-05 2010-10-19 International Business Machines Corporation Method for fabricating an ultra thin silicon on insulator
JP4635062B2 (ja) 2008-03-11 2011-02-16 株式会社東芝 半導体装置の製造方法
JP5023004B2 (ja) * 2008-06-30 2012-09-12 株式会社日立国際電気 基板処理方法及び基板処理装置
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
DE102009002129A1 (de) 2009-04-02 2010-10-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Hartstoffbeschichtete Körper und Verfahren zur Herstellung hartstoffbeschichteter Körper
KR101725765B1 (ko) * 2009-09-18 2017-04-12 주성엔지니어링(주) 산화막 증착 방법 및 이를 이용한 비아 콘택 형성 방법
JP2012019095A (ja) * 2010-07-08 2012-01-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
KR101789592B1 (ko) 2010-11-08 2017-10-25 삼성전자주식회사 반도체 소자의 제조 방법
JP5847566B2 (ja) 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
DE102012108250A1 (de) 2012-09-05 2014-03-06 Spawnt Private S.À.R.L. Verfahren zur Abscheidung von Siliciumschichten
JP2014093345A (ja) * 2012-11-01 2014-05-19 Japan Advanced Institute Of Science & Technology Hokuriku 複数の基板上へシリコン膜を一括して形成する方法
TWI541864B (zh) * 2012-12-06 2016-07-11 世創電子材料公司 磊晶晶圓及其製造方法
JP6349234B2 (ja) * 2014-02-19 2018-06-27 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
KR20210035449A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62229930A (ja) 1986-03-31 1987-10-08 Toshiba Corp エピタキシヤル成長法
JPH0717796A (ja) * 1993-06-30 1995-01-20 Mitsubishi Materials Corp 単結晶シリコン膜の製造方法
US5766342A (en) * 1994-10-19 1998-06-16 Matsushita Electric Industrial Co., Ltd. Method for forming silicon film and silicon film forming apparatus
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100295062B1 (ko) * 1999-08-17 2001-07-12 윤종용 게이트 산화막의 손상을 회복시키는 반도체장치의 게이트 제조방법
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
JP2002025972A (ja) * 2000-07-04 2002-01-25 Asahi Kasei Microsystems Kk 半導体装置の製造方法
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2002343961A (ja) * 2001-05-15 2002-11-29 Sony Corp 半導体装置の製造方法
KR100455724B1 (ko) * 2001-10-08 2004-11-12 주식회사 하이닉스반도체 반도체소자의 플러그 형성방법
JP3952735B2 (ja) * 2001-10-25 2007-08-01 ソニー株式会社 半導体装置の製造方法
JP2003179066A (ja) * 2001-12-12 2003-06-27 Sony Corp 半導体装置とその製造方法
JP2003197939A (ja) * 2001-12-25 2003-07-11 Mitsubishi Heavy Ind Ltd 太陽電池用シリコン膜、シリコン太陽電池及びその製造方法
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6884464B2 (en) * 2002-11-04 2005-04-26 Applied Materials, Inc. Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102414341A (zh) * 2009-05-04 2012-04-11 波音公司 涂层方法
CN102034702A (zh) * 2009-09-30 2011-04-27 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN102915910A (zh) * 2009-09-30 2013-02-06 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
US8415258B2 (en) 2009-09-30 2013-04-09 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
CN102034702B (zh) * 2009-09-30 2013-11-27 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN102915910B (zh) * 2009-09-30 2015-07-22 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
CN102456731A (zh) * 2010-10-18 2012-05-16 联华电子股份有限公司 半导体结构及其制造方法
CN103547704A (zh) * 2011-04-04 2014-01-29 奥克美蒂克公共有限公司 用于在衬底上沉积一个或者多个多晶硅层的方法
CN103547704B (zh) * 2011-04-04 2016-03-30 奥克美蒂克公共有限公司 用于在衬底上沉积一个或者多个多晶硅层的方法
CN102534561A (zh) * 2011-12-23 2012-07-04 嘉兴科民电子设备技术有限公司 一种工业原子层沉积腔室结构
CN104781455A (zh) * 2012-09-24 2015-07-15 原子能与替代能源委员会 用于形成硅外延层的方法
CN105047526A (zh) * 2014-04-21 2015-11-11 应用材料公司 沉积中卤素分子用作反应剂增强外延膜中掺杂剂结合的方法

Also Published As

Publication number Publication date
US20050066892A1 (en) 2005-03-31
CN101094732B (zh) 2011-05-04
WO2005036593A3 (en) 2006-11-09
KR101127332B1 (ko) 2012-03-29
JP2011082557A (ja) 2011-04-21
EP1668668A2 (en) 2006-06-14
TW200520043A (en) 2005-06-16
KR20060093714A (ko) 2006-08-25
JP2007507885A (ja) 2007-03-29
US7468311B2 (en) 2008-12-23
TWI300238B (en) 2008-08-21
WO2005036593A2 (en) 2005-04-21

Similar Documents

Publication Publication Date Title
CN101094732B (zh) 以六氯乙硅烷进行的含硅膜的沉积
US7205187B2 (en) Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US10490413B2 (en) Selective growth of silicon nitride
JP5986066B2 (ja) シリコン及びシリコン含有膜の原子層堆積
CN101496148B (zh) 用于选择性沉积含硅膜的间断式沉积工艺
US7524769B2 (en) Method and system for removing an oxide from a substrate
WO2007021385A2 (en) SEQUENTIAL DEPOSITION PROCESS FOR FORMING Si-CONTAINING FILMS
KR20100014557A (ko) 질화 규소막의 형성 방법, 비휘발성 반도체 메모리 장치의 제조 방법, 비휘발성 반도체 메모리 장치 및 플라즈마 처리 장치
US20070287271A1 (en) Deposition of nano-crystal silicon using a single wafer chamber
US20070042569A1 (en) Low temperature formation of patterned epitaxial Si containing films
WO2005096352A2 (en) Silicon-germanium thin layer semiconductor structure with variable silicon-germanium composition and method of fabrication
TW202036683A (zh) 在基板上形成鈷層之方法
CN108257978A (zh) 有源材质层及其制造方法、显示面板

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110504

Termination date: 20160920

CF01 Termination of patent right due to non-payment of annual fee