KR101127332B1 - 헥사클로로디실란으로부터 실리콘 함유 막의 증착 - Google Patents

헥사클로로디실란으로부터 실리콘 함유 막의 증착 Download PDF

Info

Publication number
KR101127332B1
KR101127332B1 KR1020067007460A KR20067007460A KR101127332B1 KR 101127332 B1 KR101127332 B1 KR 101127332B1 KR 1020067007460 A KR1020067007460 A KR 1020067007460A KR 20067007460 A KR20067007460 A KR 20067007460A KR 101127332 B1 KR101127332 B1 KR 101127332B1
Authority
KR
South Korea
Prior art keywords
substrate
gas
silicon
depositing
exposing
Prior art date
Application number
KR1020067007460A
Other languages
English (en)
Other versions
KR20060093714A (ko
Inventor
안토니 딥
승호 오
앨런 존 라이스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20060093714A publication Critical patent/KR20060093714A/ko
Application granted granted Critical
Publication of KR101127332B1 publication Critical patent/KR101127332B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 프로세싱 시스템 내에서 저압 증착 공정에 의해 실리콘 함유 막과 기판을 증착하기 위한 방법에 관한 것이다. 실리콘 함유 막은 프로세싱 시스템의 프로세스 챔버 내에 기판을 제공하는 단계와, 상기 기판을 가열하는 단계와, 상기 기판에 헥사클로로디실란(HCD) 프로세스 가스를 노출시키는 단계에 의해 상기 기판 상에 형성될 수 있다. 상기 방법은 기판의 실리콘 표면 상에 에피택셜 실리콘 함유 막을 선택적으로 적층할 수 있거나 또는 그 대안으로 기판 상에 실리콘 함유 막을 비선택적으로 적층할 수 있다. HCD 프로세스 가스를 사용하여 기판 상에 실리콘 함유 막을 형성하기 위한 프로세싱 시스템을 포함하는 프로세싱 툴이 제공된다.

Description

헥사클로로디실란으로부터 실리콘 함유 막의 증착{DEPOSITION OF SILICON-CONTAINING FILMS FROM HEXACHLORODISILANE}
본 발명은 반도체 제조공정(semiconductor processing)에 관한 것으로, 보다 구체적으로 말하면, 헥사클로로디실란(HCD) 프로세스 가스를 사용하여 기판 상에 실리콘 함유 막을 증착하기 위한 공정 및 프로세싱 툴에 관한 것이다.
실리콘 함유 막(silicon-containing film)은 반도체 산업에서 광범위한 응용에 사용된다. 실리콘 함유 막으로는 다결정질 실리콘(poly-Si)과 에피택셜 실리콘(epitaxial silicon) 등의 실리콘 막, 실리콘 게르마늄(SiGe), 실리콘 게르마늄 카바이드(SiGeC), 실리콘 카바이드(SiC), 및 실리콘 질화물(SiN)을 포함한다. 회로의 기하형상이 보다 작은 최소 배선폭(feature size)으로 축소됨에 따라 보다 낮은 증착 온도가 유리한데, 그 이유는 예컨대, 반도체 소자로 신규 물질이 주입되고, 소스 및 드레인 영역에서 얕은 임플란트의 열 수지(thermal-budget)가 감소하기 때문이다. 또, 실리콘 함유 막의 비선택적(블랭크) 증착〔non-selective(blank) deposition〕과 선택적 증착이 미래의 장치에 요구될 것이라는 점은 명백하다. 예컨대, 반도체 제조는 에피택셜 실리콘 막의 두께와 저항성에 대해 엄격한 요구조건이 뒤따른다. 에피택셜 실리콘 증착은, 벌크 실리콘의 결정 격자가 그 벌크와 상 이한 도핑 레벨을 지닐 수 있는 새로운 실리콘 함유 층의 성장을 통해 확장하게 되는 프로세스 플로우의 제1 단계일 수 있다. 타깃 에피택셜 막 두께와 저항 파라미터를 매칭시키는 것은 적절하게 작용하는 장치의 후속 제작에 있어서 중요하다.
에피택셜 실리콘 함유 막의 선택적 증착의 사용 용례는 소스 및 드레인이 상승되어 있는 실리콘-온-절연체(SOI; silicon-on-insulator) 소자를 제조하는 것이다. SOI 소자 제조 동안, 프로세싱은 소스 및 드레인의 전체 실리콘 막을 소모할 수 있으며, 이에 따라 실리콘 함유 막의 선택적인 에피택셜 성장(SEG)에 의해 공급될 수 있는 여분의 실리콘을 상기 영역 내에 필요로 한다. 실리콘 함유 막의 선택적인 에피택셜 성장은 필요로 하는 포토리소그래피와 식각 단계의 수를 줄일 수 있으며, 이로 인해 소자의 제작에 따른 전체 비용과 복잡성이 감소될 수 있다. 저온 증착 공정에 대한 선호에도 불구하고, 전형적인 실란(SiH4)과 디클로로실란(DCS, SiCl2H2) 소스 가스를 사용한 에피택셜 실리콘의 열 증착(thermal deposition)은 상기 공정을 소자의 제작을 위한 공정에 합체하기에 충분히 높은 증착 속도를 얻기 위해 일반적으로 고온 증착 온도(예컨대, 약 850-900℃ 이상)를 필요로 한다. 더욱이, 전형적인 실란 및 디클로로실란 소스 가스 공정은 다양한 기판 재료에 따라 한정된 증착 선택도를 지닌다. 따라서, 본 발명의 발명자는 선택적 증착과 감소된 온도에서의 증착을 허용하면서 기판에 실리콘 함유 막을 증착하기 위하여 개선된 방법이 필요하다는 것을 인식하였다.
본 발명의 목적은 종래 기술의 증착 시스템 및 방법과 관련한 전술한 문제점 및/또는 다른 문제점을 줄이거나 해소하도록, 프로세싱 시스템의 프로세스 챔버 내에서 반도체 웨이퍼 상에 실리콘 함유 막을 증착하기 위한 방법 및 시스템을 제공하는 데 있다.
본 발명의 다른 목적은 프로세싱 시스템의 프로세스 챔버에서 반도체 웨이퍼 상에 에피택셜 실리콘 함유 막의 저온 선택적 증착을 위한 방법 및 시스템을 제공하는 데 있다.
본 발명의 또 다른 목적은 프로세싱 시스템의 프로세스 챔버에서 반도체 웨이퍼 상에 에피택셜 실리콘 함유 막의 저온 비선택적인 증착을 위한 방법 및 시스템을 제공하는 데 있다.
본 발명의 또 다른 목적은 실리콘 함유 막을 반도체 용례에 적용하기 위한 비용 효과적인 메카니즘을 제공하는 데 있다.
본 발명의 전술한 목적 및/또는 다른 목적들은 기판 상에 실리콘 함유 막을 증착시키는 방법에 의해 달성될 수 있다. 이 방법은 프로세싱 시스템의 프로세스 챔버 내에 기판을 제공하는 단계와, 상기 기판을 가열하는 단계와, 프로세스 챔버 내에 헥사클로로디실란(HCD) 프로세스 가스를 유동시키는 단계와, 기판 상에 실리콘 함유 막을 증착시키는 단계를 포함한다.
본 발명의 다른 양태에서, 기판 상에 실리콘 함유 막을 증착시키기 위한 프로세싱 툴이 제공된다. 이 프로세싱 툴은 프로세싱 시스템의 프로세스 챔버에 기판을 제공하도록 구성된 전달 시스템(transfer system)과, 상기 기판을 가열하기 위한 히터와, 상기 기판 상에 실리콘 함유 막을 증착시키기 위해 상기 기판을 HCD 프로세스 가스에 노출시키도록 구성된 가스 주입 시스템과, 프로세싱 툴을 제어하도록 구성된 컨트롤러를 포함한다.
도 1a는 본 발명의 일실시예에 따라 기판 상에 실리콘 함유 막을 증착하기 위한 배치 타입 프로세싱 시스템(batch type processing system)을 간략하게 도시한 블록선도이다.
도 1b는 본 발명의 일실시예에 따라 기판 상에 실리콘 함유 막을 증착하기 위한 또 다른 배치 타입 프로세싱 시스템을 간략하게 도시한 블록선도이다.
도 2는 본 발명의 일실시예에 따른 프로세싱 툴을 간략하게 도시한 블록선도이다.
도 3은 본 발명의 일실시예에 따라 기판 상에 실리콘 함유 막을 증착시키기 위한 방법을 나타낸 플로차트이다.
도 4는 본 발명의 일실시예에 따라 실리콘 기판 상에 에피택셜 실리콘 함유 막을 선택적으로 증착시키기 위한 방법을 나타낸 플로차트이다.
도 5a는 본 발명의 일실시예에 따른 미세구조체를 개략적으로 도시한 도면이다.
도 5b는 본 발명의 일실시예에 따라 선택적으로 증착된 에피택셜 실리콘 함유 막을 지닌 미세구조체를 개략적으로 도시한 도면이다.
도 6은 본 발명의 또 다른 실시예에 따라 기판에 실리콘 막을 비선택적으로 증착시키기 위한 방법을 나타낸 플로차트이다.
도 7a는 본 발명의 일실시예에 따른 미세구조체를 개략적으로 도시한 도면이다.
도 7b는 본 발명의 일실시예에 따라 비선택적으로 증착된 실리콘을 지닌 미세구조체를 개략적으로 도시한 도면이다.
도 8은 본 발명을 실시하기 위해 사용될 수 있는 범용 컴퓨터를 도시한 도면이다.
본 발명의 배경 기술에서 전술한 바와 같이, 전형적인 실리콘 소스 가스의 사용은 실리콘 함유 막의 저온 증착 또는 막 성장의 충분한 선택성을 제공하지 않을 것이다. 그럼에도 불구하고, 다른 실리콘 소스 가스의 사용에 관한 전문적인 연구는 없었는데, 그 이유는 아마 반도체 산업에서 신규의 소스 가스를 제공하기 어렵다는 문제점과, 배치 타입 프로세스 챔버 내에 상이한 웨이퍼 위치에 균일한 프로세스 결과를 제공하기 어렵다는 문제점 때문이다. 따라서, 본 발명자는 기판 상에 실리콘 함유 막을 증착하기 위해 헥사클로로디실란(HCD, Si2Cl6) 프로세스 가스의 사용을 분석하는 실험을 행하였다. 이러한 실험 및 분석의 결과로서, 본 발명자는 HCD 프로세스 가스를 저압에 노출시키면 프로세싱 시스템 내에서 기판 상에 실리콘 함유 막의 저온 증착을 위한 실행 가능한 메카니즘을 제공할 수 있다는 것을 발견하였다.
일반적으로, 기판 상에서의 저압 실리콘 증착은 단결정질의 (에피택셜) 실리콘 막, 다결정질의 실리콘 막, 혹은 비결정질의 실리콘 막의 형성을 초래할 수 있다. 본 발명의 일실시예에서, 결정질의 실리콘 기판 상에서의 실리콘 에피택셜 증착은 단결정질의 실리콘 막을 형성하기 위해 사용될 수 있고, 여기서 결정질의 실리콘 기판은 단결정-성장을 위한 "시드(seed)"로서의 역할을 한다. 에피택셜 실리콘 함유층은 아래에 놓인 Si 웨이퍼로부터의 상이한 조성적 및 전기적 특징을 지니도록 설계되어 소정의 장치의 특정 요구에 맞게 되어 있다. 에피택셜 실리콘 함유 막은 HCD 프로세스 가스에 소량의 도펀트 가스를 첨가함으로써 도핑될 수 있다. 도펀트 가스의 예로는 인 함유 가스(예컨대, PH3), 비소 함유 가스(예컨대, AsH3), 질소 함유 가스(예컨대, NH3), 붕소 함유 가스(예컨대, B2H6 및 BCl3)를 포함한다. HCD 프로세스 가스에 전술한 임의의 도펀트 가스를 첨가하는 것은 공정 중에 기판 상의 수소의 존재로 인해 실리콘 증착을 가속시킴으로써 실리콘 증착의 선택성을 더욱 증가시킬 수 있다. 또한, HCD 프로세스 가스에 HF, F2 및 HCl 등의 할로겐 함유 가스의 추가는 비실리콘 표면(non-silicon surface) 상에 증착된 실리콘 원자의 식각 및 제거에 의해 실리콘 표면 상에서의 실리콘 증착의 선택성을 향상시킬 수 있다.
본 발명의 일실시예 있어서, 실리콘과 게르마늄(SiGe)을 포함하는 실리콘 함유 막은 HCD를 포함하는 HCD 프로세스 가스와, GeH4 혹은 GeCl4 등의 게르마늄 함유 가스를 사용하여 우수한 선택성으로 증착될 수 있다. SiGe 막은 예컨대, 약 2 원 자% 미만의 낮은 농도의 게르마늄을 포함할 수도 있고, SiGe 막은 예컨대, 약 50 원자%와 같이 2 원자% 초과의 게르마늄을 포함할 수 있다.
HCD 프로세스 가스가 실리콘 표면에 노출되지는 않지만 산화물, 질화물, 혹은 금속 등의 물질을 포함하는 다른 표면에 노출되는 본 발명의 또 다른 실시예에 있어서, HCD 프로세스 가스를 사용한 실리콘 함유 막의 증착은 미세한 실리콘 입자를 지닌 다결정질의 실리콘 함유 막 혹은 비결정질의 실리콘 함유 막을 형성할 수 있다. 다결정질의 실리콘 함유 막 내의 입자 크기는 열처리뿐만 아니라 증착 조건에 따라 결정될 수 있다.
HCD는 고도의 반응성이 있고 매우 강한 탈산제인 상업적으로 입수 가능한 실리콘 화합물이다. 프로세싱 시스템에서 실리콘 함유 막을 증착하기 위해 HCD 프로세스 가스를 사용하여 실험 및 분석한 결과에 의하면, 본 발명자는 기판 상에 실리콘 함유 막을 증착하기 위해 HCD 프로세스 가스를 사용한 저압 열 분해 공정이 H2 혹은 HCl의 존재 하에서 종래의 DCS 분해를 사용하여 동일한 온도에서 얻을 수 있는 것보다 더 높은 증착 속도에서 이루어지는 것을 발견하였다. HCD를 사용하여 얻을 수 있는 더 높은 증착 속도는 예컨대, 실리콘 함유 막의 충분히 높은 증착 속도를 얻는 동시에 제조 가능한 증착 공정이 낮은 기판 온도에서 실행되도록 허용해줄 수 있다. 비록 전술한 실험은 배치 타입 프로세싱 시스템에서 실행되었지만, 본 발명은 이러한 프로세싱 시스템에만 한정되는 것이 아니라 당업자들이 인식하고 있는 바와 같이 단일 웨이퍼 프로세싱 시스템에서도 또한 실행될 수 있다.
특히, 실리콘 함유 막은 프로세싱 시스템 내의 저압 증착 프로세스에서 HCD 프로세스 가스를 사용하여 기판 상에 증착될 수 있다. 이 공정에서, 기판은 프로세스 챔버 내에 제공되고, 챔버 압력은 진공 펌핑 시스템을 사용하여 낮아지고, 그리고 챔버 온도와 압력은 안정화된다. 그 다음, 상기 프로세스 챔버 온도와 프로세스 챔버 압력은 소망하는 값으로 조절될 수 있다. 공정 온도에 도달할 때, 기판은 기판 상에 소망의 실리콘 함유 막의 형성을 유도하는 소정의 시간 주기 동안 처리될 수 있다. 공정의 말기에, 프로세스 챔버는 배기되고 불활성 가스로 정화될 수 있으며, 기판은 프로세스 챔버로부터 제거된다. 추가로, 실리콘 함유 막의 증착 이전에 기판을 예비 처리하는 공정은 기판으로부터 불순물과 산화층을 제거하기 위해 900℃의 기판 온도에서 예컨대, H2 가스 등의 세척 가스에 기판을 노출시키는 단계를 포함할 수 있다.
이제 도면을 참조하면, 도 1a에는 본 발명의 일실시예에 따라 기판 상에 실리콘 함유 막을 증착하기 위한 배치 타입 프로세싱 시스템의 간략한 블록선도가 도시되어 있다. 상기 배치 타입 프로세싱 시스템(100)은 프로세스 챔버(102), 가스 주입 시스템(104), 히터(122), 진공 펌핑 시스템(106), 프로세스 모니터링 시스템(108), 및 컨트롤러(124)를 포함한다. 다수의 기판(110)은 프로세스 챔버(102) 내로 로딩되어 기판 홀더(112)를 사용하여 처리될 수 있다. 더욱이, 프로세스 챔버(102)는 외측 섹션(114)과 내측 섹션(116)을 포함한다. 본 발명의 일실시예에서, 내측 섹션(116)은 프로세스 튜브일 수 있다.
가스 주입 시스템(104)은 프로세스 챔버(102)를 정화하기 위해 그리고 기판(110)을 준비, 클리닝 및 처리하기 위해 프로세스 챔버(102)로 가스를 주입할 수 있다. 상기 가스 주입 시스템(104)은 예컨대, HCD 액체를 기화시키기 위한 기화기를 구비하는 액체 운반 시스템(LDS)을 포함할 수 있다. 기화된 액체는 캐리어 가스의 도움으로 프로세스 챔버(102) 내로 유동할 수 있다. 그 대안으로, 가스 주입 시스템은 캐리어 가스가 HCD 전구체를 포함하는 저장조를 통해 기포화 되는 버블링 시스템을 포함할 수 있다. 다수의 가스 공급 라인들이 프로세스 챔버(102) 속으로 가스를 유동시키도록 배열될 수 있다. 가스는 내측 섹션(116)에 의해 형성되고 기판(110)에 노출된 볼륨(118)으로 도입될 수 있다. 그 후, 가스는 내측 섹션(116)과 외측 섹션(114)에 의해 형성된 볼륨(120)으로 유입되고, 진공 펌핑 시스템(106)에 의해 프로세스 챔버(102)로부터 방출될 수 있다.
기판(110)은 기판 홀더(112)를 사용하여 프로세스 챔버(102)로 로딩되어 처리될 수 있다. 배치 타입 프로세싱 시스템(100)은 긴밀하게 적층된 다수의 기판(110)을 처리하는 것을 허용할 수 있기 때문에 높은 기판 처리량을 얻을 수 있다. 기판의 배치 크기는 예컨대, 약 100개 이하의 기판(웨이퍼)일 수 있다. 그 대안으로, 배치 크기는 약 25개 이하의 기판일 수 있다. 프로세스 챔버(102)는 임의의 크기의 기판 예컨대, 200mm의 기판, 300mm의 기판 혹은 심지어 더 큰 기판을 처리할 수 있다. 상기 기판(110)은 예컨대, 반도체 기판(예컨대, 실리콘 혹은 컴파운드 반도체), LCD 기판, 및 유리 기판을 포함할 수 있다. 클린 기판에 추가하여, 한정하려는 의도는 아니지만 실리콘 막, 금속 막, 산화물 막, 질화물 막, 및 산질 화(oxynitride) 막을 포함하는 복층의 막이 위에 형성되어 있는 기판이 사용될 수 있다.
상기 배치 타입 프로세싱 시스템(100)은 이 배치 타입 프로세싱 시스템(100)에서 나온 출력을 모니터할 뿐만 아니라 배치 타입 프로세싱 시스템(100)의 입력을 통신 및 활성화시키기에 충분한 제어 전압을 발생시킬 수 있는 컨트롤러(124)에 의해 제어될 수 있다. 더욱이, 컨트롤러(124)는 프로세스 챔버(102), 가스 주입 시스템(104), 히터(122), 프로세스 모니터링 시스템(108), 및 진공 펌핑 시스템(106)에 결합되는 동시에 그들과 정보 교환을 할 수 있다. 예컨대, 컨트롤러(124)의 메모리에 저장된 프로그램은 저장된 프로세스 수단에 따라 배치 타입 프로세싱 시스템(100)의 전술한 구성 요소들을 제어하기 위해 사용될 수 있다. 상기 컨트롤러(124)의 일례로는 텍사스주 오스틴 소재의 델 코포레이션(Dell Corporation)에서 입수 할 수 있는 DELL PRECISION WORKSTATION 610TM을 들 수 있다.
실시간 프로세스 모니터링은 프로세스 모니터링 시스템(108)을 사용하여 실행될 수 있다. 일반적으로, 상기 프로세스 모니터링 시스템(108)은 다기능 모니터링 시스템이며, 예컨대 질량 분광계(MS) 혹은 푸리에 트랜스폼 적외선(Fourier Transform Infra-red; FTIR) 분광계를 포함할 수 있다. 상기 프로세스 모니터링 시스템(108)은 프로세스 환경에서 기상의 화학 종의 정성적 분석 및 정량적 분석을 제공할 수 있다. 모니터링 될 수 있는 프로세스 파라미터는 가스 유동, 가스 압력, 기상 종의 비율, 및 가스 순도를 포함한다. 이러한 파라미터들은 종래의 프로 세스 결과와, 증착된 실리콘 함유 막의 각종 물리적 특성과 상관 관계를 가질 수 있다.
도 1b에는 본 발명의 일실시예에 따라 기판 상에 실리콘 함유 막을 증착하기 위한 또 다른 배치 타입 프로세싱 시스템의 간략한 블록선도가 도시되어 있다. 상기 배치 타입 프로세싱 시스템(1)은 프로세스 챔버(10)와 프로세스 튜브(25)를 포함하며, 이 프로세스 튜브(25)는 배기관(80)에 연결된 상단부와 원통형 매니폴드(2)의 뚜껑(27)에 기밀하게 연결된 하단부를 구비한다. 상기 배기관(80)은 프로세싱 시스템(1) 내부를 예정된 대기압 혹은 그보다 낮은 압력으로 유지하기 위해 가스를 프로세스 튜브(25)로부터 진공 펌핑 시스템(88)으로 배출시킨다. 복수 개의 기판(웨이퍼)(40)을 겹층 방식(수직 간격을 두고 각각의 수평면에 배치되는 방식)으로 유지하기 위한 기판 홀더(35)가 프로세스 튜브(25) 내에 배치되어 있다. 상기 기판 홀더(35)는 뚜껑(27)을 관통하는 동시에 모터(28)에 의해 구동되는 회전 샤프트(21)에 장착되어 있는 턴테이블(26) 상에 자리하고 있다. 턴테이블(26)은 공정 중에 전체 막의 균일성을 향상시키기 위해 회전될 수도 있고, 또는 그 대안으로 턴테이블이 공정 중에 고정되어도 좋다. 뚜껑(27)은 기판 홀더(35)를 반응 튜브(25) 안팎으로 이송하기 위한 엘리베이터(22) 상에 장착되어 있다. 뚜껑(27)이 그 최상부 위치에 위치할 때, 뚜껑(27)은 매니폴드(2)의 개방단을 폐쇄하도록 채택될 수 있다.
복수 개의 가스 공급 라인들은 가스 공급 라인을 통해 프로세스 튜브(25) 속으로 다수의 가스를 공급하기 위해 매니폴드(2) 둘레에 설치될 수 있다. 도 1b에 는 복수 개의 가스 공급 라인들 중 단지 하나의 가스 공급 라인(45)만이 도시되어 있다. 가스 공급 라인(45)은 가스 주입 시스템(94)에 연결되어 있다. 원통형 열 반사체(30)는 반응 튜브(25)를 덮도록 배치되어 있다. 상기 열 반사체(30)는 주요 히터(20), 바닥 히터(65), 상측 히터(15), 및 배기관 히터(70)에 의해 방사된 방사열의 분산을 억제하기 위해 거울-마감 처리된 내측면을 구비한다. 나선형 냉각수 통로(도시 생략)는 냉각 매체 통로로서 프로세스 챔버(10)의 벽에 형성되어 있다.
진공 펌핑 시스템(88)은 진공 펌프(86), 트랩(84), 자동 압력 컨트롤러(APC)(82)를 포함한다. 진공 펌프(86)는 예컨대, 초당 20,000리터( 또는 이상)의 펌핑 속력을 낼 수 있는 건식 진공 펌프를 포함할 수 있다. 공정 중에, 가스는 가스 주입 시스템(94)을 경유하여 프로세스 챔버(10)로 주입될 수 있고, 프로세스 압력은 APC(82)에 의해 조절될 수 있다. 트랩(84)은 프로세스 챔버(10)에서 나온 미반응 전구체 물질과 부산물을 수집할 수 있다.
프로세스 모니터링 시스템(92)은 실시간 프로세스 모니터링을 행할 수 있는 센서(75)를 포함하며, 예컨대, MS혹은 FRIR 분광계를 포함할 수 있다. 컨트롤러(90)는 마이크로프로세서, 메모리, 및 프로세싱 시스템(1)에서 나온 출력을 모니터링 할 뿐만 아니라 프로세싱 시스템(1)으로의 입력을 통신 및 활성화시키기에 충분한 제어 전압을 발생시킬 수 있는 디지털 I/O 포트를 포함할 수 있다. 더욱이, 컨트롤러(90)는 가스 주입 시스템(94), 모터(28), 프로세스 모니터링 시스템(92), 히터(20, 15, 65, 70), 및 진공 펌핑 시스템(88)과 결합되는 동시에 그것과 정보를 교환할 수 있다. 도 1a의 컨트롤러(124)와 마찬가지로, 상기 컨트롤러(90)는 DELL PRECISION WORKSTATION 610TM을 이용하여 실행될 수 있다.
도 2에는 본 발명의 일실시예에 따른 프로세싱 툴의 간략한 블록선도가 도시되어 있다. 프로세싱 툴(200)은 프로세싱 시스템(220, 230), 프로세싱 툴(200) 내에 기판을 이동시키도록 구성된 (로봇) 이송 시스템(210), 및 프로세싱 툴(200)을 제어하도록 구성된 컨트롤러(240)를 포함한다. 본 발명의 또 다른 실시예에 있어서, 프로세싱 툴(200)은 단일의 프로세싱 시스템을 포함할 수도 있고, 그 대안으로 2개 이상의 프로세싱 시스템을 포함할 수도 있다. 도 2에서, 프로세싱 시스템(220, 230)은 예컨대, 아래의 단계들 중 적어도 하나를 실행할 수 있다. 즉, (a) 기판을 예비 처리하는 단계, (b) 기판 상에 실리콘 함유 막을 증착하는 단계, 및 (c) 기판과 이 기판 상에 증착된 실리콘 함유 막 중 하나 이상의 특성을 결정하는 단계. 예비처리 단계 (a)는 예컨대, 기판 표면으로부터 불순물 및/또는 얇은 산화물 막(예컨대, 천연 산화물 막 혹은 화학적 산화물 막)을 제거하기 위해 실행될 수 있다. 실리콘 표면에 존재하는 불순물 혹은 산화물은 적절한 실리콘 시드(핵형성) 층의 형성을 방해할 수 있기 때문에, 에피택셜 실리콘 증착에 영향을 미친다. 일실시예에서, 예비처리 단계는 약 500℃ 내지 약 1000℃ 사이, 예컨대 900℃의 기판 온도에서 H2 가스에 기판을 노출시키는 단계를 포함할 수 있다. 단계 (c)에서, 막 특성은 예컨대, 실리콘 함유 막의 두께와 도펀트 레벨을 포함할 수 있다. 본 발명의 일실시예에서, 단계 (a) 내지 단계 (c) 각각은 상이한 프로세싱 시스템에서 실행될 수 있다. 본 발명의 또 다른 실시예에 따르면, 단계 (a) 내지 단계 (c) 중 적어도 2개의 단계가 동일한 프로세싱 시스템에서 실행될 수 있다. 본 발명의 일실시예에서, 프로세싱 시스템의 적어도 하나는 배치 타입 프로세싱 시스템이나 또는 단일 웨이퍼 프로세싱 시스템을 포함할 수 있다. 본 발명의 또 다른 실시예에서, 적어도 하나의 프로세싱 시스템은 열 프로세싱 시스템, 플라즈마 프로세싱 시스템, 혹은 자동 층 증착 시스템을 포함할 수 있다.
도 1a 및 도 1b에 도시된 컨트롤러와 마찬가지로, 상기 컨트롤러(240)는 DELL PRECISION WORKSTATION 610TM을 이용하여 실행될 수 있다. 더욱이, 도 1a, 도 1b 및 도 2에 도시된 컨트롤러는 도 8을 참조하여 설명하는 것과 같은 범용 컴퓨터 시스템을 이용하여 실시될 수 있다.
도 3은 본 발명의 일실시예에 따라 기판 상에 실리콘 함유 막을 증착시키기 위한 방법을 나타낸 플로차트이다. 단계 (300)에서 공정이 시작된다. 단계 (302)에서, 프로세싱 시스템의 프로세스 챔버 내에 기판이 제공된다. 프로세싱 시스템은 도 1a 혹은 도 1b에 도시된 배치 타입 프로세싱 시스템일 수 있고, 도 2에 도시된 것과 같은 프로세싱 툴의 일부로서 제공될 수 있다. 단계 (304)에서, 기판은 가열되고, 단계 (306)에서 HCD 프로세스 가스가 기판에 노출된다. 본 발명의 일실시예에서, HCD 프로세스 가스는 HCD 가스, 선택적으로 불활성 가스를 포함할 수 있고, 실리콘 함유 막은 실리콘 막일 수 있다. 불활성 가스는 예컨대, He, Ne, Ar, Kr, Xe, N2 또는, 기판 혹은 챔버 환경과 화학적으로 반응하지 않는 임의의 다른 가스로부터 선택될 수 있다. 불활성 가스는 액체 형태로 HCD용 캐리어 가스로서 사용될 수도 있고, HCD 가스를 희석시켜 기판 표면에서보다 챔버 환경에서의 화학 반응의 발생을 줄이기 위해 사용될 수도 있다. 본 발명의 또 다른 실시예에서, HCD 프로세스 가스는 HCD 가스, 선택적으로 불활성 가스와, 할로겐 함유 가스 및 제2의 실리콘 함유 가스 중 하나 이상을 포함할 수 있다. 수소 함유 가스는 예컨대, H2를 포함할 수 있다. HCD 가스에 H2를 첨가하는 것은 실리콘 증착 속도를 증가시키는 것으로 밝혀졌다. 제2의 실리콘 함유 가스는 예컨대, SiH4, SiCl4, Si2H6, 및 SiCl2H2에서 선택될 수 있다. 본 발명의 또 다른 실시예에 있어서, HCD 프로세스 가스는 HCD 가스와, 예컨대 인 함유 가스(예컨대, PH3), 비소 함유 가스(예컨대, AsH3), 질소 함유 가스(예컨대, NH3), 붕소 함유 가스(예컨대, B2H6 및 BCl3)로부터 선택될 수 있다. 본 발명의 또 다른 실시예에서, HCD 프로세스 가스는 예컨대, HF, F2, Cl2, 및 HCl로부터 선택될 수 있는 할로겐 함유 가스를 포함할 수 있다. 본 발명의 또 다른 실시예에 따르면, HCD 프로세스 가스는 HCD 가스와, 예컨대 SiGe 막을 증착시키기 위해 GeH4 및 GeCl4로부터 선택될 수 있는 게르마늄 함유 가스를 포함할 수 있다.
본 발명의 일실시예에서, 도 3의 플로차트에 도시된 증착 공정은 실리콘 함유 막을 증착하기 이전에 기판을 예비처리하는 단계를 더 포함할 수 있다. 예비처리 공정은 예컨대, 기판 재료(예컨대, 실리콘)로부터 산화층(예컨대 천연 산화물 혹은 열 산화물)을 실질적으로 제거할 수 있고, 또 적절한 실리콘 시드(핵형성) 층 의 형성을 억제하고, 증착 표면에 실리콘 함유 막의 증착을 방지하며, 실리콘 증착의 선택성을 줄일 수 있는 다른 계면의 불순물을 제거할 수 있다. 일례로서, 예비처리 단계는 900℃의 기판 온도에서 H2 가스에 실리콘 기판을 노출시키는 단계를 포함할 수 있다.
실리콘 함유 막을 증착하기 위해 사용된 프로세싱 조건은 약 100 Torr 미만의 프로세스 챔버 압력을 포함할 수 있다. 그 대안으로, 프로세스 압력은 약 1 Torr, 예컨대 약 0.4 Torr 미만일 수 있다. 프로세스 조건은 약 500℃ 내지 약 900℃, 양호하게는 800℃의 기판 온도를 더 포함할 수 있다. 본 발명의 일실시예에서, 기판 온도는 약 800℃일 수 있고 프로세스 챔버 압력은 약 0.4 Torr 일 수 있다. 단계 (308)에서, 실리콘 함유 막은 HCD 프로세스 가스의 분해로부터 기판 상에 증착된다.
본 발명의 일실시예서, 본 발명자는 배치 타입 프로세싱 시스템의 프로세스 챔버 내에서 HCD 프로세스 가스를 사용하여 기판 상에 에피택셜 실리콘 함유 막을 선택적으로 증착하기 위한 방법을 개발하였다. 실리콘 함유 막의 선택적인 에피택셜 증착은 실리콘을 포함하는 표면 영역에서 관찰되었으며, 예컨대, 산화물(예컨대, 산화물 포토마스크) 혹은 질화물(예컨대, SiN 층)을 포함하는 다른 표면 영역에서는 거의 혹은 전혀 관찰되지 않았다. 본 발명자는 종래의 DCS 프로세스 가스를 사용할 때와 비교하여 HCD 프로세스 가스를 사용하여 관측되는 실리콘 증착 속도가 더 높을수록 실리콘 함유 막의 에피택셜 적층이 더욱 선택적인 결과를 야기하 는 것으로 예측하였다. 일반적으로, 상이한 기판 재료 상으로의 적층과 관련한 적층 선택성은, 하나의 기판 물질에 있어서의 실리콘 함유 막 증착을 위한 핵형성 시간(잠복 시간)이 또 다른 기판 물질에 비해 충분히 다를 때 달성될 수 있다. 실제로, 실리콘 함유 막의 적층 속도가 충분히 높고 잠복 시간 차이가 상이한 재료에 대해 충분히 클 경우, 실리콘 함유 막은 더 긴 잠복 시간을 지닌 다른 물질(예컨대, 산화물 또는 질화물)에서 증착이 시작하기 이전에 더 짧은 잠복 시간을 지닌 재료(예컨대, 실리콘)에 성장될 수 있다. 그 결과, 더 두꺼운 에피택셜 실리콘 함유 막은, 기판상의 다른 영역에서 적층이 시작하기 이전에 HCD 프로세스 가스를 사용하여 클린 실리콘 기판 상에서 성장될 수 있다.
도 4에는 본 발명의 일실시예에 따라 실리콘 기판 상에 에피택셜 실리콘 함유 막을 선택적으로 증착시키기 위한 방법의 플로차트가 도시되어 있다. 단계 (400)에서, 공정이 시작된다. 단계 (402)에서, 프로세싱 시스템의 프로세스 챔버 내에 기판이 제공된다. 그 대안으로, 상기 프로세싱 시스템은 단일형 웨이퍼 프로세싱 시스템일 수 있다. 단계 (404)에서, 기판은 가열되고, 단계 (406)에서 HCD 프로세스 가스가 프로세스 챔버 내의 기판에 노출된다. 단계 (408)에서, 기판에 HCD 프로세스 가스의 노출은 실리콘 표면 상에 에피택셜 실리콘 함유 막의 선택적인 증착을 초래한다. 소망의 막 두께를 지닌 에피택셜 실리콘 함유 막이 선택적으로 증착되었을 때, 상기 공정은 단계 (410)에서 종료된다.
도 5a에는 본 발명의 일실시예에 따른 미세구조체가 도시되어 있다. 이 미세구조체(500)는 소자 제조에 사용된 예시적인 구조이며, 실리콘 기판(510)과 그 위에 놓인 포토리소그래픽으로 패턴화된 산화물 마스크(520)를 포함하며, 실리콘 표면(540)은 개구(530)에 의해 노출되어 있다.
도 5b에는 본 발명의 일실시예에 따라 선택적으로 증착된 실리콘 막을 지닌 미세구조체가 개략적으로 도시되어 있다. 실리콘 막(550)은 분당 약 7옹스트롬의 증착 속도에서 미세구조체(500)의 노출된 실리콘 표면(540) 상에 에피택셜적으로 형성되었지만, 패턴화된 포토마스크(520) 상에서는 증착이 관측되지 않았다. 증착 공정은 도 4의 플로차트에 설명된 바와 같이 수행되었다. 증착 공정은 HCD 가스와, 800℃의 기판 온도와, 0.4 Torr의 프로세스 챔버 압력을 사용하여 배치 타입 프로세싱 시스템의 프로세스 챔버에서 실행되었다. 미세구조체(500)는 Si 증착 이전에 900℃에서 H2 분위기에서 예비 처리되었다. 노출된 실리콘 영역 상에 에피택셜 실리콘 막(550)을 선택적으로 증착하는 것은 당업자들에게 공지된 방법을 사용하여 산화물 포토마스크의 후속 제거를 허용하여, 실리콘 기판(410) 상에 상승된 에피택셜 실리콘 막(550)을 형성할 수 있게 한다. 일반적으로, 패턴화된 포토마스크(520)는 적어도 하나의 산화물 마스크(예컨대, SiO2)와 질화물 마스크(예컨대, Si3N4)를 포함할 수 있다.
도 6에는 본 발명의 또 다른 실시예에 따라 기판에 실리콘 막을 비선택적으로 증착시키기 위한 방법의 플로차트가 도시되어 있다. 단계 (600)에서, 공정이 시작된다. 단계 (602)에서, 프로세싱 시스템의 프로세스 챔버 내에 기판이 제공된다. 단계 (604)에서, 기판은 가열되고, 단계 (606)에서 HCD 프로세스 가스가 프로 세스 챔버 내에서 기판에 노출된다. 도 6에 도시된 실리콘 층의 비선택적 증착에 사용된 공정 조건은 에피택셜 실리콘 층을 선택적으로 증착하기 위해 사용된 800℃의 기판 온도와는 달리 700℃의 기판 온도를 포함할 수 있다. 단계 (608)에서, 기판을 HCD 프로세스 가스에 노출시키면 실리콘 함유 막이 비선택적으로 증착된다. 소망의 막 두께를 지닌 실리콘 함유 막이 증착되었을 때, 상기 공정은 단계 (610)에서 종료된다.
도 7a에는 본 발명의 일실시예에 따른 미세구조체가 도시되어 있다. 이 미세구조체(700)는 소자 제조에 사용된 예시적인 구조이며, 실리콘 기판(710)과 그 위에 놓인 포토리소그래픽으로 패턴화된 산화물 마스크(720)를 포함하며, 실리콘 표면(740)은 개구(730)에 의해 노출되어 있다.
도 7b에는 본 발명의 일실시예에 따라 선택적으로 증착된 실리콘 막을 지닌 미세구조체가 개략적으로 도시되어 있다. 실리콘 막(750)은 산화물 마스크(720) 상에 그리고 실리콘 표면(740) 상에 비선택적으로 증착(블랭킷 증착)되었다. 실리콘 막은 기판 재료의 타입과는 무관하게 실질적으로 균일한 두께를 지닌 상태로 전체 기판 상에 증착되었다. 증착 공정은 도 6의 플로차트에 설명된 바와 같이 수행되었다. 증착 공정은 HCD 가스와, 700℃의 기판 온도와, 0.4 Torr의 프로세스 챔버 압력을 사용하여 배치 타입 프로세싱 시스템의 프로세스 챔버에서 실행되었다.
소망하는 막 특성을 지닌 실리콘 함유 막의 형성을 가능케 하는 적절한 공정 조건은 직접 실험 및/또는 실험계획법(design of experiment; DOE)에 의해 결정될 수 있다. 조절 가능한 프로세스 파라미터는 예컨대, 기판 온도, 공정 압력, 공저 가스의 타입 및 상대적인 가스 유동을 포함할 수 있다. 전술한 바와 같이, HCD 프로세스 가스는 예컨대, HCD 가스를 포함할 수 있고, 선택적으로 불활성 가스와, 수소 함유 가스 또는 제2의 실리콘 함유 가스 중 하나 이상을 포함할 수 있다. HCD 가스의 유량은 예컨대, 약 5 sccm 내지 약 1,000 sccm 범위에 속할 수 있고, 불활성 가스의 유량은 예컨대, 약 5 sccm 내지 약 20,000 sccm 범위에 속할 수 있으며, 수소 함유 가스 유량은 예컨대, 약 5 sccm 내지 약 5,000 sccm 범위에 속할 수 있으며, 제2의 실리콘 함유 가스 유량은 예컨대, 약 10 sccm 내지 약 1,000 sccm 범위에 속할 수 있다.
도 8에는 본 발명의 여러 실시예를 실시하기 위한 컴퓨터 시스템(1201)이 도시되어 있다. 이 컴퓨터 시스템(1201)은 도 1a, 도 1b, 혹은 도 2, 혹은 전술한 임의의 기능 혹은 기능 전부를 수행하기 위해 전술한 시스템과 함께 사용할 수 있는 유사한 컨트롤러로서 사용될 수 있다. 컴퓨터 시스템(1201)은 정보를 통신하기 위한 버스(1202) 혹은 다른 통신 장치와, 정보를 처리하기 위해 버스(1202)에 결합된 프로세서(1203)를 포함한다. 상기 컴퓨터 시스템(1201)은 또한 프로세서(1203)에 의해 실행될 정보와 명령을 저장하기 위하여 버스(1202)에 결합된 랜덤 액세스 메모리(RAM) 혹은 다른 동적 저장 장치〔예컨대, 동적 RAM(DRAM), 정적 RAM(SRAM), 및 동기화 DRAM(SDRAM)〕 등의 주메모리(1204)를 포함한다. 추가로, 주메모리(1204)는 프로세서(1203)에 의한 명령을 실행하는 동안 일시적인 변수 혹은 다른 중간 정보를 저장하기 위해 사용될 수 있다. 컴퓨터 시스템(1201)은 프로세서(1203)를 위한 정적 정보와 명령을 저장하기 위해 버스(1202)에 결합된 읽기 전용 메모리(ROM)(1205) 혹은 다른 정적 저장 장치〔예컨대, 프로그램 가능한 ROM(PROM), 소거 가능한 PROM(EPROM) 및 전기적으로 소거 가능한 PROM(EEPROM)〕를 더 포함한다.
컴퓨터 시스템(1201)은 또한 마그네틱 하드 디스크(1207) 및 분리 가능 매체 드라이브(1208)(예컨대, 플로피 디스크 드라이브, 판독 전용 컴팩트 디스크 드라이브, 판독/기록 컴팩트 디스크 드라이브, 컴팩트 디스크 쥬크박스, 테이프 드라이브, 및 분리 가능 광자기 드라이브) 등과 같이 정보 및 명령을 저장하기 위한 하나 혹은 그 이상의 저장 장치를 제어하기 위해 버스(1202)에 결합된 디스크 컨트롤러(1206)를 또한 포함한다. 저장 장치는 적절한 장치 인터페이스〔예컨대, 소형 컴퓨터 시스템 인터페이스(SCSI), 집적 장치 일렉트로닉스(IDE), 확장-IDE(E-IDE), 직접 메모리 액세스(DMA) 혹은 ultra-DMA〕를 사용하여 컴퓨터 시스템(1201)에 추가될 수 있다.
상기 컴퓨터 시스템(1201)은 또한 특별한 목적의 논리 장치〔예컨대, 주문형 반도체(ASICs)〕 혹은 설정 가능한(configurable) 논리 장치(예컨대, 단순 프로그램형 논리 장치(SPLDs), 콤플렉스 프로그램형 논리 장치(CPLDs), 및 필드 프로그램형 게이트 어레이(FPGAs)〕를 포함할 수 있다. 상기 컴퓨터 시스템은 또한 텍사스 인스트루먼터(Texas Instrument)로부터 입수 가능한 TMS320 시리즈의 칩, 모토롤라(Motorola)로부터 입수 가능한 DSP56000, DSP56100, DSP56300, DSP56600, 및 DSP96000 시리즈의 칩, 루슨트 테크놀로지스(Lucent Technologies)로부터 입수 가능한 DSP1600, DSP3200 시리즈 혹은 아날로그 디바이스(Analog Devices)로부터 입수 가능한 ADSP2100 및 ADSP21000 시리즈의 칩 등과 같은 하나 혹은 그 이상의 디지털 신호 프로세서(DSPs)를 포함할 수 있다. 디지털 도메인으로 전환된 아날로그 신호를 처리하도록 특별히 설계된 다른 프로세서들을 또한 사용할 수 있다.
상기 컴퓨터 시스템(1201)은 또한 컴퓨터 사용자에게 정보를 디스플레이하기 위해 음극선관(CRT) 등의 디스플레이(1210)를 제어하기 위한 버스(1202)에 결합된 디스플레이 컨트롤러(1209)를 포함할 수 있다. 상기 컴퓨터 시스템은 컴퓨터 사용자와 상호 작용하여 정보를 프로세서(1203)로 제공하기 위한 키보드(1211) 및 포인트 장치(1212) 등의 입력 장치를 포함한다. 포인트 장치(1212)는 예컨대, 방향 정보와 명령 선택을 프로세서(1203)로 전달하고 디스플레이(1210) 상에서 커서(cursor) 운동을 제어하기 위한 마우스, 트랙볼 혹은 포인트 스틱일 수 있다. 추가로, 컴퓨터 시스템(1201)에 의해 저장 및/또는 생성된 데이터 리스트를 프린터하기 위해 프린터가 제공될 수 있다.
컴퓨터 시스템(1201)은 주메모리(1204) 등의 메모리에 포함된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 프로세서(1203)에 반응하여 본 발명의 처리 단계의 일부 혹은 전부를 실행한다. 이러한 명령들은 하드 디스크(1207) 혹은 분리 가능 매체 드라이브(1208) 등과 같은 다른 컴퓨터 판독 가능 매체로부터 주메모리(1204) 내로 판독될 수 있다. 멀티-프로세싱 장치에서 하나 이상의 프로세서는 또한 주메모리(1204) 내에 포함된 명령 시퀀스를 실행하기 위해 사용될 수 있다. 변형례에 있어서, 하드 와이어드 회로는 소프트웨어 명령 대신에 혹은 그것과 조합하여 사용될 수 있다. 따라서 실시예들은 하드웨어 회로 및 소프트웨어의 임의의 특정 조합으로 한정되지 않는다.
전술한 바와 같이, 컴퓨터 시스템(1201)은 본 발명의 교시에 따라 프로그램된 명령을 유지하기 위해 그리고 데이터 구조, 테이블, 레코드 혹은 본 명세서에 설명한 다른 데이터를 포함하기 위한 적어도 하나의 컴퓨터 판독 가능 매체 혹은 메모리를 포함한다. 컴퓨터 판독 가능 매체의 예로서 컴팩트 디스크, 하드 디스크, 플로피 디스크, 테이프, 광자기 디스크, PROMs(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 혹은 임의의 다른 자성 매체, 컴팩트 디스크(예컨대, CD-ROM), 혹은 다른 임의의 광 매체, 펀치 카드, 종이 테이프, 혹은 홀 패턴을 갖는 다른 몰리적 매체, 반송파(이하 참조) 혹은 컴퓨터가 판독할 수 있는 다른 임의의 매체를 들 수 있다.
컴퓨터 판독 가능 매체들 중 어떤 하나 혹은 그 조합에 저장된 상태로, 본 발명은 장치(들)를 구동하여 발명을 구현하기 위해, 그리고 컴퓨터 시스템(1201)을 휴먼 유져(예컨대, 프린트 제조 퍼스널)와 상호 작용할 수 있게 해주기 위해 컴퓨터 시스템(1201)을 조절하기 위한 소프트웨어를 포함한다. 이러한 소프트웨어는 한정의 의도는 없지만, 장치 드라이버, 작동 시스템, 개발 툴, 애플리케이션 소프트웨어 등을 포함할 수 있다. 이러한 컴퓨터 판독 가능한 매체는 본 발명을 실시하는 데 행해진 프로세싱의 전부 혹은 일부(만약 프로세싱이 배포되는 경우)를 행하기 위해 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코드 장치는 한정의 의도는 없이, 해석 가능한 프로그램, 다이나믹 링크 라이브러리(DLLs), 자바 클라스(Java class), 및 완전한 실행 가능 프로그램을 포함하여 임의의 해석 가능한 혹은 실행 가능한 코드 메커니즘일 수 있다. 또한, 본 발명의 프로세싱의 일부는 더 양호한 성능, 신뢰성 및/또는 비용을 위해 배포될 수 있다.
본 명세서에 사용된 "컴퓨터 판독 가능한 매체"라는 용어는 프로세서(1203) 실행을 위해 명령어를 제공하는 데 기여하는 임의의 매체를 일컫는다. 컴퓨터 판독 가능 매체는 한정의 의도는 없이, 비휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하여 많은 형태를 취할 수 있다. 비휘발성 매체는 예컨대, 광 디스크, 자기 디스크, 및 하드 디스크(1207)나 분리 가능한 매체 드라이브(1208) 등의 광자기 디스크를 포함한다. 휘발성 매체는 동적 메모리 등의 주메모리(1204)를 포함한다. 전송 매체는 동축 케이블, 구리 와이어 및 버스(1202)를 구성하는 와이어를 포함한 광섬유를 포함한다. 전송 매체는 또한 라디오파와 적외선 데이터 통신 동안 발생된 것과 같은 음속 혹은 광파 형태를 취할 수 있다.
다양한 형태의 컴퓨터 판독 가능 매체는 실행용 프로세서(1203)에 하나 혹은 그 이상의 명령의 하나 이상의 시퀀스를 실행하는 데 포함될 수 있다. 예컨대, 상기 명령은 원격 컴퓨터의 자기 디스크 상에서 초기에 속행될 수 있다. 상기 원격 컴퓨터는 본 발명의 전부 혹은 일부를 실시하기 위한 명령어를 원격적으로 동적 메모리에 로딩하고 모뎀을 사용하여 그 명령어를 전화선으로 전송할 수 있다. 컴퓨터 시스템(1201)으로의 모뎀 로컬은 전화선 상에서 데이터를 수신할 수 있고, 데이터를 적외선 신호로 전환하기 위해 적외선 트랜스미터를 사용할 수 있다. 버스(1202)에 결합된 적외선 디텍터는 적외선 신호로 운반된 데이터를 수신하여 그 데 이터를 버스(1202)에 배치할 수 있다. 버스(1202)는 데이터를 주메모리(1204)로 운반하고, 프로세서(1203)는 그 주메모리로부터 명령어를 검색 및 실행한다. 주메모리(1204)에 의해 수신된 명령어들은 선택적으로 프로세서(1203)에 의해 실행되기 전후에 저장 장치(1207 혹은 1208)에 저장될 수 있다.
컴퓨터 시스템(1201)은 또한 버스(1202)에 결합된 통신 인터페이스(1213)를 포함한다. 통신 인터페이스(1213)는 예컨대, 근거리 통신망(LAN)(1215)이나 인터넷 등의 다른 통신망(1216)에 접속되는 네트워크 링크(1214)에 양방향 데이터 통신 연결을 제공한다. 예컨대, 통신 인터페이스(1213)는 임의의 패킷 교환 방식 LAN에 부착되는 네트워크 인터페이스 카드일 수 있다. 또 다른 예로서, 통신 인터페이스(1213)는 대응하는 타입의 통신 회선에 데이터 통신 연결을 제공하는 비대칭 디지털 가입자 회선(ADSL) 카드, 복합 서비스 디지털망(ISDN) 카드 혹은 모뎀일 수 있다. 무선 링크를 또한 사용할 수 있다. 이러한 무선 링크의 사용에서, 통신 인터페이스(1213)는 다양한 종류의 정보를 나타내는 디지털 데이터 스트림을 운반하는 전기, 전자기 혹은 광신호를 전송 및 수신한다.
네트워크 링크(1214)는 통상적으로 데이터 통신을 하나 또는 그 이상의 네트워크를 통해 다른 데이터 장치로 제공한다. 예컨대, 네트워크 링크(1214)는 로컬 네트워크(1215)(예컨대, LAN)를 통해 또는 통신 네트워크(1216)를 이용하여 통신을 제공하는 서비스 제공업자에 의해 작동된 장비를 통해 또 다른 컴퓨터로의 연결을 제공할 수 있다. 로컬 네트워크(1214)와 통신 네트워크(1216)는 예컨대 디지털 데이터 스트림을 운반하는 전기, 전자기, 혹은 광신호와, 관련 물리 층(예컨대, CAT 5 케이블, 동축 케이블, 광섬유 등)을 사용한다. 여러 네트워크를 통과한 신호와, 네트워크 링크(1214) 상에서 그리고 통신 인터페이스(1213)를 통과한 신호로 컴퓨터 시스템(1201)에 그리고 그로부터 디지털 데이터를 운반하는 신호는 아마 기저대역 신호이거나 또는 반송파 기저 신호이다. 기저대역 신호는 디지털 데이터 비트의 스트림으로 기술되는 비변조된 전기 펄스로서 디지털 데이터를 전송하며, 여기서 "비트(bit)" 라는 용어는 광의적으로 심벌을 의미하며, 각각의 심벌은 적어도 하나 혹은 그 이상의 정보 비트를 전달한다. 디지털 데이터는 또한 도전성 매체를 지나 전파되고 전파 매체를 통해 전자기파로서 전송되는 진폭, 상 및/또는 주파수 전환 키이 신호와 함께 반송파를 변조시키기 위해 사용될 수 있다. 따라서, 디지털 데이터는 "와이어드" 통신 채널을 비변조된 기저대역 데이터로서 전송될 수 있고 및/또는 반송파를 변조시킴으로써 기저대역과 상이한 예정된 주파수 대역 내에서 전송될 수 있다. 컴퓨터 시스템(1201)은 프로그램 코드를 포함한, 네트워크(들)(1215, 1216), 네트워크 링크(1214), 통신 인터페이스(1213)를 이용하여 데이터를 전송 및 수신할 수 있다. 더욱이, 네트워크 링크(1214)는 개인용 휴대정보 단말기(PDA), 랩탑 컴퓨터, 혹은 휴대폰 등의 모바일 장치(1217)에 LAN(1215)을 통한 연결을 제공할 수 있다.
이상에서 본 발명의 단지 몇몇 양호한 실시예들만을 설명하였지만, 본 발명의 신규한 교시 및 장점으로부터 현저하게 벗어나지 않고 예시적인 실시예들로부터 많은 변형이 가능하다는 것이 당업자들에게 용이하게 이해될 것이다. 따라서, 이러한 변형 모두가 본 발명의 영역에 포함되는 것을 의도한다.

Claims (49)

  1. 기판 상에 실리콘 함유 막을 증착시키는 방법으로서:
    프로세싱 시스템의 프로세스 챔버 내에 결정질의 기판을 제공하는 제공 단계와;
    상기 기판을 가열하는 가열 단계와;
    프로세스 가스를 기판에 노출시키는 노출 단계와;
    상기 프로세스 가스를 이용하여 상기 결정질의 기판 상에 실리콘 함유 에피택셜 막을 증착시키는 증착 단계를 포함하며,
    상기 프로세스 가스는 HCD 가스 또는 HCD 가스 및 도펀트 가스, H2, 게르마늄 함유 가스, 불활성 가스로 이루어지는 그룹 중 적어도 하나의 가스를 포함하는 것인 실리콘 함유 막 증착 방법.
  2. 제1항에 있어서, 상기 노출 단계는 불활성 가스를 기판에 노출시키는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  3. 제2항에 있어서, 상기 노출 단계는 상기 HCD 가스를 5 sccm 내지 1,000 sccm 범위에 속하는 유량으로, 불활성 가스를 5 sccm 내지 20,000 sccm 범위에 속하는 유량으로 유동시키는 유동 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
  4. 삭제
  5. 제1항에 있어서, 상기 노출 단계는 H2를 기판에 노출시키는 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
  6. 제1항에 있어서, 상기 노출 단계는 H2를 5 sccm 내지 5,000 sccm 범위에 속하는 유량으로 유동시키는 유동 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 제1항에 있어서, 상기 도펀트 가스는 인 함유 가스, 붕소 함유 가스 및 비소 함유 가스로 이루어지는 그룹으로부터 선택되는 것인 실리콘 함유 막 증착 방법.
  13. 제1항에 있어서, 상기 도펀트 가스는 PH3, B2H6, AsH3 로 이루어지는 그룹 중 적어도 하나를 포함하는 것인 실리콘 함유 막 증착 방법.
  14. 삭제
  15. 삭제
  16. 제1항에 있어서, 상기 노출 단계는 상기 기판에 게르마늄 함유 가스를 노출시키는 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
  17. 삭제
  18. 제1항에 있어서, 상기 게르마늄 함유 가스는 GeH4인 것인 실리콘 함유 막 증착 방법.
  19. 제1항에 있어서, 상기 노출 단계는 상기 기판에 H2와 게르마늄 함유 가스를 노출시키는 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
  20. 제1항에 있어서, 상기 노출 단계는 상기 기판에 H2 및 GeH4를 노출시키는 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
  21. 삭제
  22. 삭제
  23. 제1항에 있어서,
    상기 노출 단계는 상기 기판에 HCD 가스와 게르마늄 함유 가스를 포함하는 HCD 프로세스 가스를 노출시키는 단계를 포함하고,
    상기 증착 단계는 상기 기판 상에 SiGe 함유 에피택셜 막을 증착시키는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  24. 제23항에 있어서, 상기 증착 단계는, 실리콘 표면 상에, SiGe 함유 에피택셜 막을 마스크에 대하여 선택적으로 증착시키는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  25. 제23항에 있어서, 상기 증착 단계는 2 원자% 미만의 게르마늄 함량을 지닌 SiGe 함유 에피택셜 막을 증착시키는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  26. 제23항에 있어서, 상기 증착 단계는 2 원자% 초과의 게르마늄 함량을 지닌 SiGe 함유 에피택셜 막을 증착시키는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  27. 제1항에 있어서, 상기 가열 단계는 500℃ 내지 900℃ 사이로 기판을 가열하는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  28. 제1항에 있어서, 상기 가열 단계는 700℃ 내지 900℃ 사이로 기판을 가열하는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  29. 제1항에 있어서, 상기 가열 단계는 800℃의 온도로 기판을 가열하는 단계를 포함하고, 상기 증착 단계는, 기판의 실리콘 표면 상에, 실리콘 함유 에피택셜 막을 마스크에 대하여 선택적으로 증착시키는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  30. 제1항에 있어서, 상기 가열 단계는 700℃의 온도로 기판을 가열하는 단계를 포함하고, 상기 증착 단계는 기판 상에 실리콘 함유 에피택셜 막을 비선택적으로 증착시키는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  31. 제1항에 있어서, 100 Torr 미만의 프로세스 챔버 압력을 제공하는 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
  32. 제1항에 있어서, 1 Torr 미만의 프로세스 챔버 압력을 제공하는 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
  33. 제1항에 있어서, 0.3 Torr의 프로세스 챔버 압력을 제공하는 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
  34. 제1항에 있어서, 상기 기판에 프로세스 가스를 노출시키기 전에 상기 기판을 예비처리하는 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
  35. 제34항에 있어서, 상기 예비처리 단계는 500℃ 내지 1000℃ 사이의 기판 온도에서 기판에 H2 가스를 노출시키는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  36. 제34항에 있어서, 상기 예비처리 단계는 900℃의 기판 온도에서 기판에 H2 가스를 노출시키는 단계를 포함하는 것인 실리콘 함유 막 증착 방법.
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 기판 상에 실리콘 함유 막을 증착시키는 방법으로서:
    프로세싱 시스템의 프로세스 챔버 내에 기판을 제공하는 제공 단계와;
    상기 기판을 가열하는 가열 단계와;
    프로세스 가스를 기판에 노출시키는 노출 단계와;
    상기 프로세스 가스를 이용하여 상기 기판 상에 실리콘 함유 에피택셜 막을 증착시키는 증착 단계를 포함하며,
    상기 증착 단계는, 결정질의 Si 기판 상에, 에피택셜 Si 막을 마스크에 대하여 선택적으로 증착시키는 단계를 포함하고,
    상기 프로세스 가스는 HCD 가스 또는 HCD 가스 및 도펀트 가스, H2, 게르마늄 함유 가스, 불활성 가스로 이루어지는 그룹 중 적어도 하나의 가스를 포함하는 것인 실리콘 함유 막 증착 방법.
  48. 기판 상에 실리콘 함유 막을 증착시키는 방법으로서:
    프로세싱 시스템의 프로세스 챔버 내에 기판을 제공하는 제공 단계와;
    상기 기판을 가열하는 가열 단계와;
    프로세스 가스를 기판에 노출시키는 노출 단계와;
    상기 프로세스 가스를 이용하여 상기 기판 상에 실리콘 함유 에피택셜 막을 증착시키는 증착 단계를 포함하며,
    상기 증착 단계는, 결정질의 Si 기판 상에, 에피택셜 SiGe 막을 마스크에 대하여 선택적으로 증착시키는 단계를 포함하고,
    상기 프로세스 가스는 HCD 가스 및 게르마늄 함유 가스 또는 HCD 가스 및 게르마늄 함유 가스 및 도펀트 가스, H2, 불활성 가스로 이루어지는 그룹 중 적어도 하나의 가스를 포함하는 것인 실리콘 함유 막 증착 방법.
  49. 제1항에 있어서, 상기 프로세스 가스를 기판에 노출시키기 전에, 기판을 500℃에서 H2 로 예비처리하는 단계를 더 포함하는 것인 실리콘 함유 막 증착 방법.
KR1020067007460A 2003-09-30 2004-09-20 헥사클로로디실란으로부터 실리콘 함유 막의 증착 KR101127332B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/673,375 2003-09-30
US10/673,375 US7468311B2 (en) 2003-09-30 2003-09-30 Deposition of silicon-containing films from hexachlorodisilane
PCT/US2004/026744 WO2005036593A2 (en) 2003-09-30 2004-09-20 Deposition of silicon-containing films from hexachlorodisilane

Publications (2)

Publication Number Publication Date
KR20060093714A KR20060093714A (ko) 2006-08-25
KR101127332B1 true KR101127332B1 (ko) 2012-03-29

Family

ID=34376595

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067007460A KR101127332B1 (ko) 2003-09-30 2004-09-20 헥사클로로디실란으로부터 실리콘 함유 막의 증착

Country Status (7)

Country Link
US (1) US7468311B2 (ko)
EP (1) EP1668668A2 (ko)
JP (2) JP2007507885A (ko)
KR (1) KR101127332B1 (ko)
CN (1) CN101094732B (ko)
TW (1) TWI300238B (ko)
WO (1) WO2005036593A2 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6987055B2 (en) 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US20060021571A1 (en) * 2004-07-28 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Vacuum pump line with nickel-chromium heater layer
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7435665B2 (en) * 2004-10-06 2008-10-14 Okmetic Oyj CVD doped structures
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
WO2007013464A1 (ja) * 2005-07-29 2007-02-01 Hitachi Kokusai Electric Inc. 半導体装置の製造方法
JP4832022B2 (ja) * 2005-07-29 2011-12-07 株式会社日立国際電気 基板処理装置
US7358194B2 (en) * 2005-08-18 2008-04-15 Tokyo Electron Limited Sequential deposition process for forming Si-containing films
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
FR2900277B1 (fr) * 2006-04-19 2008-07-11 St Microelectronics Sa Procede de formation d'une portion monocristalline a base de silicium
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) * 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
KR101281863B1 (ko) * 2006-12-08 2013-07-08 도쿄엘렉트론가부시키가이샤 증착 시스템
US20080160786A1 (en) * 2006-12-27 2008-07-03 United Microelectronics Corp. Method for increasing film stress and method for forming high stress layer
DE102007010563A1 (de) * 2007-02-22 2008-08-28 IHP GmbH - Innovations for High Performance Microelectronics/Institut für innovative Mikroelektronik Selektives Wachstum von polykristallinem siliziumhaltigen Halbleitermaterial auf siliziumhaltiger Halbleiteroberfläche
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7816224B2 (en) * 2008-03-05 2010-10-19 International Business Machines Corporation Method for fabricating an ultra thin silicon on insulator
JP4635062B2 (ja) 2008-03-11 2011-02-16 株式会社東芝 半導体装置の製造方法
JP5023004B2 (ja) * 2008-06-30 2012-09-12 株式会社日立国際電気 基板処理方法及び基板処理装置
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
DE102009002129A1 (de) 2009-04-02 2010-10-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Hartstoffbeschichtete Körper und Verfahren zur Herstellung hartstoffbeschichteter Körper
US8206794B2 (en) * 2009-05-04 2012-06-26 The Boeing Company System and method for applying abrasion-resistant coatings
KR101725765B1 (ko) * 2009-09-18 2017-04-12 주성엔지니어링(주) 산화막 증착 방법 및 이를 이용한 비아 콘택 형성 방법
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP2012019095A (ja) * 2010-07-08 2012-01-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
CN102456731A (zh) * 2010-10-18 2012-05-16 联华电子股份有限公司 半导体结构及其制造方法
KR101789592B1 (ko) 2010-11-08 2017-10-25 삼성전자주식회사 반도체 소자의 제조 방법
JP5847566B2 (ja) 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
FI124354B (fi) 2011-04-04 2014-07-15 Okmetic Oyj Menetelmä yhden tai useamman polykiteisen piikerroksen pinnoittamiseksi substraatille
CN102534561A (zh) * 2011-12-23 2012-07-04 嘉兴科民电子设备技术有限公司 一种工业原子层沉积腔室结构
DE102012108250A1 (de) 2012-09-05 2014-03-06 Spawnt Private S.À.R.L. Verfahren zur Abscheidung von Siliciumschichten
FR2995913B1 (fr) * 2012-09-24 2014-10-10 Commissariat Energie Atomique Procede de formation d'une couche de silicium epitaxiee.
JP2014093345A (ja) * 2012-11-01 2014-05-19 Japan Advanced Institute Of Science & Technology Hokuriku 複数の基板上へシリコン膜を一括して形成する方法
TWI541864B (zh) * 2012-12-06 2016-07-11 世創電子材料公司 磊晶晶圓及其製造方法
JP6349234B2 (ja) * 2014-02-19 2018-06-27 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
CN105047526A (zh) * 2014-04-21 2015-11-11 应用材料公司 沉积中卤素分子用作反应剂增强外延膜中掺杂剂结合的方法
KR20210035449A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179066A (ja) * 2001-12-12 2003-06-27 Sony Corp 半導体装置とその製造方法
JP2003197939A (ja) * 2001-12-25 2003-07-11 Mitsubishi Heavy Ind Ltd 太陽電池用シリコン膜、シリコン太陽電池及びその製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62229930A (ja) 1986-03-31 1987-10-08 Toshiba Corp エピタキシヤル成長法
JPH0717796A (ja) * 1993-06-30 1995-01-20 Mitsubishi Materials Corp 単結晶シリコン膜の製造方法
US5766342A (en) 1994-10-19 1998-06-16 Matsushita Electric Industrial Co., Ltd. Method for forming silicon film and silicon film forming apparatus
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100295062B1 (ko) * 1999-08-17 2001-07-12 윤종용 게이트 산화막의 손상을 회복시키는 반도체장치의 게이트 제조방법
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
JP2002025972A (ja) * 2000-07-04 2002-01-25 Asahi Kasei Microsystems Kk 半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2002343961A (ja) * 2001-05-15 2002-11-29 Sony Corp 半導体装置の製造方法
KR100455724B1 (ko) * 2001-10-08 2004-11-12 주식회사 하이닉스반도체 반도체소자의 플러그 형성방법
JP3952735B2 (ja) * 2001-10-25 2007-08-01 ソニー株式会社 半導体装置の製造方法
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6884464B2 (en) * 2002-11-04 2005-04-26 Applied Materials, Inc. Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179066A (ja) * 2001-12-12 2003-06-27 Sony Corp 半導体装置とその製造方法
JP2003197939A (ja) * 2001-12-25 2003-07-11 Mitsubishi Heavy Ind Ltd 太陽電池用シリコン膜、シリコン太陽電池及びその製造方法

Also Published As

Publication number Publication date
CN101094732A (zh) 2007-12-26
US20050066892A1 (en) 2005-03-31
JP2011082557A (ja) 2011-04-21
US7468311B2 (en) 2008-12-23
TWI300238B (en) 2008-08-21
CN101094732B (zh) 2011-05-04
EP1668668A2 (en) 2006-06-14
JP2007507885A (ja) 2007-03-29
TW200520043A (en) 2005-06-16
KR20060093714A (ko) 2006-08-25
WO2005036593A2 (en) 2005-04-21
WO2005036593A3 (en) 2006-11-09

Similar Documents

Publication Publication Date Title
KR101127332B1 (ko) 헥사클로로디실란으로부터 실리콘 함유 막의 증착
US7205187B2 (en) Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
JP5986066B2 (ja) シリコン及びシリコン含有膜の原子層堆積
US7358194B2 (en) Sequential deposition process for forming Si-containing films
JP5484729B2 (ja) Si含有膜の選択的堆積のための断続的堆積処理方法
US7524769B2 (en) Method and system for removing an oxide from a substrate
WO2004082003A2 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
WO2005104207A1 (en) Method for extending time between chamber cleaning processes
US6541355B2 (en) Method of selective epitaxial growth for semiconductor devices
US20120201959A1 (en) In-Situ Hydroxylation System
JP4979578B2 (ja) 単一ウエハチャンバを用いたナノ結晶シリコンの堆積
US11244824B2 (en) Conformal doped amorphous silicon as nucleation layer for metal deposition
WO2005096352A2 (en) Silicon-germanium thin layer semiconductor structure with variable silicon-germanium composition and method of fabrication
US20130087099A1 (en) In-Situ Hydroxylation Apparatus
KR20070037503A (ko) 실리콘 및 게르마늄을 포함하는 층들의 증착 방법
GB2326648A (en) Growth of polycrystalline silicon film by raising temperature during deposition
KR20210083191A (ko) 막 형성 방법 및 막 형성 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160219

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee