TWI300238B - Deposition of silicon-containing films from hexachlorodisilane - Google Patents

Deposition of silicon-containing films from hexachlorodisilane Download PDF

Info

Publication number
TWI300238B
TWI300238B TW093129410A TW93129410A TWI300238B TW I300238 B TWI300238 B TW I300238B TW 093129410 A TW093129410 A TW 093129410A TW 93129410 A TW93129410 A TW 93129410A TW I300238 B TWI300238 B TW I300238B
Authority
TW
Taiwan
Prior art keywords
substrate
film
gas
depositing
exposing
Prior art date
Application number
TW093129410A
Other languages
English (en)
Other versions
TW200520043A (en
Inventor
Anthony Dip
Seungho Oh
Allen J Leith
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200520043A publication Critical patent/TW200520043A/zh
Application granted granted Critical
Publication of TWI300238B publication Critical patent/TWI300238B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

1300238 九、發明說明: 【發明所屬之技術領域】 處理ΐί Γίϋίί ^處理,尤其是關於使用六_石夕烧⑽: ,,軋體來沈積含矽溥膜於基板上之處理及處理工呈。 【先前技術】 〃 多曰膜” ί!於半導體業之各種應用。含石夕薄膜包含 ° Γ V ' ^(SlGe) ' ^W(SlGe〇 > 亡j (SiG)以及氮切⑽)。由於f路尺寸縮至前所未見之 2娃例如袖由於引人新材質到半導妓件以及於源 2 t 應的降低,故以較低之沈積溫度為較 性、;^ ί來的元件將會需要含㈣膜的非選擇 對=f王面式沈積)及選擇性沈積。舉例來說,半導體的製造 沈積了以疋一處理流程的弟一步驟,其中 ,含有與卿不同摻雜程度之新含石夕層透:: 、、、買之發揮適當功能元件的製造而言’匹戶 及電阻係數錄是非常重要的。 (目彳—日姚之尽度 含蟲轉薄膜之選擇性沈積的用途之_例,即是以 2汲極來製造含魏缘層⑽)树。在SQI元件製造過出程中"、, 整個位於源極和汲極區的矽薄膜,因此在這此區 取侍。含矽溥膜之選擇性磊晶沈積可減少 t 低整體成本錢觀 ,ΐ用傳統之挪咖)以及二氣石夕 ,舰ί件找的衣程中。此外,該傳統之赠以及二氯魏來源 二體處理對於不同的基板材質具有有限之沈積選擇性。因此,本 务明之發明人體認到在沈積含㈣膜於基板上時,需有 1300238 的溫度來沈積的改良方法。 薄膜於-半導體提供在—處理系統之—處理室内沈積含石夕 其他”技術“ 而可降低或解決上述及/或 含石夕薄膜與半導體應^的健供—具成本效益之機構,用以整合 基板上的方=^ ^ /目或其他目的均可藉由沈積—含石夕薄膜於一 ίϊΐΐΐ ΓΓ貫現。本方法包含提供一處理系統之一處理室 該處理;,並薄魏⑽)處理氣體至 二 及—控制器’用以控制該處理工具。 提供景處的說明’使用傳統之頻氣體並無法 僅如、1 之低溫沈積或是提供簡膜生長之足夠選擇性。不 為在车、匕i彻氣體的使用仍有許多未能研究之處,可能是因 體業應用新來源氣體的困難以及在—批次型式處理 2同;日圓,置處難以提供相同之處理結果的問題。因此,本發 人含; 兔現|〇處理氣體之低麗暴露可提供一處理系統中含石夕薄膜之 1300238 低溫沈積於一基板的可行機構。 薄膜總體ϊΐ二Ϊ板上之低壓矽沈積可引起-單晶(磊晶)矽 ίί二^ 或—非㈣義等之戦。在本發明之- 薄^苴中今社、曰。^曰^基板上之石夕蟲晶沈積可用來形成一單晶石夕 石tiiii: 板乃用來#作該單晶生長之「種子」。-含 1:二具=來自其下方之Si晶圓之不同組成及電子特性 付以讀之特求。—含蟲抑_可_ 2 5繼處理氣體而雜摻。摻雜氣體舉例可I括含 ("]"ΝΗ0 處理氣體中均可因製程料美^;^上述任—摻雜氣體至該hcd 素氣體至該HCD處理氣體中可/由、F2以及HC1等含i 石夕原子來改善石夕表面 猎 及去除沈積在非石夕表面之 到良好選擇氣體,處理氣體而得 於約2原子百分比錯二ΓΛ可包含一低濃度之鍺,例如低 錯,例如約50原子百^匕職可包含一高於2原子百分比 使用,處理氣體之含石/薄二匕屬的表面上, 之含多晶矽薄膜,或是—含 ' 夕一厂有微小矽顆粒 顆粒糊一 來沈積-切薄膜於f人發現了使用—H⑶處理氣體 使職於存以或==二= 1300238 含=薄膜之高沈積速率。雖然上述之實驗均在 對精施行’本發明並不僅限於此等處理系統, 轉二厂者而5 ’本發明也可在—單晶圓處理系統中實施。 以切薄膜係利用—ro處理氣體在—處理系統中 供於二理:ί積於—基板上。在該處財,—基板係提 且該處理室i、I卢係使用-真空麵來降低, 宮厭,里度及反力均已穩疋。接著,該處理室溫度及處理 行ί理-in整至f要的值。#制該處理溫麟,該基板便進 g士?又t間而形成所需含㈣膜於該基板上。在該處理完畢 二便會進行排空並注人—惰性氣體,而該反便從該 人蔣曰。此外,在沈積一含矽薄膜前之基板的預處理可包 =:了 土板暴露於一乾淨氣體中,例如一札氣體於基板溫度9〇〇 C,以便去除該基板之污染以及氧化層。 > 一:^明苓照圖示,圖1A顯示一簡略方塊圖,說明根據本發明 「貫施例中,沈積一含矽薄膜至一基板的批次型式處理系統 :。批次型式處理系統副包含一處王里室1〇2,一氣體注入系統 ,加熱态122,一真空泵系統ι〇β,一處理監控系統丨〇8,以 控制H124。多基板110可被載人至處理㈣2中,並利用基板 支,座112來進行處理。此外,處理室1〇2包含一外部區114以及一 内部區116。在本發明之一實施例中,内部區116可以是一處理管。 氣體注入系統104可引入氣體至處理室1〇2中以清洗處理室 102,且準備、清潔及處理基板110。氣體注入系統104可包含例如 含有一汽化HCD液體之汽化器之液體輸送系統(y)S)。汽化液體 可在載體氣體的幫助之下流入處理室1〇2中。或者,該氣體注入系 統1包含一發泡系統,其中一載體氣體可透過含有該肊!)*驅物質 之貯存槽而發泡。複數個氣體供給管線可使氣體流入處理室1〇2 中。該等氣體可引入由内部區116界定之空間118中而暴露於基板 8 1300238 之 =0。之後,該等氣體便可流入由内部區116及外部區114所界定 空間120中,再以真空泵系統1〇6將其自處理室中排空。 基板110可被載入處理室102中而利用基板支座112來進行處 理。^次,式處理系統可處理一大量緊密堆疊之基板,藉 =而知到咼基板產出。一基板批次尺寸可到例如約1〇 〇基板(晶圓) ,更少。或者,該批次尺寸也可到約25個基板,或更少。處理室 102可處理任何尺寸之基板,例如期_基板、獅_基板或甚至更 大尺寸基板。基板11G可包含例如半導縣板(例如々或化合物基 板)、LCDf板以及玻璃基板等。除了乾淨的基板之外,亦可使用 ^薄膜於其上之基板,該多重薄膜包括但不限於石夕薄膜、 至屬薄膜、氧化薄膜、氮化薄膜以及氧氮薄膜等。 批次型式處理系統100可經由控制器丨24來操控,控制哭丨24 產生足以溝通及啟動批次型式處理系統副之輸人的控制電壓,且 可監控批次型式處理系統100之輸出。 孰=,控制器124可_合至處理㈣2、氣體注人纽10心加 二i、處,系統108以及真空泵系統106並與其交換資訊。 制器124記憶體中之程式可根據—儲存之處理 WORKSTATOn'bI^ DeH C〇rP〇ratl〇n ^DELL PRECISI^ 理監二统108來實施。總體說來,處 “Γ或1德☆疋厂個f功能監控系統,且可包含例如一質譜儀 供該處茶紅i卜線轉換儀(ftir)。處理監控系統108可提 婁文‘人-之該氣體化學物種之質量分析。可監控之處理參 等失氣體壓力、氣體物種的比例以及氣體純度。此 數可狀_理結果以及該沈積含補膜之各種物理特性相 積塊圖’說明根據本發明之—實施例中,沈 3夕翁至一基板之另一批次型式處理系統。批次型式處理 1300238 室1〇以及一具有上端連接至一排氣管80而下端 管2之一蓋27的處理管25。排氣管_由處理管25中 一真空泵系統88以維持處理系統1之-預定氣壓或低 藤此,堊力。—㈣支承複數個絲“日日®) 4Q之基板支座35以 ^,(以垂直間_各別水平平面)的方式位於處理管烈中。 基^座35係位於-轉上,轉龍職設於—?過蓋27且由 所驅動之旋轉軸21上。轉盤26在處理中可以旋轉以提升 二肢_之-致性’或者,轉盤26可在處辦储杨。蓋⑺系 衣設於-22升降器上以便將基板支座35 27錄其最高的位斜,奶便可關歧f2之;;端以^ 複數個氣體供給管線可圍繞著歧管2以便透 體至處理管25。在_中,僅顯示出 ,體^、.、δ s、、泉其中之-氣體供給管線45。氣體供給管線45係連接 ^-乳體注人織94。-®柱熱反射器3Q_以覆 管 ,反尸3G具有-鏡面加工之内表面以抑制“ ,加熱繩、上加熱器15以及排絲加熱·之㈣㈣H 部水通路(未顯示)係形成於處理室10之壁上當作冷卻媒 真空泵系統88包含-真空泵86、一收隼哭84以及白斤士 控制器(ΑΡΜ。真空可包含例如—/有^f自=力 2〇,刪公升(及更大)之乾真空栗。在處理時度可H =入糸統94而引人處理錢巾,而該處理動則來& 整。,集器84可收集來自處理錢之未反應先驅物質及副H周 處理&控系統92包含-可即時處理監控之感 J例如-MS或FTIR光譜儀。—控制腿包含—微處;;器之^ 體以及-數位I/O埠,該數位1/()埠可產生足以 : 統1之輸入的控制電壓’且可監控處理細 :處3
Srts^Sir' ^28'' - JO、15、65及伽及真空泵系謂並可與其交換魏。如^ 1300238 之控制态124,控制态90也可裝設成一DELL pRECISI〇N WORKSTATION 610™。 圖2顯示-簡略方塊圖,說明根據本發明之一實施例中之 理工具。處理工具2〇〇包含處理系統22〇及23〇、一用以在處理工呈 200中運送基板^ (機械式)運送系統別,以及一用以控制處理、 j20G之控繼240。在本發日狀另—實關巾,處理工具2〇〇可 ,單—處理系統’或者’可包含兩個以上之處理系統 ^處理系統22G及230可實施例如至少—個下述之處理:(a) = ,理-基板’(b)沈積-含石續膜於—基板上,以及㈦決定^ 基板以及-沈積於-基板上之切薄膜兩者至少其中之一 在⑷中’ 一預處理可用來例如去除該基板表面上之污毕以 f/或氧化薄膜(例如-原始氧化薄膜或一化學氧化薄膜)。二 ^面上之污染物或氧化薄_出現可抑制—適#之雜子 生’ 0¾影響蠢之沈積。在—例中,—預處理可包^ 在基板溫度介於約5GG°C到約1_。〔之間,例如9_時 板^祕氣體中。在(c)中,—薄膜特性可包含例如 基 膜之厚度歧掺雜度。在本酬之—實施例巾,域理= (c)中的每一個均可在不同的處理系統中實施。在本發明之 =施例中’該處理⑷〜⑷中至少兩個可在同—處$ 巧。在本發明之-實施例中’至少其中之—處理系統可包= 批-人3L式處理系統或ϋ圓處理系統。在本發明之另— 例中’、至少其中之-處理系統可包含—熱處理系統、―電聚= 系統或一原子層沈積系統。 里 如同圖1Α及1Β所示之控制器,控制器2 PREC^ON WCMSTAT腿⑽'此外,圖1Α〜丨級2所^虹 控制為均可设成-綜合用途之電腦系統,如同圖8所述。 ^顯示-流織,制根據本發明之—實施例之沈積一 涛膜至-基板。在3QG中開始啟動該處理。在3Q2中,係 板於-處理系統之-處理室内。該處理系統可以是圖丨刷帥& 1300238 M昊J二f3Q4中’係加熱絲板,在3°6時,-HCD處理氣 艾if二於祕板。在本發明之一實施例中,該HCD處理氣歸ί 3 HCD乳體以及選擇性地,—種惰性氣體 二 2膜:該惰性氣體可從例如He、Ne 士、Kr、 與該基板或處理室環境起任何化學反應之氣體。對ίΐ D ’該惰性鐘可时#作—載體,或是用來稀 =CD氣體讀降___境而細嫩表稀 二弟^硬氣體。該含氳氣體可包含例如H2。吾及 is:H sHa 〇 PHO、含彻(例通)、:以=:,氣體(例如 〇,!;BtoBci3) t i ίΖΖ tF2 ;HCl2^HC1 f r及-自例如一 可更包t ’ ΐ3之流程圖所插述之該沈積處理, 如實質導一先預處理該基板。該預處理可例 =成:二之適當形成,進而防止該沈積表; 含在將一石夕基板暴露於二中可包 廳可包含一處理室壓力低於約 w亥處理£力亦可低於約ΙΤοπ*,例如約0. 4Τ。!·!·。 12 1300238 她㈣__Gt:,較佳是 處理室壓力可在k 4Torm§亥基^度可在削赃而該 氣體之分解而沈積在該基板_^。财—W賴個該HCD處理 統之用實 面£域上硯察到,但在含例如氧(例如表 -SiN層)之其他表面區域平^罩)或亂(例如 人便推斷出,使用一ΗΓη卢裡1有减斤、到任何矽沈積。該發明 可得到更<乳肢比起使⑽統之DCS處理氣體, ^ ’因而導致含㈣膜之以沈積更具選 貝有相當程度之不同時㈣成。在實施上,上;石另』Ϊ: =句ί ’且其ΐ核時嶋不同材質也夠大的話,:含ίί 的沈“門;ί核時間之材質(例如氧化物或氮化物) 要,生長在具有較短孕核時間之材質(例如上。結 # M i t之含蟲晶㈣膜便可利則⑶處理氣體而在該基板之i 他£域之沈積開始之前先生長在乾淨之石夕基板上。 反之- 沈積圖根據本發明之一實施例中’選擇性 ^ 夕基板上。在侧中,開始了該項處理。 f 402中,係提供—基板於—處理系統之—處理室内。或者,节 以是一單—晶圓處理系統。在姻中,係加熱該基板' 將該HCD處理氣體暴露於該基板係引起-含膜ΐ ίί 縣面上。當—具有所需厚度之含 遠擇性地沈積時,該處理便結束於410。 圖^示根據本發明之一實施例之一微結構。微結構5〇〇為用 於叙件製造時之-示範結構’且包含一石夕基板510以及一具有開 13 1300238 口530暴露一_石夕表面540之上覆光刻圖案氧化遮罩520。 ^圖5Β顯示一示意圖,說明根據本發明之一實施例中,具有一 祕性,蟲晶料膜之微結構。⑪細55G細約每分鐘7埃之 =積速率而外延性形成於微結構5〇〇之外顯矽表面54〇上,但在圖 二化光罩520上並無觀察到任何沈積。該沈積處理係如同圖呈 rSc的方/來實施。該沈積處理係使用一HCD氣體,且基板溫 二為=oc,處理室壓力為0·4Τ〇ΙΤ而在一批次型式處理系統之一 处理f内進行。微結構50〇在Si沈積前已在沁氣壓下且溫度9〇(rc時 預作處理。該外露石夕區域上之蟲晶石夕薄膜的選擇性沈積利 ,热4及項技蟄者熟知的方法來實施該氧化光罩之後續移除,以 =基板410上开》成一凸起之磊晶矽薄膜5印。總體說來,圖案化 $=〇中\包-含—氧化光罩(例如SlQ0以及—氮化光罩(例如Sl、3N〇 圖6顯不一流程圖,說明根據本發明之另一實施例中,非 ,沈積了韻膜於一基板上。在_中,開始啟動該處理。在6。2 μΪ提基板於一處理系統之一處理室内。在604中,係加熱 ^ 土 肋日$,一HCD處理氣體係暴露於該處理室中之該基板。 ^用=圖6顯示之-々層之麵擇性沈積的該處理條件可包含一 她於選雜沈n㈣騎使狀基板溫度 iti i恭露於職板之該hcd氣體則引起一含石夕薄膜之 所f之㈣臈厚度沈積完狀後,該處理便結 μ圖mi據本發明之—實施例之—微結構。微結構_用 二二牛/二日i之一不乾結構’且包含^夕基板710以及一具有開 關顯示-示意圖’說明根據本發明之—實施射,呈 結Γ石_750已非選擇性沈積(全面式沈 積祕先罩720以及料面740之上。卿細已經以相當一 致之厚度沈積於魏紐上,不論姆f為何。該沈積處理 14 1300238 係如圖6之流程圖所描述般的進行。該沈積處理係使用一^^⑶氣 體,且基板溫度為700°C,處理室壓力為〇.4Torr而在一批次型式 處理系統之一處理室内進行。 “士直接貫驗以及/或實驗設計(D〇E)可決定促成一具有所需薄 膜4寸性之含石夕薄膜之形成的合適處理條件。可調整之處理參數可 包含基板溫度、處理壓力、處理氣體之型態以及相關氣體流 專專。如上所述,該HCD處理氣體可例如包含腳氣體以及選擇性 f-惰性氣體和至少—含氫氣體以及—第二含石夕氣體。該hcd氣體 =動速率可例如介於約5sccm以及約UOkccm之間,該惰性氣體 流動速率可例如介於約以㈣以及約2〇,〇〇〇sccm之間,而該含氮^ 體流,速率可例如介於約5sccm以及約5,〇〇〇sccm之間,而該第二 含矽氣體流動速率則可例如介於約1〇sccm以及約丨,〇〇〇sccm之間。 圖8顯Ί驗實施本發明之—實施例之—電腦。電腦系統 ΟΙ^Γ用來當作圖ΙΑ、1B或2之該控制器或是其他可用來與這些圖 之該等系統一起使用以達成任何或所有上述哭。 ,系統_包含-匯流排丨搬或其他用以溝通資訊之溝= 構,以及一叙合於處理資訊匯流排1202之處理器·。該電腦系 、,12〇1’2包含-主記憶體聰,例如一隨機存取記憶體(膽)或 j動態儲存元件(例如動魏M (麵)、靜細M (SRAM)、同 =S腦Μ)) ’主§己憶體1204係_合至匯流排1202以儲存處理 f i2施之資訊及指令。此外,主記憶體12G4可在處理器1203 i ,來儲存暫時之變數或其他中間資訊。電腦系統l2〇i Ϊ包含一唯讀記憶體⑽)或其他靜態儲存元件(例如可程 L (PRQM) '可抹隨⑽(EPRQM)、電子可抹除PRQM (EEPR0M)) 而搞曰至匯流排1202以儲存處理器·之靜態資訊及指令。 二包含-輕合至匯流排之磁碟控制器1206 固儲存資訊及指令之儲存元件,例如-磁性硬 ΪΓ哭移動媒體驅動器_ (例如軟碟機、唯讀光碟 驅動抑、,胃/寫先翻動H、光碟記轉、錄音帶鶴扣及可移 1300238 動磁光驅動器)。該儲存元件可利用一適當之元 面(IDE)、強· (_)、直接記憶 存取(DMA)、或起DMA)而加入電腦系統Go〗中。 電腦系統1201亦可包含⑽目叙邏輯元件 ,體電路⑽⑽、可組態之邏輯元件(例如簡單之可程式;;邏 輯兀件(SPLDs)、複雜之可程式化邏輯元件(cpLDs)、以及現場 列(FPGAs))。該電腦系統可更包含—個或多個數位訊 唬處理(DSPs) ’例如來自德州儀器之晶片·32〇系列,來自
Motorola之晶月 DSP56000、DSP56100、DSP56300、DSP56600以及
DSP96G_列’來自朗訊科技之簡_以及鹏2_列或是來 自Analog Devices的ADSP2100以及ADSP21000系列。其他特別設計 之處理類比訊號之處理ϋ*可將其轉換紐位領域者亦可使用。 電腦系統1201可包含-麵合於匯流排㈣之一顯示控制器 1209以控糊如陰姉線管(GRT)之祕顯示:纽給電腦使用者 之一顯示器1210。該電腦系統包含輸入裝置,例如一鍵盤1211以 及一指向裝置1212,以便該電腦使用者互動並提供資訊至處理哭 1203。指向裝置1212可例如是-滑鼠、執跡球或―指向棒以溝通 方向資訊及命令選擇至處理!112_及控綱㈣上之游桿 移動。此外,一印表機可提供儲存於及/或產生自電腦系統12〇1 資料的印製列表。 一回應於處理器1203實施儲存於一例如主記憶體12〇4之一記憶 體中之一或多個指令中之一或多個序列,電腦系統12〇1便實施本 發明之一部份或全部之處理步驟。此等指令可自例如一硬碟12耵 或一可移動媒體驅動器12〇8之另一電腦可讀媒體而讀入主記憶體 1204 =。在一多處理配置中之一或多個處理器可用來實施儲存於 主記憶體1204中之指令序列。在一選擇性之實施例中,硬佈線電 路T用末取代或與軟體指令組合使用。如此,實施例便不僅限於 任何硬體電路及軟體之特定組合。 如上所述,電腦系統1201包含至少一電腦可讀媒體或記憶體 16 1300238 表格、之程式化指令’並且保存資料結構、 碟、硬碟、軟碑、料。電腦可讀媒體的例子包含光 或其他任何光學=或其他磁性媒體、光碟(例如mm) 理性媒體、2孔?片、紙帶或其他具有孔狀圖案之物 對於儲ί其他電腦可讀取之媒體。 腦系統1201可盥一人窄传用二,本表月之一或夕個元件以及使電 種軟體可包含桃驅:用二=列二弋互動之軟體。此 等,但不僅限於此。此等腦讀媒應用軟體等 式產品,1用以資你太旅RS 了項媒體更包έ本發明之該電腦程 分散式)工作。、該處理的所有或一部份(若處理為 包含譯碼或可執行碼機構, 以及完全可執行;式部式ϊ (_、纖類 以獲取較高成效、可靠度以及/或較低成χ本之处理可知分散方式 體磁 動器應。可變媒體包可移動式媒體驅 傳輸媒體村採崎波i光之線路。 紅外線溝财所產生者? w式’例如那些在無線電波以及 多個 腦之-磁碟中。該遠端電腦可在5端^載於-遠端電 部之指令載入-動態記憶體中並⑽ 1300238 電話線發出。-靠近於電腦系統之數據機可透過賴線而接 收到貢料’亚使用-紅外線傳輸器將資料轉換成—紅外線訊號。 一輛ί至匯流排120—2之紅外線偵測器便可接收載於紅外線訊號内 之δ亥資料’並將§亥資料存放於匯流排12〇2上。匯流排12〇2便傳送 該資料士主記憶體1204,處理器1203便可由此主記憶體麗存取 貧料亚^施該等指令。主記憶體12〇4所接收之該指令可選擇性地 在處理盗1203執行前後儲存於儲存裝置coy或cog中。 >電腦系統1201亦包含-麵合於匯流排㈣之通信介面⑵^ 通信介面1213提供-_合於—網路鏈1214之雙向㈣溝通,網路 键12⑷系連接至例如-區域網路⑽)1215或其他例如網際網路 之通㈣路1216。例如,通信介面1213可以是—附屬於任何分封 交巧LAN之網路介面卡。在另一例子中,通信介面1213可以是一非 對稱數位用戶線(ADSL)卡、整體服務數位網路⑽N)卡或一 數據機以提供-資料通錢接至—對細態冓艮 亦可實施。在上,何實施中,通信介面㈣均係發^接= 運載代表不同型H訊之數位資概之電子、電磁或光學訊號。 網路鏈1214通常透過一或多個網路提供資料通訊至其他資料 I置中。例如’網路鏈1214可透過—區域網路㈣(例如一LM) 或透過利用-通信網路1216而提供通信服 =肴,而提供-連接至另-電腦。區域網糊4以及通= ϋϊ用例如載有數位資料流之電子、電磁或光學訊號以及相 ,物理層。(例如CAT 5 、同軸、光纖等等)。透過各翻 之该喊以及網路鏈1214上之該訊號以及透過通信介面1213之 這些可運載該數位龍進出電齡統者,均可建置 ^帶訊號或以載波為細之訊號。該隸帶訊號以描述該數位 二,位几叙未觀之電子脈衝的形式來傳賴數位資料,其令 二一位元」係廣泛解釋為符號’其中每-符號均至少傳送-或多 °該數位f料可以例如使用可透過傳導性介質來傳播 或像電磁波之透過一傳播媒介來傳送之幅移鍵控、相移鍵控及/或 1300238 控訊號,來調變—載波。如此,該數位資料便可以如同未 地透過—有線通信管道傳送’以及/或在與基= 預疋頻見内’藉由調變一載波而傳送出。電腦系統1201可 收ίί路^及!·216、網路鍵1214以及通信介面1213來傳送並接 21 此外’網路鏈1214可透過—LAN1215而提Ϊ 動式裝置=個人數位助理⑽)、膝上型電腦或行動電話之移 ,然前面僅詳細描述本發明之特定實施例,對於熟習本技蓺 t在=顯著,_本發明之新穎性教導以及優點之情形下^ ===改均屬可行。因此’所有此一 【圖式簡單說明】 =羅頁示-簡略方塊圖’說明根據本發明之 例 積—含矽薄膜至一基板的批次型式處理系统. 况 積-Si ’說明根據本發明之—實施例中,沈 夕/專一至一基板之另一批次型式處理系统· 理工Ϊ2顯示—簡略方塊圖,說明根據本發明之」實施例中之一處 薄膜Ϊ3顯基ΐ流程圖’說明根據本發明之—實關之沈積—含石夕 積-以1 日程圖,說雜據本發明之—實施例中,選擇性沈 、3 ;ε^曰石夕薄膜至一石夕基板上; =!頁示—示意圖,說明根據本發明之-實施例之-微結構. 選擇SS茲:之據本發明之—實施例中’具有」 性沈物H侧中,非選擇 示—示意圖,說明根據本發明之—實施例之一微結構. 圖颂不一示意圖,·根齡發明之一實施例中,具有」 19 1300238 非選擇性沈積矽之微結構;以及 圖8顯示一可用於實施本發明之综合用途電腦。 【主要元件符號說明】 1 處理系統 10 處理室 100 批次型式處理系統 102 處理室 104 氣體注入糸統 106 真空泉糸統 108 處理監控系統 110 基板 112 基板支撐座 114 外部區 116 内部區 118 空間 120 空間 1201 電腦糸統 1202 匯流排 1203 處理器 1204 主記憶體 1205 唯讀記憶體(ROM) 1206 磁碟控制器 1207 硬碟 1208 媒體驅動器 1209 顯示控制器 1210 顯示器 1211 鍵盤 1212 指向裝置 1213 通信介面
20 網路鏈 網路 通信網路 移動式裝置 加熱器 控制器 上加熱器 歧管 加熱器 處理工具 旋轉轴 運送系統 處理系統 處理系統 控制器 處理管 轉盤 蓋 馬達 熱反射器 基板支座 基板(晶圓) 氣體供給管線 微結構 矽基板 遮罩 開口 石夕表面 矽薄膜 21 底加熱器 排氣管加熱器 碎基板 遮罩 開口 石夕表面 感測器 石夕薄膜 排氣管 自動壓力控制器(APC) 收集器 真空泵 真空泵系統 控制器 處理監控系統 氣體注入糸統 22

Claims (1)

1300238附件二:第93129410號專利申請案中文申請專利範圍修正本(無劃線) 96年11月· ί9_.日修訂 ^ l t"T .. 1 . 十、申請專利範圍·· 1· 一種沈積一含矽薄膜於一基板的方法,包含: a)·於一處理系統之一處理室内設置一基板; ) ·加熱該基板; C)·暴露一 HCD處理氣體至該基板; d) ·利用該HCD處理氣體,沈積一含蠢晶石夕薄 ‘ 膜於該基板上;以及 e) ·在暴露一 HCD處理氣體至該基板之前先預 處理該基板’該預處理步驟包含在基板溫度介於約 5〇〇°C到約1〇00艽之間時將h2氣體暴露於該矽基 板。 2 ·如申請專利範圍第1項之沈積一含石夕薄膜於一 基板的方法,其中該暴露HCD處理氣體之步驟包 含暴露一惰性氣體至該基板。 3 ·如申請專利範圍第2項之沈積一含矽薄膜於一 基板的方法,其中該暴露HCD處理氣體之步驟更 包含將該HCD氣體以介於約5sccm至約l,〇〇〇sccm 之間之流動速率流入,且將該惰性氣體以介於約 5sccm至約20,〇〇〇sccm之間的流動速率流動。 4·如申請專利範圍第1項之沈積一含矽薄膜於一 基板的方法,其中該暴露HCD處理氣體之步驟更 包含暴露一含氫氣體至該基板。 5♦如申請專利範圍第1項之沈積一含矽薄膜於一 • 基板的方法,其中該暴露HCD處理氣體之步驟更 - 包含暴露Η:至該基板。 6·如申請專利範圍第1項之沈積一含矽薄膜於一 基板的方法,其中該暴露HCD處理氣體之步驟更 包含使一含氫氣體以介於約5sccm至約5,000sccm 之間的流動速率流動。 23 1300238 ^如申凊專利範圍第1項之沈積一含矽薄膜於一 的方法,其中該暴露HCD處理氣體之步驟更 已§將一第二含矽氣體暴露至該基板。 8 ·如申清專利範圍第1項之沈積一含矽薄膜於一 基板的方法,其中該暴露]^1(::1)處理氣體之步驟 . 包含將SiH4、SiCU、Si#6以及SiChH2至少其中之 一暴露至該基板。 ^ 9·如申請專利範圍第3項之沈積一含矽薄膜於一 基板的方法,其中該暴露^^!)處理氣體之步驟更 包含使一第二含矽氣體以介於約5sccm至約 l,000sccm之間的流動速率流動。 % 10·如申請專利範圍第1項之沈積一含矽薄膜於一 基板的方法,其中該暴露HCD處理氣體之步驟更 包含將一含氫氣體及一第二含矽氣體暴露至該基 板。 Π ·如申請專利範圍第〗項之沈積一含矽薄膜於一 基板的方法,其中該暴露HCD處理氣體之步驟更 包含將H2以及SiH4、SiCl4、Si2H々 SiCl2H2至少其 中之一暴露至該基板。 12.如申請專利範圍第1項之沈積一含矽薄膜於一 _ 基板的方法’其中該暴露HCD處理氣體之步驟更 包含將一 HCD氣體,與含磷氣體、含硼氣體以及 含氮氣體至少其中之一暴露至該基板。 13 ·如申請專利範圍第1項之沈積一含矽薄膜於一 基板的方法,其中該暴露HCD處理氣體之步驟更 包含將一 HCD氣體,與PH3、B2H6、BC13及顺3至 少其中之一暴露至該基板。 14·如申請專利範圍第1項之沈積一含矽薄膜於一 基板的方法’其中該暴露HCD處理氣體之步驟更 24 1300238 包含將一含齒素氣體暴露至該基板。 膜於一 步驟更 至該基 膜於一 步驟更 膜於一 步驟更 素氣體 膜於一 步驟更 至該基 15·如申請專利範圍第1項之沈積一含矽薄 基板的方法,其中該暴露HCD處理氣體之 包含將HF、F2以及HC1至少其中之一暴露 板。 16·如申請專利範圍第1項之沈積一含矽薄 基板的方法,其中該暴露HCD處理氣體之 包含將一含鍺氣體暴露至該基板。 17·如申睛專利範圍第1項之沈積一含矽薄 基板的方法,其中該暴露HCD處理氣體之 包含將一含氫氣體、一摻雜氣體以及一含鹵 至少其中之一暴露至該基板。 18 ·如申睛專利範圍第丨項之沈積一含石夕薄 基板的方法,其中該暴露11(:1)處理氣體之 包含將GeH4以及GeCU至少其中之一暴露 板0 19·如申請專利範圍第丨項之沈積一含矽薄膜於一 基板的方法,其中該暴露HCD處理氣體之步驟更 包含將一含氫氣體及一含鍺氣體暴露至該基板。 20·如申請專利範圍第丨項之沈積一含矽薄膜於一 基板的方法,其中該暴露HCD處理氣體之步驟更 包含將Η:及GeH4暴露至該基板。 21 ·如申請專利範圍第1項之沈積一含矽薄膜於一 基板的方法,其中該沈積步驟包含選擇性沈積一含 磊晶矽薄膜於一矽基板上。 22·如申請專利範圍第1項之沈積一含矽薄膜於一 基板的方法,其中 該暴露HCD處理氣體之步驟包含將一含有 HCD氣體及一含鍺氣體之一 HCD處理氣體暴露 1300238 至該基板;以及 上。該沈積步驟包含沈積一含SiGe薄膜於該基板 23.如申請專利範圍第22項之沈積—含 一基板的方法,其中該沈積步驟包 ; 含SiGe薄膜於一矽基板上。匕擇性沈積一 切薄膜於 含量低於約2原;百分比積-具有錄 25·/:申认請專利範圍第22項之沈積-含矽薄膜於 的方法’其中該沈積步驟包含沈積—ί;: 含罝南於約2原子百分比之含SiGe薄膜。、,、鍺 2 6.如申請專利範圍第丨項之沈積一含 5基01的中該加熱步驟包含加熱該基板至約 500 C到約900。〇之間。 土低王、、、j 2基7板如的=力=r含㈣膜於一 7〇吖到約9〇吖之°間熱步驟包含加熱該基板至約 ϊ板如的U專r f!第1項之沈積-含石夕薄膜於-r〇(TC,且該沈dU驟包含加熱該基板至約 7^〇〇°Γ ' / ^ 5亥加熱步驟包含加熱該基板至約 ㈣膜於 驟包含非選擇性繼^ 圍第1項之沈積-含矽薄膜於-i理ίΐ力。’更包含提供—低於約贿⑽之 26 1300238 如申凊專利範圍第1項之沈積一含矽薄膜於一 ίΐ:Γ去,其中更包含提供一低於約10T〇rr之處 如Μ申請專利範圍第1項之沈積一含矽薄膜於一 —士方法,其中更包含提供一約0.4T〇rr之處理 至麼力。 申+請專利範圍第1項之沈積一含矽薄膜於一 9*0ίΤΓ栌脸ti’其中該預處理步驟包含在基板溫度約 9㈧(:和將%氣體暴露於該矽基板。 :·:上〒可讀媒體,其包含用以在-處理器上 Ϊ式指令,當該處理器執行該程式指令時, 二八二裝置去執行申請專利範圍第1項之沈積 δ夕缚膜於一基板的方法的各步驟。 、 種沈積一含石夕薄膜於一基板的方法,包含: ^於一處理系統之一處理室内設置一基板; b·加熱該基板; c·暴露一 HCD處理氣體至該基板;以及 於該L/i用!:D處理氣體,沈積-含㈣膜 卢揮露一 HCD處理氣體至該基板之前先預 Γο 〇 i f預處理步驟包含在基板溫度介於約 =〇C到4 1000t之間時將H2氣體暴露於該矽基 其中該暴露HCD處理氣體之步驟 使該HCD處理氣體流至該基板時,使广$ 氣體流至該基板。 地 36.如4申請專利範圍第35項之沈積一含石夕薄膜於 s一κί二方法,其中該第二處理氣體為SiH:, SiCl4, Si2H6,及 SiH2Cl2 至少其中之一。 ’ 27 1300238 37. 如申請專利範圍第35項之沈積— 二基板的方法’其中該第二處理氣體為含磷寿二於 3硼氣體、及含氮氣體至少其中之一。*體、 38. 美如/Λ專:範圍*35項之沈積-含矽薄膜於 :予板的方法,其中該第二處 寻膜於 BC13,及νη3至少其中之一。 ^3,Β2Η6, 39·其如專利範圍第35狀沈積-含石夕薄膜於 體基板的方法,其中該第二處理氣體為一含】= 40.其如/Λ專利範圍第35歡沈積一含石夕薄膜於 -基板的方法,其中該第專y 及lici至少其中之_。 ^,匕,C12, 4」.如申,專利範圍第%項之沈積_含石夕薄膜於 體:板的方法,其中該第二處理氣體為—含鍺氣 ^‘如申請專利範圍第35項之沈積一含矽薄膜於 一基板的方法,其中該第二處理氣體為 〇冗14至少其中之一。 4 ^ 43·如申請專利範圍第35項之沈積一含矽薄膜於 一基板的方法,其中該第二處理氣體為—含石夕氣 十一、圖式 28
TW093129410A 2003-09-30 2004-09-29 Deposition of silicon-containing films from hexachlorodisilane TWI300238B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/673,375 US7468311B2 (en) 2003-09-30 2003-09-30 Deposition of silicon-containing films from hexachlorodisilane

Publications (2)

Publication Number Publication Date
TW200520043A TW200520043A (en) 2005-06-16
TWI300238B true TWI300238B (en) 2008-08-21

Family

ID=34376595

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093129410A TWI300238B (en) 2003-09-30 2004-09-29 Deposition of silicon-containing films from hexachlorodisilane

Country Status (7)

Country Link
US (1) US7468311B2 (zh)
EP (1) EP1668668A2 (zh)
JP (2) JP2007507885A (zh)
KR (1) KR101127332B1 (zh)
CN (1) CN101094732B (zh)
TW (1) TWI300238B (zh)
WO (1) WO2005036593A2 (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6987055B2 (en) * 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US20060021571A1 (en) * 2004-07-28 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Vacuum pump line with nickel-chromium heater layer
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7435665B2 (en) * 2004-10-06 2008-10-14 Okmetic Oyj CVD doped structures
US7384849B2 (en) * 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
JP4635051B2 (ja) * 2005-07-29 2011-02-16 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP4832022B2 (ja) * 2005-07-29 2011-12-07 株式会社日立国際電気 基板処理装置
US7358194B2 (en) * 2005-08-18 2008-04-15 Tokyo Electron Limited Sequential deposition process for forming Si-containing films
US7867851B2 (en) * 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
FR2900277B1 (fr) * 2006-04-19 2008-07-11 St Microelectronics Sa Procede de formation d'une portion monocristalline a base de silicium
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) * 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
KR101281863B1 (ko) * 2006-12-08 2013-07-08 도쿄엘렉트론가부시키가이샤 증착 시스템
US20080160786A1 (en) * 2006-12-27 2008-07-03 United Microelectronics Corp. Method for increasing film stress and method for forming high stress layer
DE102007010563A1 (de) * 2007-02-22 2008-08-28 IHP GmbH - Innovations for High Performance Microelectronics/Institut für innovative Mikroelektronik Selektives Wachstum von polykristallinem siliziumhaltigen Halbleitermaterial auf siliziumhaltiger Halbleiteroberfläche
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7816224B2 (en) * 2008-03-05 2010-10-19 International Business Machines Corporation Method for fabricating an ultra thin silicon on insulator
JP4635062B2 (ja) 2008-03-11 2011-02-16 株式会社東芝 半導体装置の製造方法
JP5023004B2 (ja) * 2008-06-30 2012-09-12 株式会社日立国際電気 基板処理方法及び基板処理装置
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
DE102009002129A1 (de) 2009-04-02 2010-10-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Hartstoffbeschichtete Körper und Verfahren zur Herstellung hartstoffbeschichteter Körper
US8206794B2 (en) * 2009-05-04 2012-06-26 The Boeing Company System and method for applying abrasion-resistant coatings
KR101725765B1 (ko) * 2009-09-18 2017-04-12 주성엔지니어링(주) 산화막 증착 방법 및 이를 이용한 비아 콘택 형성 방법
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP2012019095A (ja) * 2010-07-08 2012-01-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
CN102456731A (zh) * 2010-10-18 2012-05-16 联华电子股份有限公司 半导体结构及其制造方法
KR101789592B1 (ko) 2010-11-08 2017-10-25 삼성전자주식회사 반도체 소자의 제조 방법
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
FI124354B (fi) 2011-04-04 2014-07-15 Okmetic Oyj Menetelmä yhden tai useamman polykiteisen piikerroksen pinnoittamiseksi substraatille
CN102534561A (zh) * 2011-12-23 2012-07-04 嘉兴科民电子设备技术有限公司 一种工业原子层沉积腔室结构
DE102012108250A1 (de) 2012-09-05 2014-03-06 Spawnt Private S.À.R.L. Verfahren zur Abscheidung von Siliciumschichten
FR2995913B1 (fr) * 2012-09-24 2014-10-10 Commissariat Energie Atomique Procede de formation d'une couche de silicium epitaxiee.
JP2014093345A (ja) * 2012-11-01 2014-05-19 Japan Advanced Institute Of Science & Technology Hokuriku 複数の基板上へシリコン膜を一括して形成する方法
TWI541864B (zh) 2012-12-06 2016-07-11 世創電子材料公司 磊晶晶圓及其製造方法
JP6349234B2 (ja) * 2014-02-19 2018-06-27 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
CN105047526A (zh) * 2014-04-21 2015-11-11 应用材料公司 沉积中卤素分子用作反应剂增强外延膜中掺杂剂结合的方法
KR20210035449A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62229930A (ja) 1986-03-31 1987-10-08 Toshiba Corp エピタキシヤル成長法
JPH0717796A (ja) * 1993-06-30 1995-01-20 Mitsubishi Materials Corp 単結晶シリコン膜の製造方法
US5766342A (en) 1994-10-19 1998-06-16 Matsushita Electric Industrial Co., Ltd. Method for forming silicon film and silicon film forming apparatus
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100295062B1 (ko) * 1999-08-17 2001-07-12 윤종용 게이트 산화막의 손상을 회복시키는 반도체장치의 게이트 제조방법
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
JP2002025972A (ja) * 2000-07-04 2002-01-25 Asahi Kasei Microsystems Kk 半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2002343961A (ja) * 2001-05-15 2002-11-29 Sony Corp 半導体装置の製造方法
KR100455724B1 (ko) * 2001-10-08 2004-11-12 주식회사 하이닉스반도체 반도체소자의 플러그 형성방법
JP3952735B2 (ja) * 2001-10-25 2007-08-01 ソニー株式会社 半導体装置の製造方法
JP2003179066A (ja) * 2001-12-12 2003-06-27 Sony Corp 半導体装置とその製造方法
JP2003197939A (ja) * 2001-12-25 2003-07-11 Mitsubishi Heavy Ind Ltd 太陽電池用シリコン膜、シリコン太陽電池及びその製造方法
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6884464B2 (en) * 2002-11-04 2005-04-26 Applied Materials, Inc. Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film

Also Published As

Publication number Publication date
WO2005036593A2 (en) 2005-04-21
JP2011082557A (ja) 2011-04-21
CN101094732A (zh) 2007-12-26
US7468311B2 (en) 2008-12-23
JP2007507885A (ja) 2007-03-29
US20050066892A1 (en) 2005-03-31
KR101127332B1 (ko) 2012-03-29
CN101094732B (zh) 2011-05-04
EP1668668A2 (en) 2006-06-14
KR20060093714A (ko) 2006-08-25
TW200520043A (en) 2005-06-16
WO2005036593A3 (en) 2006-11-09

Similar Documents

Publication Publication Date Title
TWI300238B (en) Deposition of silicon-containing films from hexachlorodisilane
TWI343079B (en) Sequential oxide removal using fluorine and hydrogen
US7459731B2 (en) Device containing isolation regions with threading dislocations
TWI306629B (en) Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
TW293949B (zh)
US20220277956A1 (en) Treatment to Control Deposition Rate
TW200807550A (en) Pre-cleaning of substrates in epitaxy chambers
KR100470274B1 (ko) 덮개층을 이용한 비정질 물질의 상 변화 방법
JP2004335715A (ja) シリコン酸化層の形成方法
TW200914630A (en) Atomic layer deposition of tungsten materials
US7547914B2 (en) Single-crystal layer on a dielectric layer
US20070077356A1 (en) Method for atomic layer deposition of materials using an atmospheric pressure for semiconductor devices
CN109983155A (zh) 用于选择性沉积的选择性改良的原位预清洁
US3793712A (en) Method of forming circuit components within a substrate
TW200929385A (en) Method for manufacturing semiconductor substrate
US6830965B1 (en) Semiconductor device and a method of creating the same utilizing metal induced crystallization while suppressing partial solid phase crystallization
KR20040023589A (ko) 이산화실리콘 막의 생성방법
JP3915054B2 (ja) 膜形成材料、膜形成方法、及び素子
TW451263B (en) Passivating techniques for silicon IC devices
KR100322434B1 (ko) 금속 구조물 위에 반도체 재료의 에피택셜층을 형성하는 방법
JPH0521357A (ja) 半導体装置の製造方法
JP3287042B2 (ja) 半導体装置の製造方法
JP3270704B2 (ja) 半導体微小構造体の製造方法
TW201944468A (zh) 金屬氧化物之低溫原子層沉積的方法
JP2910045B2 (ja) Cvd方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees